From c6a7a293375fc37ad3ac633a280b97d982f24906 Mon Sep 17 00:00:00 2001 From: zinsser Date: Sun, 8 Apr 2018 11:49:06 -0700 Subject: [PATCH] Release v1.2 Used before and in 2017 --- Control Panel/DE2_Control_Panel.exe | Bin 0 -> 1199616 bytes Control Panel/DE2_USB_API.pof | Bin 0 -> 2097354 bytes Control Panel/DE2_USB_API.sof | Bin 0 -> 841105 bytes Control Panel/FTD2XX.DLL | Bin 0 -> 81920 bytes DE2_pin_assignments.csv | 432 + README.txt | 162 + doc/Pipeline.pptx | Bin 0 -> 107998 bytes doc/Walkthrough.docx | Bin 0 -> 21601 bytes doc/benchmarks.pdf | Bin 0 -> 45376 bytes mips_cpu.sdc | 111 + ref/mips32_architecture_vol2.PDF | Bin 0 -> 2280191 bytes ref/mips32_quickreference.PDF | Bin 0 -> 159330 bytes src/DisplayDecoder.v | 43 + src/alu.v | 278 + src/d_cache.v | 393 + src/decoder.v | 749 + src/fetch_unit.v | 48 + src/flashreader.v | 163 + src/forwarding_unit.v | 108 + src/hazard_detection_unit.v | 283 + src/hexfiles/coin.c | 122 + src/hexfiles/coin.dis | 145 + src/hexfiles/coin.hex | 32768 +++++++++++++++++ src/hexfiles/esift.dis | 119 + src/hexfiles/esift.hex | 32768 +++++++++++++++++ src/hexfiles/esift2.c | 83 + src/hexfiles/esift2.hex | 65536 ++++++++++++++++++++++++++++++++++ src/hexfiles/nqueens.asm | Bin 0 -> 16384 bytes src/hexfiles/nqueens.dis | 673 + src/hexfiles/nqueens.hex | 4096 +++ src/hexfiles/qsort.dis | 260 + src/hexfiles/qsort.hex | 22500 ++++++++++++ src/hexfiles/queens.c | 151 + src/hexfiles/quickSort.c | 2058 ++ src/i_cache.v | 223 + src/memory_arbiter.v | 198 + src/mips_cpu.v | 960 + src/mt48lc4m16a2.v | 1072 + src/pipe_dec_ex.v | 108 + src/pipe_ex_mem.v | 78 + src/pipe_if_dec.v | 54 + src/pipe_mem_wb.v | 55 + src/pll.qip | 0 src/pll.v | 321 + src/regfile.v | 51 + src/sdram_controller.v | 405 + src/test_mips_cpu.v | 139 + src/transcript | 6 + src/wavesetup.do | 173 + 49 files changed, 167892 insertions(+) create mode 100755 Control Panel/DE2_Control_Panel.exe create mode 100644 Control Panel/DE2_USB_API.pof create mode 100644 Control Panel/DE2_USB_API.sof create mode 100755 Control Panel/FTD2XX.DLL create mode 100644 DE2_pin_assignments.csv create mode 100644 README.txt create mode 100644 doc/Pipeline.pptx create mode 100644 doc/Walkthrough.docx create mode 100644 doc/benchmarks.pdf create mode 100644 mips_cpu.sdc create mode 100644 ref/mips32_architecture_vol2.PDF create mode 100644 ref/mips32_quickreference.PDF create mode 100644 src/DisplayDecoder.v create mode 100644 src/alu.v create mode 100644 src/d_cache.v create mode 100644 src/decoder.v create mode 100644 src/fetch_unit.v create mode 100644 src/flashreader.v create mode 100644 src/forwarding_unit.v create mode 100644 src/hazard_detection_unit.v create mode 100644 src/hexfiles/coin.c create mode 100644 src/hexfiles/coin.dis create mode 100644 src/hexfiles/coin.hex create mode 100644 src/hexfiles/esift.dis create mode 100644 src/hexfiles/esift.hex create mode 100644 src/hexfiles/esift2.c create mode 100644 src/hexfiles/esift2.hex create mode 100644 src/hexfiles/nqueens.asm create mode 100644 src/hexfiles/nqueens.dis create mode 100644 src/hexfiles/nqueens.hex create mode 100644 src/hexfiles/qsort.dis create mode 100644 src/hexfiles/qsort.hex create mode 100644 src/hexfiles/queens.c create mode 100644 src/hexfiles/quickSort.c create mode 100644 src/i_cache.v create mode 100644 src/memory_arbiter.v create mode 100644 src/mips_cpu.v create mode 100644 src/mt48lc4m16a2.v create mode 100644 src/pipe_dec_ex.v create mode 100644 src/pipe_ex_mem.v create mode 100644 src/pipe_if_dec.v create mode 100644 src/pipe_mem_wb.v create mode 100644 src/pll.qip create mode 100644 src/pll.v create mode 100644 src/regfile.v create mode 100644 src/sdram_controller.v create mode 100644 src/test_mips_cpu.v create mode 100644 src/transcript create mode 100644 src/wavesetup.do diff --git a/Control Panel/DE2_Control_Panel.exe b/Control Panel/DE2_Control_Panel.exe new file mode 100755 index 0000000000000000000000000000000000000000..5f780615cf0a2eb957032dc8ecb72a6c0d9c0852 GIT binary patch literal 1199616 zcmeFadwf*Y*+04`7Z^eyBL+o9jf$EoS~O^>T;#SfP(}xmK|~Oc1P2V4CKHP-;KWI6 zhMm}`p~W_BLBOKMw^3soV$^}8A!^i!sYZ!5D%OReZPbWSqjSE`v)A6UXOa;5JD>Ob zan1?Mp1s%QScHM z_W#fOe~tq#RZ-^KhAMyFdJ*pQzYZlScDOAbN|2x^EqYqj>G*cw<3gI^)9)ewI6Tpx z@vU@>Qj{Tj8tIjyq>wV{-?*he0;6mkuB@`*d-`xC{!)DVhAYbAp_KFA{Y@CIjQQ_s zaOo?(*_*s{M>G;b^eyq`0=T3o%8DzqR(e-b0`Y-pzZc(ghzH@n>53A((wmdVDFiD8 zv=H%4{glg<0I3{s=W;LiDd)O!jVw0-<-j8)72nN$%1ysA+X4?fWq95Fl*`S_T?G)z z3h>zA^@+aasL22S_x~jhjCU17V<*vdY}}{-?#H?*WzWrY{o_hpmDwJ;wyniAKC=kd zxT>wVCQRQ;*TqlL)w_?blb*)aHhVv=u@hgwHKwYb?sxnR*CEX><7%sDrt9X{aD`pb zbqrlo9{Up4^Ie3)c@0NlXy=VT!$;RS+i--n# zx{r+XyySSgwrg~4-c7akY^Q788-=*8m_abB_fSgfpXhq@b*j7PGp@^U#wGZXQgbnt zpL8zWZ+e!>lyh4Xd+)>jo=QrIV>nrJ3B#5eN*Q;6%6Gj|gzH2u)B6~uCqGHo98PKA z6c3lQ@2B+k|4{j+F9?S+hEx6or6k`^xzoAzv3m*I9B#2>9<^A`xUKk{YR&(Su$nM~ zX-p*?+PSS1#%*VS;CQLsluaaYC76Nh`LkK>Wi0m&lDlozZMdeq%+l{7xhv!D!!@>! zWhz*vV;YbWQ$}(;ZzIdAcQnE8Rf)GHEUz6bBL~Z?eIH@o%<|g9JRHk>P7N{txl9(z zYctEMx17>N3(=Co@+xGi_prS7u)HR*ypFy=?RF0%ded26cIHVsb1aM7YW|iuu_i4+(OSfBr`we!qUU z-mKyfF9L$hil;2*R@NQb z8RHc#?%w*cntg;;?n^O>aeo)?chw+ua(pGO-h`r{cZf?oc~t_6l)1Izn(ENpcHfpn z#p`H{U)-`yu{FluLSLPuwGrcA+olwsRy>;0^<-st7VdWwu(aUrD*dY(UybogkYN*> zfTC|IRZ#LL)l7g5iL>fs6fRn`2L(j}JyI1h4QObiC!mo)%r-T7qD>n;iCTD#_$Mpg)3nnAEop}Xr)yoIw~&zkACZjqZo9RfXh{pYJr}^qW`!nX1yVxs zIY1&Uxa5{wvH}iIDl+PieZDtF>FfdcGXE8nZL3E;e?xP|qMK{xF91r{%&{x052@{T zAeM>dwHR@sFP@W?iRe~$FJaJrZvF;SFbFmMw?&D|qL_~Vt% z)r5J9QtE78uoh;4e>TtYGkv(BjPXkCTa15~xaowBzx`?3uF5A06!G*+HpS&BMp@x) zuq*zCaVGNaUQujI<~!EnVi%7Cgufv%r1ofThyP$4 zO8bLx;+`*w50s|Ovm-5#PFKy{E=gj$h!@X)17Uu=tUeAv;K~V;!1zCjRy`yTM5zjt64y( zA4HQSqGfE`{)SeOfRtkQ+X1z=W)IbLqvj#Cn{?E-rt_qxCMyF(l+84a)NL;~csAe%+)ak^_rNj(&IV0wf~Rn3 z&Ze|rU`CwgZc2M8KvJe;S0d8=2OI%sP*a0?xu7f;l;tSdl}J!$v$0c!lz_923}3CI zOgnC2^m@Y#a6-UY!}&EvKIvbRxE3h-8@Rk#C0U1RZ=8{Q353}=ee|Vp#f>x1xI}Xz z@yr5F9HVgJS?42>5QKKx2|=iEbJlKR8z};m6EY{>Il#NDtvtn#ePoknej|Ds`M zqQ3RdfIXgJFFiTzl*^)^E4tkGk$>(W(QilZuelRv2Hb7XN&kVEfV1_G+Co@pYRhD` zH7~x@-P##j>Tc0uz_P%MNyH!5q=38Gzol96rfSaS10SGTQ^A%dAFACooCNgoRTxfm zj-cDdixY4gL$1vpn2GXsZAL2YwRw{+cecH847Fnq1XL(k8%8RzYC}K`LIsYKy9tK! z8_3`M6M*4wC|OZD*Xp&QJB&+ez+L0tQloe;*PL~XOLf5(8eTCjHR58%Wn?J7I+R}{ z{HY0~I;cr1Md*+kOd|I#zI%auwVj%QLp~BD-ZTW9k<82hG`L3g1G=~8 ze%Ig7M)FC{gnYi7#-<5oKuWrhCOaK@XRWn~_2a--&D|D=@vCi6-?quBBli=@qSR1+ zTPQz~cz31FJ0@^!1cBeY2(W!HkiM=*QxoClGNWl97snFLVBm6*E=UDPdLw3zt=3^S3iR8O#r z(?RPk)T{}XYg%xLXIzpcE}vWyrZlP&>i8S>`L{Tfq{1M;Zc`nJzGC%T)Hl3!w}u{P z2F9M<+PpDyCm-;huc_Ud%MoyQY0j>I6AU=M&HZgLG_E@|!_k>ks($MV<#&q|bX`z+ z*n+zkGKO+(Spnh2s=WdDHRn-o;HYfi0!=;24RmPEj)1dWQ^hvY=i<|l5t{Dk zgu#hW{!#IQ;j5)CZQkkMk_<{}i4teB@1SrE!^6^rzCSkYJ=lea8sR9_((793#M)QL3! zXAL(q#-vYf0c>{z8q>S2fLf=y>$W*xDNaB+A|a{N{mMePAn^@w<^D$JQS#l#dv2P$#L(hXEw%C?=a9_SH zW0d@11zT$1-juC(>(WqrC#yAEegYwA+X(+{AQ|o3i)Wv9cDQ+VIY4-y=CTvJhHB1z zAzSCLQ2st~c|3G51S4ipo1bNcO9VwV0OLP!_5y$jO%OToB*-Eo3!71AOqEUcgRFlI z0-eoRj4)a7z!^oFFy_E8nFbwjrcLl5aI#H-nYxpe zo>lt6Yk`_JW31)^fCm4T2I;#R#O4dxcd=I-vft|VkJk3*6~Tjo2AUk{7%{~b@uCAI zF2|D3#Q3)-_Ap9JUN9-i{{_hQ!F0XxFe~Z?(aDiWsrT#Q-VaZ)^HQk~#Gny|Y@a+M zG4EE~2GlMtIo5w5j!hW6Kqny3QwN+dyY_(dXsH@>5%uVw!4h}t{>)2!O6ZMS;APbs zSa??`9}ZK9oowgH$422BYINYkm{@mrz+J8L2P4&hTE&eea(7k{Q1@u+PV{J{?m~E| zl7O>ZbCw01J8HOr7HVMoX7B^rgnqRulwT<}%QpT8$_lvt1Cs)!USe4hUmcU(i5N~e z6FY}!dOCUI*qM|3!I(f1O>{NB28wcU?|+`IiuXs_cDez=f$bjL6g*E?AGmtg$k4NN zFE;&v1{)6fwCG$p-Ut+Jq>?)C-NgIDfTFi?x!UuFpy39WiG^Pw4;m=VLXEJ_ZC^o; zq(O;+BJ%9o_W8I5wrBFai|-fXT3R|0*9BV96iOo<8bePp00{_s>WH&jufO6JWp4H9aKhK zlS=GJ4n0dbp=UX*6edTbo>F27+6bhlYTKFRG@ikjt~*dPma?|p7&Ha0iZn-LKl?{Hh}^hucdc{=}C7uZ_J$|0cs_+$?Cm?|FEfJCqg_UOQ1 z0iom&g$@|cEE z4i&7!pf}*$i5`+%1bLo)=#klJR0Y&B?hN57YTzm`tqZ6XntNx!?bDol0?tBoQvtPD zb5{o3CFD+|I+h1?58>VskV;t*DBTR={p)(-X~x$kQrJA~C)7ln7k!&u+;lOtTvOZS zq>W~5tXoYr6~wT*G-eo0;dF-m7I(6%!4UB0sfzbDwy&{}ri&z!%cEuGOSIa6?i)g! z6j0kp?dGMTU-EBhr5OfKQqVyaY-#ZUBF%PMMg2IkI1G4N=;?y7tSh=W{0Aq1xwvO> zz$aqL+l2ctA@@<`2ttf`XT=tuvV3;-PxG^v-@Y<0J96GQexUhe$~2mzGB28o-8~5A z#EWUi5bbcb$jC*I81%cVd1irN1!S#9ChCY;YByPB!NDes9Ttke{|AbNG`lv!i}+q+ zK@arKhMq2-@?GMBZsZ44eJ*%ADr)z3BV?0ySfsrk3&_1%K^C5$wuy`Ij2WYCD~4Z4 z6?*CjJvBzA9@kU9dX3A*%G54B^#i0rOXY+KI8f}w8!y%q4W|4-q)ziZB!_7}O2NWE zVI~M2u+l8LXSJ4QNq(;I?6+Y*CQz z&&giwYi$@w*-M>WaKMIcs9#VP#$!+luH1mi6w{RRCx1f}f4Z0pQzT^#%$rzD4Zxb| zmPA79b4F>lC6!1R%4O3nsRufS#2~T(^yf#U#>-S!L~5K&&5THmm8pv(Qe$N5QcG%V zP$sUhBoey1B(p53VRSu~)G)d^5vc~c8zWK;biEO&2D+OfQVnzwS7!|&?waWOEQy4! zu1u3Gsl}?UG|851f)bHxkkBiYk&wk;O)|H~l4_CwGdAh! zM{j?S5v8|Nx(3wSQqHpIZ6Yd1=xrS)69KiUCfN3uy8o2(!r>Fg|qG@V)aFa(4#}RPeMpx2;HUb?g$7kokw`Sgkm*lU1hle)f?3V zAtGZugXk)CcNriM^HjuU2GOO1IG;frWDs3C25}6c{&6@7gai*XL9PqL>;JYgTdhNX z+hp`G=c9)qFX6tm2f_W9!RHd<^QV_HpI3s<^BdI{Mm4H0PHa?zi-0hYK3LzV z)~AtcG9lj*(WD;jN)UTSiG(o1;nq|%$k5Tx|} ziRjRQo@nVcL3fDt14z%t5Tx|>078`X0;o>X12gaq5!mn#KG{n6Q~zRagYXB=NWjFr z)LnA0fLgxOB)rEx1js(*^u9%?2IP6+rXZNhfwB578Ivqz)*INZmk^ zIxHm>ZuZZ|2iA`e=k>22`w%)o)TUe??u;z@K5Ph+f7r};dD z<_DvOz%=nJzQ8`T+A^M{)@cPfl<9E~WxK6xRI73u)u*6NPlJok;8Tsyv*7Aq@cma- z>^eiSPjp5gUIoRjGZcG{j=0e?Rzdyhr21VRk>##}2uzSkdLD(b_CXE^#owv!%i2%a zKK#|!Vb{_w0s%-u?RC{2z)Do9+9R6Yq2;%B_zkMvU5Zej+P+vk`>x%vda%Mv)czB! z2szu$<<%QegrB7!nPxmo&vYf85y)mb`<4`v}>j zl4%&g69u%;%NTZvOLPorp_hh}S^?|NVgnngKS7l090ykJqC(=cZ!s@57KU5UwW6&z zPp7sj2|TO?!OP>N?&F}AYe=toR;cLWEe>#;n#9@sAo>sRk>BUOg7osqiGF z_B}3qR7{YC9aijC0XwdDRIm363bA%dZB26w(Y05oKs~J3--kr&jCgq0U|)Y(g2`Aw zt*lAD8EmV)gk)B!-P;3+V^FwoN?|am@F4n0pcmBpm|pIsnkhKxOC`nlJzAB$RHc}n zgoQx0JmhSKn(0_x3@oWSK#yQkv$3ho1V&_P0K=wsSCVdOm7*9h8r7ZX9e2T`_QH(* zL?+~}G|c1~!6rmNb-$9xrq{f!K4XG8r zlvG#%d9Jk)IP_p_2Gj{+2wSNYFt{NMt~+#fZHLs#vbRW>%^aUyBGzNgj3G;Hyc&@C zS#CwR5YN!S1*at_o-RP}2wcQQETmx^yn7QgwsWE93#1ValeibvmD57fz5C)Z89Giu zLHF^__}UXFzEF&}z~C08Mpgm8wK13nUqjG^6Kl>iMI~-e(q;Q#1E?+xdKbzfe?SpT z%PI(k*^GiHbqdf}I|KzawL(-CksB9e8~`(s`25C5(nzpWYVwcKZ0Gd^!o?ttmb#A; zo6HIuQ2}WTL!6Gz8!a*bsnR`vCk?FN3hXsvT|+T@FbOHdx-&(D<2Hk4nnRuSVI z2>??@t%B`LFl8WuTqde#P4Ec6k+1p z_2+Yp=MMdOI1^hECiaq>EH3gaOcOMB`GId7#qLKmXZeAz?ZwXB+tl)6bq|?^<}L$8 z+u{<6)kigVNx_G3Rld9J^9o*8;Du)T4<0Z0rW2yePo{xYrP2S~^)VX{1e!isE!lb= zF&ACFdnbAnv(WQgD7ka2?26Q#=%!5j`=g#4f}N`dS4$rMJ`_SjYNhP3M(XWI?HY3( zw2PSTQEId!F74wGcj;>NH z#a=Rx;)DG{8Op@@BNHP1UOT3S)GRdES!MBlJXS20zHj_uwDH0P()ac1_M!W}moOM5 z?O?Nj1B3<`4v@UV6f%MeU}HMK>k~+)%a|DH0LOtCT{$Bh;0&NOeBK=V~#Xs?|)fC7hA6dr~&Yc)H7-IN>vuN1*CYT7Q7`7U2 z^-(2Y@WE}dw@Da<>hmeVE@Lfde$h)0>upj_-OAZMU^Sk0GCd}sgxOtCRLs^;#t35XKFm<>ZOGYq{9 zhOVi_`!RK(ah(5~)AP@h1N2CHO!|<-pWHbUT$(%CSv){7@qu3K92h*0@~wLEik?aB zWf|?Q%1>LHrKu&cYK7JOR~#caiGH;rE5fskWf0h5Qx-zNRxO^amM4{LCbxjr)`Eu- zE@p||AiBlt5@58Z!d}+F;lUlD{2lOWvF-}1U;3DPe%jPQeH5f*bTDL$WVqtiUDWf- z4(1ONqk4YX%RKnH?D=z%=?q{9(gnR%+NJ_%`c~pq(ls#${mTGD&}4T z06_hoVF}h8qK0a3Thj`51&Tua13JQ5Oc;g>Kmts?NMPK+Whg*8RE@GH@Z?!vD` zVeyM0?0p9EX_(-#Pczlv_4lnVEmC#P{|75F4SkIsVN$%&Bg~_)kU6Kr(D$8d`|kqu zcz2H(i0v~n8b#h2fkYnZoUg_zoft=OV31QrAjMdN!v0l+>=EOy2~uB@dk)!qi*|36 zTTKW1j@p&lYngq$VqP<`uM2Y6$`=`<mvu+heN&L9`iW(+Q_K?bcEs%>%<;G>clWiHW6BjjrV)2Ww!%7#6#oAS}# zYw6Taw*?9)_6s1Ik8Z?^*V~u}ZDJiv9NciWfyy}K*#1gX7<)ynabklGL3Dh0R{wOI zVWoq>8g#5X5=BQ15(cE>qG&pX^iRiE(+oPW2Red|AOB@AI=YCCvj?E#M#9dJ2R1mE zbnJaMijFQM3`obVI@Mu$OuM09dHm3%<304SR(bsN*}>@OAvzY0>0ciA5q1V0u@*Ys zdnbyH)RWQikWO`&j=SdcOUE5k4S9@?qT{jZf#{I_Vi)fl2km0iu{hlgMEDaPH!Gg& zVW8-36_E`0N6v!BomQVCpvJWP(vF@ey!PvF!1e-cr)sH5xdr^X@Jw2n>;jVLQhMPV zM0yi@4&9&V-hk#fJ*6~C!w9FhW&wHKYhK3K1-nZWv3nEyXE+w=5bh@k7Duxc&x@lx zf?TiaDRzI0aW6K5u|I4fl)Que?V~vod!tX@M|f(eZ}!QYayL@K zeR2)9FJR+BO$+hk{nIJbU4y97{%RNuf>PnY=uz6_=PM(auYX6wHePdS5V^hBMMP^Z zwISLzCFgUQpp+n3*IXt+ugP2j z8C^H(r0X@+eb#FpYqho+v25`TXVJv>-S370TVAgr$z!DnlR7CuZ7&;OKW z`Nqmk32E2+-^kajq$UpAn=cPrWfr-zr@l#fQ7bpxXO;)B14yl^Nx2nzGRi@| ztqSjUlBYG|)W5<5$ZD|0sj)k$O7ra@#J~L7ly8;T(Swa<6nbni&3elL7A{mfB24q! z%k(e}z<3DEYd!Jo#HvIGU?405kFpn<`2@$Ps|<}#(y1hTi~tI)&Fc6N+Ty7P2_M#$ zD$#whb*2iWq^A%FX@+CeF$B&Uqi&&X!37NNb_Pc=>SgSayTozq)u$FQ9Isj;UcQuO znt&|jc0UD6=~+6Jt$4K$i}LzLjQSfCL5zAQpr`-s=C4RF973oP8%~g->|_8kdXP!)K)81@qB*1z%YPgZ zqwc_}b2vtgk~0^sRm9e>hbaMd2cZte%P93u3NGvrBe{lyqEm=xl}}c8CY9c<2d^3^ zN?lIDtDWH}b&CkDqu>>y)LyWdqST@1S=bR#>Xyag_zPx~8vO7Qw&#L8CZ|o0wHBcW zKg$qAzVR$W5ZQP}96C&{Z;~Z=m8Vkhs)B=8k7~{e4qp9fn_5v!Z?^0}L~7gY1Y5EC zn0%mcHA0T%{f4VQh0oE$)m28Xa_BYo7T8$q4}On`QaE6}2Z158SmNvKIKlzzJ?MT+ zWBfM*Ne-zMezhF)qw>klok=BskP+)DIagh(Hzgz1t1p7C(R?2U#)kj*Zs`cbC)mN} zQG9yPd53uXt6`B5hdVCeh(iN>le5~=;TikCd`8@PDJ*QxaAGgk+~VLAOkdWF!5zt) zS2g;^ooh&t`Lb87uH3o95_kRuXHoZi>j~<9ca#GvATT66 z|51-SM-5K(xU-LZOwe$fk#XnC?<4~^;?6vboDacRHEg7%Fd3uzw}}?h zt)VqxcQKppZxJ(R)BW8xwYV5Na8+M8?mUVE$~4eWcUS|#zj;MBy4f6^|9-O5H$cJl zvLHpCdAwrPlm&VwwU`C_=ScVMdgQq&I16T z9tYId;@yzQ&@&@)Gb0iXJ>SQQ)*+7kl@*P`jR-wob5UgIc}tB{Ch7Or;TfUl`%#&Q z?i+gMwmJimq2~ute4*Hm#WWf=Fg2FY^I6n}HS}C4p1#;hUDvrfb(egOVTdAKY@xUT zkAwt5&kr#%tzx7kMiG^3qC(HlAWIKD{|<$P(!~yFAh(9lGrKiY4KkaoUd18-gb?8)?d}H8`(R_ zs#kJV$Ej6)A)@N7Ty+P9Tjc)hleFsBt6KJcE=h$VMze^im^Lmakd8s+l_Zt@Xm?3ZXtGHx!;Td$@E=(eg(xRb6fk1PZ|ha z7)I#FsZsk~=L01eaX6kLVfRz_yGx_$I!?Xrf#|xe4X500QFPrMr(Sn%bls(=UUx)v z-IVCMM(nAJ#(1~e%-GXjxUqg>PaYCkt|T0*c!AS@7G|I{2CA^rsjQL(3+W%a(XryL@ojH}DoQ<{N5MC@s_gkYUR z>Ig7|8ySL(J$;7tUf%H>jy=8gR6nt&T?biZ`i(t(#(5`;J$aWwMlXbhVpuw09Aj^v z*wYmeZAQeNw(3n{@OxXQ8G9nh_Y-?!x!rQilzfxu{iMIx)B9Be#hw_#nG8Y3o@yCF zbnK}@La<6+k3BJjzYA0Hh<^b>RP1RPs>_Yj_~yOBePT~V2iWI=@a#|fi9KEN5@nxa z>}kew8tEIcr!3O0+kv8W=lIKiCX@!08G3f!-yPmHe0MNHPa<}ezr=a{#h${xR`XQ> z4@)%Nh)4Sfy>BnE#G^Uo7*fLi(}>SElfK>f9fsnxMqC{U(TLCQ=5bE1xa5Cm&}OU= zms2~penM>MG9y>@C?ezYoS#Q{7;D6jF`ORp{*wkAiqCVo(+xN>*z^m)p^m3T^Kt&= zfYV=m-iXEdZUY_n^%5P3#qHDS(DzLZ9E;mb6s-BDsqa-H5j(}AVsW1VS(r<7ENqkQy`= z$Kc-D%BF-^+!M_GF0rDLxNpSbreRADhg{@5Erw)ciN$@6(iq}j@CgRN&jEtx8C=Bl zGxR~V+%Nh9(ugFhAC*xoB55ITurbjRx)5C7RUCm>nLe1SSly5!_e$Nu{f?U9Tm)2+(#ewiN!TNK{MQ);o#Jp z7e~b6GRH6>YvpWS#^OHzc;Hyvy;y6d9iyini<`KFCiIBK9R!Oh7MJ%p3p+X%w*t7z z9iv7p?tzaaKV&TKYpO~7k+HaA#qxZCyIL1J+&wcM19#l4#ZU4v&bV{vmoFk*4HVjG!9krTxo;=H3#vA83nP9BRh z_JEcwhlSOCMZOHNxJp=1pI98lZfO%drrg#&pk-ujJAjb62Xr#Futn_wO?j-}Slm=# zN1of5Oa8ROh{gE;e4`XHhKf^$%${!h1jgfp?SQS?&R? z)`@6eAW_p(r}qhTF8p;wr=+ZzcmbVsYGM1VMl`7MHqj;8+}2SdI#2EG`Bc zyNnItG8XsApDeMs3b_aLYLH>s18UhW-g<#`4=6T!|I!kR<2|6f+1uCydN=lhb1d#} zY`Vuq&7)+xePVHYfkjj-?yY}FN~J5Ch9cHj+?B?&^zrB6Ibsj!kAbSW2Xy?Er?>~y z5{rx2lRdnK#Gl-WSRA;7Se(8Kl!JB=1wZ_&UeJuiS$Bc{P0x(j1uA24*aaF9{9E!O z!9gr;M`SGS3cblVsY38T9H&@jm1T51I^G|L~obYVU5LIgmXU8y_ARY%Xu4U zL=@(I?$8I=21>RoGJj_F8BDR5EidOVk+%Xgu-gb6C2z!&em0Z<8k6~udX%1$;ZJL@*0KNBjcP3-a?c?mSBHq)^GJUIpBJ%3 zxIw-df9MQ7YGQ7ac=s8m79}Sc5v>}msWiw1s!N#}$!lZ8(D#UqFW_V(4w<=Y5UDmd zVkl;@4(9d?^in59)*|<0yu*zVwEcRqA^o-aj9Ka-y_B!tHcQN6Ib5v9Ps~U`7_vbt zBYqYfq+`Rdh))A6eVZC4{goO`IL3rB648&)zP8jyNbxb-H`c#*aR=0f<8_H`1Sy^Y zsrzUXhyKDM0PQmY`L}c{UMzXzD6?KVzXt~vS@+KT1_1qAsvTR09#X6E3K90zRiTRj zqE(aC>U&&Jj(~GdD1Q&Iafyp}vL_(S{sPQ2_nugH?>suj>VNqd7$V5qSg&QRd^-| z%h`Wz^bsq;^_idcRY%9a*nW+m@ydZXx~1w?$bY*tosFcj^*Ezvu@r~ z(X8W5SsW{U;dGl$o!o(S_>TZ+?j^FsTKx?;T&5+Uw$=p6L_hr(o2anv`01u&;)?f? zi5Db7B}M*?G>YLJU#*%ihz#+~+tjyrgARR({C2e0s5W7z$4kF#RA0vZEBL$)Q*M=} z+&fA)d}A+mzZ#Vty)a(ve$BAUCisF@ z=?l(b_y~+Q<7lu}ZCjWi9E21f&*158@mHgAFXp$zhNh2D5H+4&tQx@GO>iNt#;W`C ze`aA2t9&ehDWK1C-U%Pb!TWLyejg=%|NQts{ATiXe#bkl{H`N@Z+k0}-=F_}Fn*Vu z7RB#B{?Gp|zn@_E|6BZa>d?ddZgvd7@2GVjsN?5Q#V_#b#z(IE@NQDMUCTV6RJz8`ZIq}Uxx%xY9m;PoB73+;mb_nP`|mWx9xQ-g#~GoHQUW{ z@lo(1j*hkl+mJ@`C>0cR1pp^A}V$1(L)bOPse?UFz&zATk~{-SW}j zkz1~2w>*l@RR5vg5T;|{eSsb5ip8VIKq!`Oe@SJWNR`u?8;oZ;U;7cmsEbBvvRao^ zngf?ZIN_!E&v@i`T%-dX(1FdS1pH-GE)3>Rtv$inLj1tU#lYGLDCG)n1c-LsQlJ{@Fk2@ zI%gnbC1KsQOW2nvrJBYo9K&3r4f7;-Nk` z+TeRUFA#5Gy~^12=LvBU9N~-8D0{%(SR4ZF63@sYG)J-o4v)rB%|scunHLYnh%I^OWq5@p$R5veKMF-xUiUS#>^o_q} z7U30%bj%q;PAH;O<(%IjM}L3*76j{WG3P-0#9!YShBy$khtyUdBTS>x^enaKN<2e* zTBP`8mW+r`vv}$|xT&73q{3eVH99H7i5whP*erg791M34d=ST{T;rf> zTmA(=-j;ukM#t;nz}bf4LtEC zLDKrp%TRDA!;1wxM7!ur?1n(xHr5D&hvGGzu_3i4qy~ZSWVI>j-aTL?pO`(D5g7@` zfr{JIhT^z{&QxyILCXM6w5p=jxOttUkQz%z7Y@(_0~%vYLF-7u)nd0U;m+$Aq$M{7 zCx(h5nLCz2pAoJbM~8{tq324O?fn)q(@Thl>E0s0uU;Rf7=9uw!e4BU6ycqCwulgx zhodm$e6b?KFur{?Wpq&7){n^enk>e*r<^pa3HpYOc+&XF!fCn}$3YXagy#Ziq}3M3 z;dz0W_x;eYEndt;!nT--XP3BC7KyUO#cZO6Exv#!*y0|GE&fO^VA|py|3L?BRekd{ zvc?L-_V$ouD#RMaw7s2}giKcVB>BA{m&BM<^k*nBQHn?*Oj4h#G|^neU6J&cxES3= z*3ZJw4?Sb;4}dq^vzYr3vnb+~>!!uxT5t*BHZspMiDAwrbH(6oszJ}vw;wW|Wqd}U5V8)~Ao@du+-M-$uJdA~@hn68 z-xCBPOl}nWH$C?uwXB=$hZ7=x0` z(_;>N=!iWSezx(hf8&`cwF91bZU#}>=BoW6Q`#zi{eYyjm0D>jb+$02exQpJ|B2Ep zgOV1V(s9OftNxs9Jj+FoIC}0w=~YbWQmiiOl*UP&ySD`1QJVNc#On=3C}S3O6j#}D9bjFm?Hls#_`SaJVzYo*ahV`eshC< zV`aMH!ZrLy(FOW!D)6CPrb5R+;s;jvI7lkhf^_?EA^Ituvsd9==Qj(LrAnN0<(l;ny7c$0VkSHh zpN862voseDzx2JK@&{aSK#)#vjH_*88B`_wvkArzxU`86-~@O; z$MXR59MXeG4xdBnrPj_zYw)iKW#A-R^Z3;Z9vS#3(rr3yR^PJepwlY*Vx@#gH~;9A zVEP>Q6VJ+|>~V~2e)Ji#y417ih}o#qWe3v-t48z``p}yGM>b;MMmUryJM|2C1@9F4 zR5NaS486oJq_~#ziMGq}1F@U#j>IPAvXsllNBn9Eo==J)e)&Yl(0h)LTejK}B}C&{ zsNjqg%b$VgX2x^J;CQZC?~O$9(Y=GeP5DCx%Pg08B` zx(fN%btjQx_KKQaaTt3V=hzyGiT8^Eh!iv0$3_2+6hWp>&P7F#;bPOr&B9OhZdgYi z55L89uMZ_i#^FEu9jPf`*goXB@SO~vWf24MNAX>&|CA&9S0qPYrc+nZx)KS$f zvT9P{2cVA5uBP8j!O7q_Ot+1G2&Mswrp?385@1l$rn&6;3F356J~qbSym*8$5h+DM zOmXmg9w>+@I*uAg4o(oq4|Cc0580!5qYhEE?i&JnkZw%zQ_!@bnd zmxznzui%iKOb5w^K9LX0LH}v}qcha#v$=@;E6(WV-*@^y@uG@CXMEG2G#9?73*;!} z(LwU~*IQ)!c==7A_$Cz|#U1SbI7NN_-yxZ4k3>mk-Jw2`iCo{~`PC2L`TUb$8x)XB zSfJTLE{ySeG4D+{q4w}$@x9_g0u|o7C-(rJdi@U@jr#T|f0hnEY5l|Mv_hYwIrl+_ zmMYSB(NNLi$rPpGmp-mW{>u29Q0!AS!-0@LEyg$fk-wqeZ|f<9Pwl6lKKUu|Wo0V> z$LfEheLm?oeP&N0d>32sHQcV!4!r2kiYwtrsq~#`!PT%EDm#!Lt^mA~`Qb3n=FZ-* z>Q3j%^(*hlj{2cA_H+NW9MArnAx9dwo{TTVclwO?7oZ&YlCv@|FPp?TYs0#Y8`fv9 z_bSr^K9uOo7aFh9AJwzy5x^k)&a3picu}#$xVe z!bMttb0Mm`2W5v2GfQTHBz?Fa&q!Iv`wNTB@xFr&C;I&xa=bq>JgzUo+ri=P)EGXs ziD9T49@n=Tzc29VF9Fi|mK>p_64V<2RUedXbH1gI$-MaeAMleV6ml9G=I673VC835 z3Ha&CxO(|Qbr#sEzgL7`0qX5M7vsJf&4+*}&XK_ZsokO6xX{&j63Jguys_8!^AU>o zUB*)SNc5)7HCcq!Q!Us<$=e3-6G8ri6Bf!JP_@a`$KO9hjyHMjd16Z)w!Yv;dHO5$ zqu)^}1OS_TKcAp@hlJv5aFmpPDqRsW+75YubVQ~p;;3(_JHCs5sMU^Ndw|M_8MVBv zZXxFDfU<4j1cCE^DWZ*V8igP9_5b6GMclm%eOUnr966>x44JXr4@@e$RDU~`ZWrZZA25Iz1`PRR5 zqz|O_fds0X>B-GrnPt_}$BRroZ6n!z`I<#fu>peSpPzk3A~(*-3hU`g z)MX7A%(oeSr{tb!-)0~*h|ejG@;On@VV~1jX!SWYcY&gdK#^`Erq7vOF|f~h01&J; zQoT>cY$lS0u&x(0OvFGhu-!%1qD)+CIyRxD{jbuVJXS$)PJE9|Xj&;{<8(o=0L-Lg zj&vP!$e*aN!CbLks@F;|RuGfQNcZh#(L|^-SAU=xqBN5o{U@iG$xYVM^e&waBqBMr z|K#!gCr{`)kEh(HByIO8 zf5FG(Yd7#S+QkxFi~k$jIfuV`SI>uc;e31O0pg<}aLwn#VEJUVIKNM=rGwPsd|B&Q z8WolrruljXL6h_QG`M+?206b^t;K`X;(PN}2{gNj|lk@vDm@`N%&hJwzeUMt5Z=l(QxkDID#~@WXzfXgnL27Y+ zpIWmAsm1vQnsX!2Odg~v=l5waYmi!;->25}L27Znf#xF-9&j);ClTmA4XzlZLC)_} zYsw(CI6u0U-o<>j9j6K>3*~8S88?3B;n??aN{D-qg6F9pDFb0iCHruQmQvq?Y|86aV{3CbsvJ?CU?7{C_{C$=~*q?Cn39 z{7FBh$$tAuCVS~8ne?-tWYULzl9%?Mytx15%>I*I{U^`wKRLbsWHRJ_5S-qBGAU|w zX=5;S17=F$0S}HNp7(wn2D3#TXedqsK8g`L?eUuK#l%GaMMIo-3(`XTbEoERk`Ck> zW2)|`G1a@|XslzZPu{bPsrctd3qC}U-gkHWJpapGGtEIz2X*T(FdC8SKU3O&x%N{m z*LI5KT2HauMDX=g@SAXo<;I_4xm0U8qd(Lg&teSg@xsS1pR_ohjo%oK$Ip)Ef~1oB zuv;eL?SoA4P)Z$pk3MIq_lSA97OB6qFf4VsI{hi~4XM*K(HPv&ud;keT40s>XGh|c z#-g7(GN(`yL(yB5$*m*rAm1>ExG005eUD)r@nc>c@JEeB^ZGFe9~%VkUFl;Gx*ar}M|RL+ z(OVCkmiXXLVN&GomN`I45>mo}tmaZ@b00hKGQ4IByc~k}fCXN&zB}f``aX8>1YVgn zHAVMBQ=ZrDprO>=5M>8^Qi2_Tk!9Gy4#uph)ZG+Sn6WtWDA_^N5^+0dG3}s9$7eP0 zF?6y)Ps%`&v;*R<#SXH+?9UF!8%h@K!QuBT>!5J13oD>WosZf4b0B228PwbS2TDsA zRZ8t7hEXSmSzwq-%ryPT0-{a_Q4t1FhqLn;M2%?738P_xU>! z*!&jR0qdA)2Te-tOa@*p)`Wp8LSPJHP*ttNBm7M}XjN+6T(3%8Wvy3bsaK`fdjt7~ z9rQ#E2Rg_utQ|w!-}T|ZY{*KdP>~MyQ}kw?mzvDiRqNUNN!v2%NAYZ;{EIj`iQqSn z(mQ`VXz9RE2OD!su{Sr;rP|5=mhB9Fch*a#&_P(5tudrI*}S06(+`@A-61S-Xv za=4G;U*G(4cesT;dJ9X?f`lArqi$$tsdJ|enG4kHh2Iy&3~#3e9`)T~uLa&t9bN+9 zMREUit&gqO^-VghR5$+}rS2X5iD4MpLY86v4o0@3)Lju(m=SA#gv`HUiC6`6O!KeM zQM_Xl{{R_NYkR4`t5?YF{5*y=$mS23dAtYEzO z+HZxY%?b~i6@2n#pnfY9m=#u{f`=l^;%2T&6#EbH;2TpmNuKdyQNOUR(P8OBOMQ0X z*m@{}pTU#i@+I7=PVL`!5_|r844%;;L}B3R1_7b~FheY1|MMj0AxR~t!Q8{)mIh3u zgza7EsPzYV(qA`qa-*&GVN!eEcOxceo92kKIB>AAv>49SmA{RONO$DZlm3Pzsm^G~ zvRZ?KHNvYkbQInXe-GqJ7X#BQ>+c3TU_{n6yy*S5r$JWqNqvmg@Z^5mi36`O+fh#L zC!M(O=f(o_u*~c{?{XKmCD7s>uY0$Of5QjJJ*BfZWBuvJ&sf&(+JJRGYj=Ce zA7KfSVO>!cwZ0bloECI@_5-b~(1ff&N+`Y@rPG2-Zn-5Z;P3>IQGaa7s2HWQhxXq3 zub^xj{ZRmk&Q!e?U0u#j4*AQvf$DSYNi# zCD!4|C5rU41xWBxf=k?kFa2J4IMXGT=sDSxlg??_rC#tMWDx@F1%bG6NiW8Y3&bS7 zID1@7U}oHs`UR^s2VQv@w_2MXw>p4SEpFTrv@Py{)b)ME8QL;CK8g4^@JYsJ3_fG= zNx^4a25?%7-v)+q-@5Ez3a_az$XIl<_ODwy(Bnuv28MX5={|<;hx(@}-Z4c-yvg(ehBm~NA(FXDVj!k-sHP@jRORqrZ!I$v zWxC)7X>VLi=P*sRAENF}(dw7qcIz$64%%_=gg)iutX!Ys%(yvSRe6Jib3=Y!_7ddj zNy``BG=IJ`;|4sZFXuuTZkOw(g_+AKkzgP_V-}TKK7a0wYKD<+$R9KqdQ%Z0e`M4j zyU-EV8_G8I<_DGGdONN4CLp8!*r!S1dX#O}TWP7c$6C+B^`5rWqinO@!#che>ci&qZ{y46({yW zvvNA2QPdWH|t4gq`j^cYYyX(S}pr8Tnl)x!qymjwN}A=~TRuzrnqL+;%5t2fM` zJw31^yKkyaM9Th6|3m0j19VzYoInq;o9;70oYeUve^W?%(|@qq|7lF_C~xvS@925B z%}dtb)x@inox{?ObjCkNeWt%Bls_axJoyJUP7FBl=g@J;xEs5l);Y7ixocMCA&K#T z*=|W>WZp-UO8!Or6aP%e)ZwWrMyw%Z=>BG4L*HP}HEAcbL;j9fyoh?S{}*;;>p1@} z5*0dkUPpLx?AB3uBZ`rqJS%Q%{0lRciKCb;x=k8{VWUuTyKrBKRIH zAMAOLF}h&?PR6K)FtUla@pj8@y3be?8cpAw*J<^Pjdy4bHPm_8A~6!=7X`hErE05d zk$3@~u`_{=FccEJVQ*p9hM!sZAirIt`-QOI_PsG~?Am#hx5hum ztRnxl>|i3AHsxo*%e4Xf;wg{&$S(4{c!_We7}mkqU9{i+ltuj??FDwE{)=u7u}J{2 z^=U^6Lex}#R?!LX3jeg!+*{W|le^&9*G>>u>Fi6#_;e0l84k?BuT$#s49vl3)3|jk zD&3k~n%}h-f43c)GmiCd+Wg#+o-sh6bWW;Ey!o49$}={0$mojUk%`YEfqSG)V6Wud z4A=Y_xAdjy14&am)6|5gs(5kuThK)JL=$}vPSY|79xCyFPSbINrqHkZp{YMRACRUG zB~4XNlbo7~rXk{c{2tD3x+j|GdvKZp75_JB3IYL^)8(f|)6J1`f~~UqAY=WAX}WU% z(PxOJ7NTjWxQu9`dreJtX{y6@GmMs>+m0QC7Ni~VZ*eF|g@2)YY*#x<7hx)!dfZet zwF`=xt=n@Wkfp6{Y7Y$hZ+L)Qe+FOvRU6vq!Ij=T6nFi07&N+-A2{Iycz2YHD++n9 zy_r%t^%YLdABVRgZTVL{W9z&E`DcXlsZS^h=EouDbfSVPE%K1;7+HkU@ z+oyvPb$$N2*=zDP=B&J1nr)uqSzL{g&a{VyDZ8n!ITTMGJ`R5WP&_%Yv2byR;>p35 za2k1&YEC1|oeytwD4z5@1xk1*p0uNz?}(R85MsSMLtU75^_8>dV}v8eB>}W(j7wTk z&g`|ykWqhZ+tBd%g0gLS@nR7u;PEnbx-VJk3v2xru0PLGpR#TBM1KP4cg}Fljl$)c zXT!K0N8$YQ{8L>R&@0~IyXe~`o__$ZBhIHWekl%tF>#%OiuK3d9}>ous+(hsbr!f? zRvf)t?@>!V%I13hsbk-umd`en5`#-9j@=a+O&KI?bg3~_wi9jV1WB;uXQ!rcosoJu zdWbF;haSl@{jZSnVvwS>6&*<`OovPKxR911ZbIU2s^`h#=j-Wt96fL1=O5DZ79=OjF9U4d)5K?X)A2{j4xw<){n$rI!P|1Q%D^cV$RyAcKG$f(dh$%* z0&``7M590n6`&_iIu}Tl1sq0!9aMmxZo3sjDrMD%b*sEN(5|eU96Ty>F|Jy(GH1=t zv*+Zj^k&SYH23;7eNy$@<>+?wx1e0P8#Yo|nVvB~y1IVl?K#)&XpPuZqDerKsS-dZniKec;?r5ittE;#1*D;*bb)?G%HY>)I~9Oo=G;Tm$igwtosbQaR3NOFm* zkYv$|A8@&`5%me*%Q!s_=|#b$?Lq38KoF1r+jMG4Myd$V$$)K;@a0_LP4pT1=!A~t zC4I1}CqwK)&H<5_PV6f4H?$p^4U&-W|G+lmzz2ze`Qs9`X22o% zE|G`Q{)SW()#G&%mw>Z_(ZRtXgv*sEn;~w9#AU6r(DOqEawQ`JnEE62dyJcu32bWu z0~mq~i)~%al|MswVd3AkT(TXBy1XR}=*)GTa}YU(?uGLvbKax<=FQ-|ZI(PkrWEqb z5F5?5jC32PFE-P|bi{DZGz+Y7UIOP`Xy)z5>bD}^F zKALk@n{CKGXxNM4K4=0wt=R`Tkb(HmcQ!MgO4()~GQ(1DinU%k*E`%Bu1DFn`o&03 z8ZkE=uO4S)=OB`>A=h>Py^3w|L%mfam%n-1?)^&ern{fKZWxuotI4bLVlY;R(o((Q zdOePfaIK61YvG6ILk(2tw7L50+XQdXn(Uut>+NL?SQE-Br|>Dlrxc&<_;lj)B|ag1p2MdepI7jC6Q3jae1s2qfoRzcKj>3Z ziF*7+EAd6h8?R?6Wi5EP0U!FK`{=(OEGEED?xwU-XE&A_cG0c)6%sXU*u%kY<>z2x zdBk)>e*z>rjwc(*6vSPI@A_kTJ#a5VtCxo~0xt|9F$$tMSgg_HSfk13K`1xLjk&}z zz|iWo(bRO&VQ;Me)1Lit4Zv+SwH>^58O>p$g@ZN__bxo_wfh^o#KA9Y3WdPm)w(D? z{UfgE_N)UGeMDb6Cl1t!#CAopC6Nr$9wp3 zOzE6)q7|O`(44VgxFVapu}_ocSfMLpQQDEfvhKjLF8!b|J>s7Zyh+UGH5%mN+F z45b%u(&A~CHft;*lG-c>PCn3H6E^|@ZIK zVx&+b|G~Ng;ra=G_lkeuyZ+dhUqWCVJZ7u!W8gFf?b7Brw8i$H6dl=o)~vLU_BNiy zkI*vK^RUrj;rJVVlK&}{!M$CZlPtf-@V7n$;PF9^c7p}Z33_@<{O2DgoYXmhBg?aG zlDz2PGR!0(o;gjXc=8C@=?MDazy{PHn3}ssOe3IR36qWny<_MH zk?;sjz-zT#+H0g16GDrogw!4!Se$=|p23>r^sQIww-1&vIFx4nao}BGK&Cvv7$dnl zwsREBA}YdT>n!nwQ^$6mvA+jC zj97slRTP|M!&&iwWH#bNBcwMQzNSWAg<*Ae>3TwAZ<WUYS*%Xjl1YG=^5*4pXg>YChn-Q@Ib&u=z zhi-H8Jnc;?z_x@#4?FZnI+&fz)ZIZjDV^iUx-6yi$EZ>$A!eX;Q)N65X{p*GmY$#^ z_&P);zUz-Yjo05g1zeZ8_oG2boe+U#$HVtN)N?6FcM3u{~k( zU_BtPw+Qp#_7G`7kNEXz#DmIzpPUDveF1*0qE{bv97Y`?yu&1%dMB^FbXKC6gFJi# z5s`sg=zY|X>l4PMSDecYr%}UQG_)fATK6+xkrtiAP4G0-)URJNc+5xU3@@Dp#p@ME zX%yDDI@IJHMVHIz^261B5$`>%a{`@U?Y3+6;u6GaJFhk$&!oqTkbYqgZqCNdT)H`J z5v^8Gskf2z7GCswCcJgw_h_F6isz%j`eR$r^`g@S{;8^yagZp6QpB{wHglwgO6HfM}T{Fqf& z#PPR7^d80Yo4yZwQKJL*g-5my#qGm@!*MUjjU;pg(;Fj(J{{xve1{zVdxxe4dC+gF zQYx2(gLHBz0D^h- zT|l$npSbC5M1AA2D( zQKki7LminjSLUr*HG>r{1Go=3xLd0q{Sv=&d6DF$NF`sYqEMVGDdh;&d*-$ zorg2`)~vpJq<7`QHFtQ7N4t0BEL6x2^L(EUi>h!zhD8^GWgtuRQ@LTlWA+*+0t;M> zLUPU2Ik^Ncq}KJ)F9^Mvvp;7vp2 zz;Wfx9~N+2IZ3@K-+R+)2y;Vz?yBs(szG2={;A@9nmTv=UD#ic1uM^8sRyhbZ70V) z1^I;gVDajS-}{udkWU#KQ=k;%J`VTsnIr-Dzd_ode2TIT)`l0bhiB?%P!Z(jLEut8 z@n$f%N?ZYOC0#5lqalg)kH##<-+1I*hY$7JgTbUT`x=Ho-uQIjo>pnT5BY``C@n~_ z4uU9#kLY@jh701KD-!wXSl*R-)V=4}|Haw607h9{|KA(3zyb*g7$jm))L2nav0zIL zwviA(5sW|(@P4CFu~Nw@Dwo87vOK0zE7sOt#7pbXUPNlW;4QisP*AZTpr}QqcGg9W znqt)G{y*QD=XtWBUi!Y#hkc&8oH=vm%$YN1&delu+qm&W3OxD z9uM;s<=I==T)uqpj*hacc)ua*`#j#~^Av+zK)_RQySPAl=Txu~5 z^g1#09MTpPoahAm+!HQ1cMe*vUG^Js^l#?wp1i5g@BR=Obl>sUz8d6m>!K~>3;yO{ zm|f13{dXRRuaC?tfzJlk zN1AwckvB%3p?*H)tt&#_lc#0h`pEqL@I-zA zN3u zYGXaq4@Iu>lnkzqbQdbj3l@J%dAAcUQNAAhzo{KmUgYrlND3Rxy3tOxg$yUP34Y10=e}K<@TC77Jc89ItQ5F^mhaC>VN-BT?5)K zrhL)%F@6Ku?laZ7F-*Mh(#tNt%9XwQTguLRv(8G{Qqp}>eRopM;vueGRraW%@LN{d ztBA{=nqBhpLVxfJit?OBW=PHUCwh8GK{B$XE4tQo>e@({l4yxRLkd4&NuxjUVZ-C^vb%A zk^EEbJaR01dM>=2adgYKz}`jt;Qs;k^rMj3Jb~=eFIS~#?!J8Ft~wyNzjJog`EMOR zmlHOh&!v?0O?@eHtSjRjesAWvXC!^=d&uzW`p8}UZkz^jCMxcyvP z>GhNs{Joa-9)!J5*vWi+8$R<-uaBH@24(X58c#9r%g^4s?4dyFKGj+UAo!Sn)$#rH ze~K4R{x9?P?v-vnbL+Rjyq$QB%>jS3F8XSHU1#>*Q|H>SyFXX@GkLoQA1df8Y_6LK z?%5k1(m%X>(z%yjxQj4!uHd>0XFsz#S9kN%NB;2kOMULWA8)k#FMBK)PMywWeUe_h z%gtZ52eQb@hV7{)A;jGafET2p^{SZo*bt-n#cH}M32lXzdn zBbrM$tXfuY{lV^PU_QruDcc`RXTVDzntq*|>kYdeeqMr}&hN;j^^qF#R`EWbXAbW> zug&JKjZj%VztGq9GnvmapJv)JpJeuA{*!6Ve4P0R{r|&EOJ*1Fck{IH#DF!5Cy%Fy zr^4lt$cn0&`v!i*JtFGO%0z?aGj2#wi+`1fQ8OEtEeY(`~%M%rQ z+<*%kY@X4RY(_E+V|r%8M5XElr}W&7u>hWVvkGIAK3 zNhF)=%irywVL;35U&a3~ZQ7p3mX9?5@wm$`i_clU{MK)QtN2n1`ZiuE{Qx-@!kx?7 zU!J*VpNQPBMdRv*wQUeHN)3m<$8iB#;& z&IWDVS>pLicG#U-2N9Dl(TBG#&1xb`d1GB^3dkjvr9zGaus-m9d4bbg^+uKgKV4c4 zRD2GS=Kl58?`LUT9oiHd08gK>-fjPYq>ujiBfSaWft^R}6*`cnC1-GU6awVCMv z&2qGJi>eTlwaSSqPivL_^5eOJGB#>1vcECozVplszWrs%E@ZLGlC~{QUj+E(1-a2M01 z1?rw!Ougp4U@^6EMZ3J`n$0uKEZEx*twVpj6-uxkpEUhwWIR5H(Qt_+0@b{vjemPJ z+7BFRy!_IQ%j6rQ1rKvmYeZ-IlY6UI5j7t$GYZ#buccH0KW>W_xo;&=;56m$ny^`F zsTMvzzioodgws5@JrsgrZaODYw!N0ykcnB9qgIfB_>A@&YpK)ld1U^f5R=8`&3&NF zHuD(B5^!2hS5f>lBPiGfmzD9rAra+xD3e%!?Pd+J9A@gDT8uDttw=n1-$<4?=uwhzgx%eD!9;!ruP@U_ioYZTO& zjE~wThyP6WntLsHpSb zQQtwgc(Mi3yn!4HJHt&tcYVkl@sj$(QexBZSvM)Se@A{&^jWUrwqB6!a%bBBO#I~< z7PLg--K&jG^WuUu{Ha^a7CcJi?TW{l^U%B+e=@@bS{mH^i0a+uk=}f@l`Uwgoh&Z! zd%;+}Z#KVCBwjwPbhqVdu%_DY(2*&4G6k1aw#56pgy-iAW{V2jYI^cvIeraSon$7O z_|IV-Takt)*yY%2w}%LB-E4XS2XWG!*RyF#*N3y^T)+e+R?Z20cOmt%*-mn#sHEJ# zJ4-n?E;>J$Ap3z~_Vq%QLGooY06(&D|&$2*WQ zA+h>PoJ7rK0=&)4120?qlwU?N4>|*Nwa*YB>*R z<#f5ouZKcnzbVXUIHnoQlc^?p zclvz_1cVAxoj3jQ%wX|(hzhG>_ zsg{o6iv9({a`->0YYuA_(Y|{@;$WY-5hsU-tnfIvLuzvZ{#azSK8D@R$3plJnBvv2 zPDcU80saWU$<%H}aMh`eCe|fuUitbSO|`jRc^7w{740o|>{d6r-er-{(N&2vB_VqG z5~8|w7nLnN(hVp69D9m-sgD0uf=Z%*x{Upagbz1|Ra_1P7qy%y&Obo?NWagH z49UK*yvOKUs^ZqLya3=Vi-}*q*F#_x7TECtuxwa!d{_tX32+Z!Jz-;fScens5c`DS zWa>kDuSHC-?ABu!dyo%qZU?v*0)WRu|FEW4hXDUz0p4x_a-@v&`8+kO?29&6bL|WSS__8` zfn>XzKAIRG^(N*e#&gSWEHOThr~>mZ!aFnxH=VP(nw=jaPd8{>1bK6x7;V$asSr~ggEH(HX10sByXf`myzGamuIbVicJi~F5_b?1x!JtY9goL((Re=M9`-i_M2E@0oI%I6)3^XAx*9?6sqVYIy71x)wdlT9dJ=3vH`PE^M=0xsPnD?=_b){a+#%^{rWhUajqg^9bO8a?h^JrI_@ZXTe&bQbvGrTE? z9^&=0@MgLeEP=so>iqzVs@$B}hOc)w+jzSySI|!yjz3vwp~)pP{q%Zz5=q06y{Hrg zH#gZm*p_cTlxB=9>*fvA7PpIgq9fNbbK{7*Z72KNwxQ+3(})o-mSBrF*L+uA`*y?2 zn9o8Pv9HGK#YYm=E##pIL@W4&HImOSGJvOBR^|8%G{xL90H(e*O5Xy7{Qz%Cxa$!K zL~|992^(pCqIApEL8-+vxpsqrP5OvSI>{zgy!L-H>X}i>@vzNtw%v8qPh;CwYWEh! zX@-)=IQG7y*EEx_)!au*510a!TwZ!k2=G4ibwOndgaP zTwAWH5HlGV;h0drRuf0J4as*@f8q0hYQuMw9V?qu?KG6;vN>(FllZNoi{ADyXgooK z&G5E222;x`FNQkmFeGf^<=6N zxs%3k+Sfk)eenJc#+Bp6wcl;mgtWTmXYB#5l*RFjC9@9c`WwP#dHrtJPuZq|n=AL! zE!Y#mr}c0ORi?|rOzh-nH_ILZy$^|-v9$6NKj}1^)E`T(AiW>{6T*|JyPgEW_d-5e zLA*ojPS8rGmMHrDWtE>udCuvgMzMP_;c3o)_^3u}xZZjgYW^G1;W*g_JcR%I$=QtLJRS#1H(j;*LYhYvf3Z}2Ln z?^(;&W*XnOZ(y>)ufJ>A+}QjA4yHwcWHy{@7N`wmXEl0h%S>PoW~{7b!G1%Uz4cGM z*@Y4ti&p91F`T;C|Nn9#D*FB|VbKy2%(AhuFl2a0Ov6zdnW{u>X|#DvDfd%iIh1)v z^6@t>)1sfh8HuC@K#&yU`=Npb>8`IO%r_utOSqq*bpy z=4my+jgN&a2pv?5iVnIZCHj`LV^Q{be-Ff0k;B>|E23U>m2mT_@)|xXU)bAwZppJ( znY6QgG>qm%(pr4C(XjW1y@|0<9N3KHxY zU0v2zTk1`Kdsj1U7yoR6b=kwIgWIw>*&lOgKy-iQ=7_lYJ#4|>0|#wzmc33ENU?IP zo-~>6B4Sm*()W^%K7+Au>r-!aX~cXu>Mn%H+&pE~_*bpmfX&K0HOPFa%e>GP$u&l{ zJz5s-M>QIYuJv9Rvfg`&L-dC~dy}dB6CBsyGZVcphkUW9_bJvUu6fABaq*86b7Pi1 zmM6mf*lYgox;(wJ*)XPaP#>m^8!CH9;MqYN(=;2iqs?On1^cbvAWw%H>?q)mNp0pO zBArPaONAYqU9*~3ct|VxmktQ%^Q?M3k3Fpcc4mnydeBOfQd%> z06t0ACEm~LH&_keQX?zOJH&?=&IK@W=BMhrsXsEz`+KqI=ZzK6Zvg1Rl4@SblPug` zr2$2d7qfA5CX8Q-r2}!j)h`0m4n>UVC}O##NA4JFd)iH^oR}PBbsC^VN#sBtc*>mk zKvu(+6BrmQr>+VO5c#CBJm}>YQC(WRRRZzi*CP?rgCdjO`m#+6x_HldPg$EZ_AJZh z(SpUOtDN4ppgXr*46`fmvnK1TjZM5J|g~@`y6($=#JnP*i*gv6U#vr#k3;k^;^}oK2lb+s&=@(My(p&{<>ip!Q*^!&f5l|($ z-0wqyUVfTe@L||cH@dKUP`MDjEq@Lw27BdFFE2UkiA=`S+y`ql(O&Lz2A_=Rv!37z ziHW@11;BkX@%Ebez|4H#Ten7-nAd?2qCT4_pRW+D4M~Yt{mMy15GKI;H@Q{+jH+k+HNdlLZ!p**}cH zaN9%Exg6WrO7L} z(D9F3L48N<1wQH}pss;1K2*n$Xhvjy<-m>JQ&Cb_JBlo|WT~oI8>^2@@p3a&gSp`* zNPDSE+s&peB<*<8G5|N5MKn1Cg`APSJz1!qJ9&T^gNzu}HHY^I8=p3bIvDGW^a0zN zdEN#o+x85B!mtg8kxOm3L1FTJIO@s|tJR{_BM{Rz~~0gWpp zAwc3Rknlg&nMTru^uC?c>`pf&c3yThBYo#S(+!Y^&OQCm3(iF1l}m58HLHl0wQy3u zTT;qHHV$e2Ngu}{hhLd^hvvhgpl5!eEp^4aWcsZH<=ax(P|YnSxREjrK63X zP-R(%O-$1AyH$2HpWxURt64UigjMkDBI3KG{mOQK(+9F{Y@Ue2DJ2nBftt;yeB7S{ z|23P@0y8#d-eqtLM&aI{gIt;9r$1pdu!v^$`w47$I zpY{TnL+-VmxJF#glx>jOcXD3PzJyvG1sr;VoZB|f3X-&H)}0Ar=GktprHyB*q!{au zyTXP$A2>eT(q!r>I=Qp&8;)#c}bno5bqA_1Ej=_MeKVAC;l%-W^sJ` zL?4tz@zoH;v_#RrK1M!o{G(W}os~OTX%?9fk2gEv@dJxTQ12tdVmxutAA;bT&kP z4MEY~3_U<{f;*9pn-AIfLpWsS@zCjB=Fu|xk#+ob)02y$4bFO5UggTtPvL~z)Z zJrQDZk$IbZ5Zexnr+^9_9`i!O$VkDGS1l$joSL(VH!X%n%p6;hg2nWCKjbVz%(K^v zsdNPK-9*e%yA>?{HLnFvR>YW-n4(__w6%eBEeOcwKn?@|YkB7GgpywqJ7q~`{`bep z&7{>EajVzOi`0$m9>?pZ)hoJH>%b9I&a%q(cyI7-Xew%)HozQ;?oe?`d}BzPJPMHo zSY4@n~`^b8qNLG$|ADQbB&U8EM4dRTVU~NbPpu{VO5=70Dv@Qmh zzMQv(UNihzCn;X$kWZe za;%(4x`c4y))wZAEmRcEQWNGEC?MU_6?XZDnj|nEb9u}=EcpTa8h}$Z^@HFE>R=iB zHetJoS*~A-4ZMy(Q_WP==A34kVKkLJD;dC$e@9&^=kjh}K3m%>-3N)J{xdTvZJf74 z-RT04b%@kU)QPP~EoR-sPAYFe()oku-NbelqQ1YBS6+-9H!J+yHs4UKO$6sBw1(1h z25D?XRX^FIWQUqu#9E(q&y)4(9N+q6=hj&KTHLTYlEkvpHEWuWCyGxm+ec&@%sLfL zVsvw#4u)+v2OumJ`e0UQn_sfdr#KQ1DIEwWGoTuhQ#e|y+!ur@mJP3crXoh+Ewwdd z*vA1!jJXEz$<&!h^DL9yt3+zK^eseQGF5IPJNOs8Wk0o(UKA^B4KhVECOX}D0y&$0 zD2z|Q z&OomoypKiS+9mBOx0`RVdJ|!12D_2xMl$2=AQcQ@!^HmpTh=oot=HSzO#Qhuv@f0e}e2 zX7k*OIf&_YI!@AbvUOfbLaEV}2-#%nPI_gB8trJOaYtulwQ&BPtf~GQ)bxHq3F4_{ zYxJnD`Cb_6_ab|6QJ!TpF;g*(+&IzcAC8cG*cAf$)S!@Q)zNGyVn8SHxd=W6>8vBm z+KLHT`*?~K8lQtkGW9ybFRTBZO(e(vIP%w{r6p63Dn&5TqN7Xnz%0@|;1}j6PQ`wt zqc+ga_J$G_vmV=v8U9x>cZfohEv4PGW4z=3FN*>PLk4TM4QqG$Jh+qlmN|O4gAF(D zn?*^B3d1;JsB+hu-9(wuQcdG6;=}Kb4G-YjDGwT0)(NSdW)ytI!X#HBf0wu1w%mVm z5)?8@%)9|5d#a%D&OpA~hc>+f8fQvbXiycAXUzMsgH!@cZOke-KWYQ#H|Ioh&g5g4 z+0;397qQhY)|QDC#b2#>75q7D%T2+%S;~~(oke>3uJjz~K>0nxb3H>D&Vfr}=9>N? zfr3#k2?XgdK{v9w49|* zW92r!0-}FgD0P0lUr2m1RiS{1K^Am`Ce8lV83H-?1{^h{1s&UaLHrM)I4_LFDZ8{4 z)4LlXhla>329A(<0JzE2Eq4f+Fmff405kg|c9W^AY$O!O#?{J6yIAE{{X~W`Cx**D z#_9s--@=AMd1x5ZNc92Rk|GG~5o9?WU_m_unf!p<6|y(4CD) zPo|!;k!msFYk4ANRpUU(r8xhU(w?{PwDASb4>lY}TlZ3~hV38J58gAE9xjG%H;-5+ zpz4}lS+RC(9h2U-QF5luymc%|oyehrBNeo0f9pW5QE*LPqSu;siE?9cqNzr0moZ?Ir3 zTl(XJHEyUK-wdJHbwx3^9U}gsdC__exy|Mg7%_xwEW+5Wkb|86=^*>_VA0vxP27oc z9w*5$G!^PZxvi#4lDVc%nv=gcAr$47p7zG+l9HfR7}?1vU0ayg0jz`DFDIFX{*x>w z_x#>=J&TFo_d0jIp=e`{m(?gQV~>%uQ-KY&9*s_a8pEJbp8kQCOy^WA+XtIi&$@Se z)^@FXH#h!)w_ymkrnlW>)=^|f2OL>fmLJcls4MGf|Bb|-4ym;-;8ongvYe%&7RNAh zIFVxU1kyX1`uFct0n6YW{OXUnzK?|(Y;{&O+RWwSEz4$!MtSB+cd<%_9b6s9-ilod zDakRHZDtI)LM$G!ShSj4i^aJX3x614$>1fk?Kjr0&%9{Cuc@@Qrl|IVbXnXbG%!(Tr7MNa?EUOqeH0Sc~e7U~1OPYnwVRl-K;QR}1 zdm4fgF9s_tf3Z{}Rk&+|GGq#h_nFl&?_hD`b}XJ1r6^~%{4nl_Fm7mO%3vF(xkXV# z#(OA!(_(>TqCKI=ujxkg3Tj%Rnp$QZ>=M?I(A7;ci=iKPgQ6^VgQWS4nPn%SjZsdA zwd6Y=@?|KZqNv0DAeUkri#Qy*uyIUF%-p(^hOsEABsc$tj*g07Rh&n07&^L^UDJVv zRO`8&(QrG>dIPfqI;@eL;!UUc%^V=x7+vr6^okP$5;5;2Jh-EYnNeI8sjS)&qYsy8 zMD6Yj>-4~))wrVZi7};lWwoVwi+<#tQJRX; z67R}E%IR2Hyd|E?%(P-s*~beWDvP%*#LJ8p;>c(zcV<2$$H%?w%+~o!0tYEKzG_)F zx3QwoTy-s`&AG*vjm0O3=i|;QsqMpRK~L!JpH;l{tP-VQH0u!vuCSt#x%6i*Z-f4& zS0jl>oSs~B&mr{@nD^&5(^gh4OLkfI9gGmnS$J?q8%#q4o+z1Tp3^c+2wG*<%{xGH zR3l0CEkLqw4SLg^J8e408%qtHL{griCpA^M_`<8?TcX<5N2{T+#Bny=fcuVyjMLNIoRNIdH-~m z`TOV&QyWCPEV^R5vSes=o+T=Y2CVhPWY|5!$E8Bdd zv(U?))Q(O2&p*qFH2(K~Cog}FgR3(i&257FCDvrC8oId!^YK}UiSO8Js2e%{n{{%G z)T}3P@HN(E^dcZ9a=9ux*EHDIhBCE8Zdq5Ir4l!MYLnCXRAvTeMSJYGaA#(>lvNtV zoTwPD`B#&xmQ}w4L`zpUL-XxY%x}bT|9?nz!=qGbh^iz~4S#mo1}EPNe}#!f9@@-% zs@tu?Z}%%pP6U^9eTO-ml4C%Pv1O4)xWEx>#1@G4T|s_ng)iK{CSRV*SLgEGpnRXL zA>Xfpe6&}CJxkmB429da`~eLxL%djQ#$xf=T25PMX7iIk%(0lQTdANt&hf1wr|3SZ zEAru#9@;9#N7@QSY#2;}=P%T{1WQb;h8`AZr|IK~`c+wVUc7r*Wo&Mbbe9EPy)$>S zx0hA(xIwaPpxF1+(ez3~??*d%$zL5_8QZTq&zAhS#q^<2$GaWq&Jbb-Q)V)C_01@o z?`a97x)nhz-%B=y+d8-5!BnHI3)U5O_uEir42z?*itvmK4)ByfYcoGD^(Q30#iTp& z3SV-AirGiSh#CEPo73}(HRe*5?8X@6ItrV=i^8_yIPFhyW_J|k%g^J=vNYGZwk+yz z%lhLl!U+Ivj;OO2jGTKT97Z85)oUl`6CM=5lC9EqHT5?{W-SEREt&EPt3f>JZs{%< z)bzbL>)X-Di6xwD6#a9evzeATs%2T^>Z>TiNjeasK;ngplOO9`DAt^?VzIT#6duUM z_J?jjRaADR3*F;xqyMU-aZf=Er%|fXY~*3(FKBEbI;$S|0)}IH=e=@M1m{D$1Du<% zXVt?v@e{Nj*=%O7P>V}Un#bWSt;sLAFcEKUu54q2RjXW8^GCSeGFUs2V-M3?F1ZPs z|ES<0t>gZQfx-tcKLAj=7l4rtz+wSd_YeS%06@5vJil`_g3D%+`O{2}PXTrYGRk%} z)k4=_mg%t!)4e;^`sqf0O0hgqg(7O#RZl~~za|fLw{*#~jKp(eLq1uuXJP-c7jP;2 z5X$GS<6@QS1y~2?=IJ_gw`?=3fd!`?wRy8L&(`Lp3lmj&>0UYf(=E3u#s_;~q+ghe zC{Qf}0S2f+e3Y(8geV>DP?AYj#2rH_%@JbyC(qsW_nFi?D^z-73V}`g>xbQ4$T&t2 zW~6!Ew5aX(|6v)+Dy>uOrf0ccSQaW_xWPv`X`&Jaa!4y=vEI(d-5TOGOu>nMhG<+c z%RX6=n#&}vXZ=$d*sT7|$WC(g#9IW_j=E8f>bEY{G~ zqE1x&lnhy2OrpYdaw`v5TK3nM#~m5UM?1nJ7jRnPatynPnJtR5skQn1LCUaO6wBr5 z764u;9`ng%!H1Z^~6-6(^r|Zlm^%18D_0p!nKxB_&Y)0vi!|m4=19JGQY1f zQI+L$`VFZ3e&?$styM(5{Nk*(z2k4x?gXmf&sze~+)N(Rw?WFiGqT4-G=0lg6vkB0;Yh&KDJTr;xJ|>S63nq!G;^d~I>S;yywYm-P7tPagCCkT?_M84rCSK((ru=U@4@>(4 z^M+Bbg{}+zTnU}Vhiha2KnmeP#68ctFsc$SfV@U*Fx7cx0YbKs`#q{-=5onfcSF|i z$&*D~J7^TjXBT@rL%nxpk@rSm1H=4vRJ^qg{qbNgJ>-4w`9>z}TTPRoJ%2*uxFWk4 zU<%QESLL{gINrKdY?aTu$&9{8%0Bqo{R}Xz^oRzkYv+NnP1d)+%_U{r89b>wO6!dj`k4Vcfaay!Y` zY}Re$EPyj@|I5mE5y?<<7+lZ*Cb!>Yc9SdwGQmN0KrrT;YaI}H+sQoW=yq2f-wgG! zrT%od2q|0Y%5$_CEdCPU(7W&j3u77<;hgicfvoNXzHUP_gJoYJ$%co?g2>)B(!zN2 zy8-m!d(xL!`F!&86u|gUXufQg7LMA@R{jE?DW=WR4$9hN6F66Ri8?0*B%ST z)oQWMD#nf(7TWt8h{Aub9_sh9aT^skw(QE-{N-U&8PHHG-$gR)-v}D3;QGhM9N`wG z;J#I&B^=`WrRFpsoSJI8&8q)#$)XH~*jGY`$5Csk@slmYopj5rRht<^I%;~GWI}#Q z(eH<3(YqUaA}EvWj;-r$y6IdZdzL@?TSt?A2_W8&Du*`@}ol(@BA+m54VL@S`^U?g`C6VV24L$ zk&nl=5RZF9JdU$?%n9+}en6N>`?2gaGW}TYE@3T4k9w{l4w z>OVGDv*|xD5;<-=E2@)l{x$m@0Q}itqJh?q0d^T|${gY@!XOQg^`F(Spl<~AjR{&& zrkd54Ip#Y|k)*K{I$yljaF>c#H3yzQ+U6`+d_Rm~a~CZB1Fz!BTT3|mu4nB4-ZSHA z@OEr#SrnP+u@36^E2bwtT7&~=+a*5yN8k**qNl14)du8O39ZRn6@9Zx!uwgkf0UOVh zQ+1jNrv90c+I$X$VqC)7e@14?be-d5+sN5vPzUkjVp|^mKaa5J#=Tc)?u}FV?rx5Q zp|MYCKS;sNG(1j*$3fz$N-ldH3tFQxQ%2U!FU6I)tJxqa!)eBAV+cCepth~p_U@Q^ zrlf=2Z9o6}fmrkW-S$TUt=Y_3Pl~E&*=f=EzU;RvJ1sBX+Z@d-#m0_a=bwtwapXe- zfE@610iI0#a>8YG`VZWd$Xq=cY_PmbS^!+Z?Opotz! zOD$)i6^1<`OmK}rZgRD_PhHsNl)Iw&GxSJn@!pE=vD|N1u;i!ogOE$=!I|`C3YaES zzoI8)iP7H?sR8x?> z)roN12s25BA9E9P4$gjgPXU^LDDN=a_|mCp0J|1~b@OR+-_^9ENsnV+QQ@Oh69uC7 zn1Uw{kZ;4`72HEEqho~SjhHv)x-Q;GyOg!g+)OrHK#$81F(JN_-1k~QWk>20ZEznn5au@rc_{t%9b$LQSuFm*6QyL#7lCdD_*}_MtmaO>Os) z4a3~EAIKz4&gb?-q2O6?k~UwwB4H$^Cn1d(y)rXg~1%7LDGCm047>Z&1bUA&d>KPklNk6?o#Tc zIn;&xjn{t=5+Xa7vVC0ejQ=Ad%2#y36*X>8IkztM;H6<64&71a!5r(RBRKqV10OB( z(Zw)gKb=Es&bG`|TSkT|1LtIB&^5bz-OQSKmgcfsdgZOSqFe<#n1_`U`E3Jb87>s# zFvd9Bo|J5a%p0RZ@{q7fXaU1)!&V|X;+mVN!ak@#MD)dx+Wq-xXlh|59`oifG?1wk z!^ha!nQ81Upemfq^;0jIA+$P{7_Ge2Gl~sZKw4%sj4!cnoxIkMFNrYwXUV)166LMl z_`xo9!*dxKKSGy+bpO;}=2I;nFY^HEgUN-iS-=D8rw>WhyR^a;l+7P#XlNGiYUV57 z2L7S?hhX=H#nvQH|qqg`(mscu=X{vm2+q_b|kYt{e8*g&E$ULtSO_|& zQq!9PLTnmtt6qP;UA{ssTnz(1KPB~kjFFj+C} z!rh(Dado|&-E>ikG@!%5*UHsk&ve7QVUhSL!Q`(GrLzW<7(GmMUKF;n6x(()+!B#H z9kY*YT23NGPO?5%H^W=VZ<{SR9EIdTjZ~$aiba{ zr1xbQ=lx9-(FA@MJM@eyy=}+PQo97|&u2(+pYj*j{Gt^h{j&0}bory?kG@h;dfO|} zQq6GWOS6`9*+_kv@)z3t3{uMfGA)xXapj-u^2f*@+nzskbbaKXVDV33ff1bak@AwU zA*@3Lt4}tpgkbq~>6ZoTb#Qqd#avLZU0ELsiq_dF?0Rl!%P+Y8cK}~j_e+Y*lrFiO zADL44%jrS`N1}r(>LZU6+QRcCPafX`c%UQYs2=&^uxFRyouXU6CD+lu!>y`v3 z&Aa$_v2fSCW)wM19!b(i^L4VmzC?zl58~?#eYNOoAHHhz^_IT6n%nS2kf#UbG4N)D z;Mj9#&%Mz9R(njnJg!e0FTAxkS!oqFDL#9);@sR6bl%2iXK0t&p14L0;`v^B4D*<1 zP@m&Lw%`dGk{M0``Q`${2{h)x)3B6RkuBMe8LFkya;lr{vz`mpQ zL0e0j*%V7AzxlTkfnQeA+M<62#7?XG;vM{K`%!c4q0HAW(%t!M+&+5@CwFtWi@6ja zr7fdcxIQ{*@_=7ju(aErZjzgPQ~yMFI)Y^&YZt3u`x;W$9vA3)U!PkU^i3&t12u!v zVA<{)W@>(F4Ksa+w8Ilyv|{E)Qpw~IKd8~2qU3VWmOo*d6CUF`RIH%==_<2%$xi<5 zwK9e^05A0=yKI8XL-Ry1aocAjjRTt-a`R8Pr6Yls8s#aITd?*xZsE#T! z6-mnd&sV@d?(jmV*SWFQe1xCq%JH&V4E`XK@pX`fwZT3TEwK9w7H5nL$fJp0AN!?= zpPkILn#YkPig=O;4~b!- zj>Gtn7nv)O?N8`aB)Nz|088(0=Kur`#mRHb>Qmt!ogHh3DC`mp*bDKyLf>b(yt~_p zmoFeK=IY5>a%Fhm?e){#$E>`}PK_9KKY@-jhybgK)Fp6;D`-SVK_~hJ;WqUmBc;kY z54oEj=4#<=6m|ecy><1X67%LnYO^rgg*#QyDCvQzm2+7?qzg)w{v29Wo6Dpsm}eLW z!eqy$uFgt!Ftko^Mo??rym@lrVy`g6i!^DgM1G%~=DMuxHRqE-lh_%^|77YRM#pdq zvu~4?ZCgEvkxg3{&5f(5zP3ROP;;)!Y-VgL2%NFa|3L?O^#Sj>ZM5>N_U8tEH}pG7 zd2!XWizDt>K`mF9x_<+9QxH4M)I4Y*1o?+Qdn$h#7c0gG%*C#4m)@L)4yG+MQYNi} z&qk{s&Bz+|P9l@;_wHur>YJb#W4K(R-5LVR-$3qiVgT6(I|czVDnEX>|M_MQN}-#? zZ*l!SD2g=$bLmX#JTB(oFH(55$M}+IwRB)9WX;PYHd?RI6Smchm}w!`tKp@dWZj zyHJNXSJtTW55bP%05HW}mfO%)SaAJlSe=3J zcF^51fx9619efh^i}75-vy7*i=PjNp;*~~W_wYR6e=BSY&*MDwf3qGLGpc+10IOv~ zb6I^Rx-B_v=6w1=>UsKxQqB}zaVw|c+sxr$oBEp{GlsE+(KLw5SKKd&YpmX-j0XuO zuSs**L_h_}-kC%)dbS1rA)^%9h}0XugxjVPeX51BQ&5I>_0ygzwv~snqP+Io8 z*|aASCsI^5Rx>GXHzQCuyouZWgcq|SJ0s=!5YC`9_+0i_(+=lX+WLiyMShlfpi&OI7MqD*kzGgNvIEDMBULcVD542Kx zYu36%i)5Aja`s@-+h(?tDt++SDTxL4%!fq0^I;EjD8{Ux56N=PseiFb`et7P?$DVI zoqP777l@9UD{k`VidzyBHzg)+PfUC*F_FD%SsT}WaY{#TYd80yRcWYcb?YfLG>E$7 zPs2p|`fm7z2y3kVz^7}eRz%{*`n;L|lD3)U+#{A=os+3zy4l)TZCP-(BK2xTeQ|m^ zJ;BCW7Mx_Ww%U1qzRwNE5tcrN48C#0{&s1sw!AaYX4piVTl<5jws(+)nkEdz9EHOO zH)v;TwoS?+gw0f9hOPV3Kim=zwT1;I!f`KxXcAQ;(tU#| z+D`;ka7GBuL!IC_t}7p5a#q`!C>H;K6$u=np~a|Ol!k-Si2xi2> zD1Nk!9^tRO9aOQdvW3=HNAqXAL++;#9Ix^+l`WiW9y09{AZj7lI)TmB3pGrz2ubad zsWUEx7ylWieuLB&X07=v>5{2Yiszh3j#Lc!!TkHJj=>;(WRN*^#>EWd-f4iBvCR5V zy7B!qfS5lB(t|ISh;Bpaw1~JUM}40lp+mCzxUX_lC>u8uMSMA3RptbJShC43T6o-$f#Z{ zbL;{|B=U)4p?P_ZH;hf6&T;vA%Cn1vMOw{iHgm_xq3*ydcNY99ncfj#kGbuK7g@TS zv4Av{pk{97pCCa^HRWnd{V_^T3Xii283a4&y}Mz z_#FjyGnrGkIbk@P`w?k7W^-Qv83cQyM|aHTN=TyVSU?-+F5r7ETzYCi8LIw*LH75p zjSlS+XKNUHIL%@)psneNi>&?2+7nRU>$1!wu&6MOUuaixtKCJ3-cmj z-VMyV33JRErnhuu-kQxhs4(d(1I%xsM%1wz6Urg!GqMo3Wgnl}w zsv+5)?#`IAQq7uceodpGL%+}KI22CjQ=v1)Re+r&+Ez5LN>i+EFSrlg!77w9P#^Y& zTLzRy68ftHxn`6tWR0!TU^3W}lYEkJP)EtnYZ{(wdb^M{ygo{Z!-du9>_xuJ)Ue6v zxbkPPR*|BD>rVtMYTzHI)JIt7z`md>r|KgI@C@S_%`?@16tCw3p38aW``^p>{RPh* zJooc7@w~wEI!`J^U8>E4nvA7h=iSyy(&H3HtQ20Z5vwvNZ3p`buT~j8;xx>W80c!_TfZi|xy_u!!}V@r_$! zZ53pa1tdK({JdA6hgUz$PsHqJv+f^8HYzgDFF^OmbZA4}s$GwK5Gj^S75!N3c?etkXX=>3e)AryDv%*E*>ms!nbsCW& zb{|{p{4)vOLoO#%hY7`WxTYH5>F+7FN4u&|uACOu9f`2jlv;T&89BFvRnUAVJMTTl zI)U5HI)=se$t<>?#;mGJlUEkor)H*9*+|aokhxyRgfVBdUdnk{_LK8ao6R$fogwD; zP`+elkwyA)q8L(wTQiU!Q&@*ODS}Vbb67R;6gW9EWxTa>qOIabPV8tL38rD(-9YrX zF`3iKCG@196w4A7j5jZMQ;MNl4iXL1mmCM;0~@qgtG`!K!k#MT;Up;`$s2FlB-HXe zl&q=Fo8SV@kFlpua^8;)wqtZNXP)hB97yPQenZ>&yTjxw>z~Dj3*eF+3y-etpsA)_n_w&^m#fX+NzK$kAcOaPsej3P@46@upX*Am;=J!Nhq zg*%RWVmpxSCWI$nwk}@cp`KZg?Yhns<|!27Mo@-~)3ZuwGyB;RoVN*WjV?^IT^usz z`D5$^dVfxxh#d&G}Kujl=Hv8SUq6FPLAPhmWXr>FHquvJP;CE3h9OI~WQ8 zuc2Ty#dVvB_$U3r{Fd{a&NG)M$)oTO`PI{%cYP~7$a6jKxAEM^^D56k;`OWgO{RfH znBwsY0gp@E?PGK2`+4=!fy4$u5w{NRG3sbf4KPw zGqfaA(u^P`eW^VLpxyjZb8IVL4^{9qVfAAs?{Y_Yn#BLsq_(_Tjd;6e&6*%4&4mh; z(~EfsC5&E>H1{fKFNcSVuY=Qiuy7KxR4o)0tlgxRW;C0rfMnTtL@)Z^Il$N%`m{_l z&(jxuCo+w`fxp=k|3Kio?GnEr1u_foA9L3o@@{kXKX3cuXKVMx5v-@|l1WpH)0wOw zdF*JkPGs5X_IK%uNY{dAnA_RH$CFUcPb@zTd`?5`yBuzKrtlekI`ymOybO^p7h5Uwj+nrE zC2zAz!#AAfjf>@uE6h2iFtKhg-;}end{eAEWIxpQK)Nkr9_LSx zMxGh=Dye=V&1x>rFeBM8p{AV*!Z`oKKTawo&3V=qZ3P|Tg0uGc4x9EzfK#@Kl#opA zIE$vVX92jqZ_rOJGKHeVkY|+*-=x!%@}ytg!#qRtBv;4GtMfAysPjJ*qRHrq z6Ym!ccqpdG|LbY2u=poPCZpw-h$-g3ws>Y)P7iiIq;?-1D0=0Cs24AqEDur{)6NP= zv0A6%nPb6&Lt97UJ$k@>bdc?GYqFlPL^gGYKH6N-OHtN_k%JPZe19QIp0Rpg$$N<1 znG`@E9gq@P|2z}weBjRlsV{yx@*8!-6TIx(0|wiB?(9+zVcX0BD=APL1~d;j{&Xwc zyK&Uy4u8u}X#Zx1srEHmkR8?a%C-Ef`d)i>P~TY%6G}9z*Sd9WAwI(~>zm1)ahOB~ z5#iK@lZ3oIbgU2aC1<&9nPvwbXTpG@Eo8>uy+vbUL(||YFW$~DO-qd(lbKd70CEx- zq!6oYZ~^Q2C7*{RN`RA1Bof&dw^safdU*Ps4u9bKwYmV!s*jC&OEhk=|8BDXYJ$+= zAvpW|pq`B@wiAP@#hSwBQ;h>X{3(K~< z6Vl62k_k5-qv%4!+vfv$X(fj&wpkwtlsHH@C{l}^l8}-?NFAT3-RdUp$Vubed@>b|u1%GEhw6UYJ!Q7q&MvY@OFxGo3^r{U1!6{E|P=!T^ zJ6Y^kyZJr(yx2!XkawbGk;x;v6NvsDsd0?_9+NHoe&79)IWP{7 zz~V=YS3WX(dT>vY)-tZPQXI?$UMTNl|TyWl=3Or?0iNy55f$8z%Q=yIenToEexreb)xvK{2 z-||7Tp7Rc`?4)5WHh>+vp|;8wfCKnLsL{ytu5gt@g0nZvfbFl{?}C z75SoF>1b(qx=Y#9Y_LPSAn+dqhPcfY_bm}u*x9VP6mgCJ-*mwHh7m}vKL(f#gQz_V zT)%F-O-vY^m@tS#0~j+IhHl&!WHgL+p>x`y)!9C_ukK3VMQ~YTbu4fx`5kyLsOQhu6AkIr-f`*8u_0b*k)fTH76;B@aliF)nVFi|e&o?g4)xE=xEn!{4vToUU&EApTvn zXuxXDDljpX5gI3di1LVAO+C}ax=d#N!MtP^MIwv#Tb)bV*VI&70%wiBq)qo<9VH^^ zBK!vU6s^?y<~aIy-kpCa2N@g`ZchinMOXGscUi>1trH89W-3AH;??``dMQw|+T#wu z()P3&ejBF}C%=so^{YAWhf6t(b^GmuXTi$c!aEpqTwcr4F{L^9m*hMm?gQf4fY=i) zmG_1?&cMRH+lyPXVcg9+BRFCfRUw7nJz7Wemb1Cu?(Zr1nan;+<8-p`aX*qmW;LBx zC^6pr_$~(9`cv%OzQaq`>T!~ri+>!{OB$SZYPB^yJ;1DzafT z%oM6OP6gGL4x6x+-7LZOtqA~IAg!kUC@6&;f20Gtx|g|xeT|%joNT~$pIdNe^V|WA z7;d#K=v<`@i$9&>D;ho@6yM^q!WiSTF&aow!sz#iz+~zR1Z{Xqr>&4m#zU6v9dhfmQFen%^TKsDKKFW`r=(e3!Y zb7`s{$L(B2$J0aVaN)GE_HKnPa{Z?MvJW?*zpG|5F3uuB0KLT=09fqZeV z0d$qQMA+CuT9-vw>+a2S2+t3P=CUqun$p-<`X2vL^Mggw^bb3@v6qpfJaYl)E^Fs!A>Zn>?CLNZ4~gR&(7m>;y?;va_sGCz~G(>ovuOUQDemnf9g^ z9;3wUsA+lQm4EL1T|hLxw-0<6=s_5?{!rpTeZ2~r_3PYtIVk? zcNUVMDid$b#BC;gNFHST>MRpT`#OC44LH94J#d^DD?7EF``1or3vg_hhc8-Ge*8AX zwPPpT{!T`k6~C@()97O-U-~NZH}*yjC))@g z(P8TGUrEo4hpDjw3G^^ZNWslX|D=pRKaU^oF-SjNTl7Tn+g`H>%^K4;O2Hu~8j@+wk6GKor zM@hv0gQEu53Q1SdyxAU>Ad1{}X(x)HtYNi{vbmJQ*kk4hY z;nT;FA~PqpcicL~9bmzO!Xq2WDeOimShqhMjqDHUe+RLm{{RA$sdN8tN*6R|2RitH=6{wmRROgGXiRE~p~K-T53IIZk7C z!Q!6p?~zra^@sCk>wLscy7RK@c4%$%VdwA-ODETY*n@!d7|kj}wpgmNra z2iMvv{|J>*&0w3+r;^GvJr4(Q=^oCm;jn8r@IxRpx1csqkZ6M)V|w2drSxXc!C{oBa6kG*i2|=LRibaVaq%^j$3a zg*e>?PCB|=TDYmSI4qg~4rvMIPV*z%|9xqA&QG(rMY0#cW(x(&5+BP8zg8~(ObVxM zK=vb(bMcP&NR3?YUJ8}hubBgIA^J(eDxR*#2zPsEJBAZ!cxHvh6lp5{2?0MQmw?<2 z5Ow0;@|#Tk4YuLjWP~WaI1-s&?7el}?irOgK7Yw6*Pd|dd(VjJ)M{_x`Wlj4 zeE?kxtMX`v=W>z@&r>X(@i8K>IRJK48(l58vAr7S77GQRvNLVcG9`6%p!*!eLT(xu zt=1ifk*eA(lYT(cS&hKGH+;;Rdq|RHAgH6HZ<;NVw3yKd zdEtMp{pS1!ev_%?Cqkm_wzYI zoHm5tVU3OU@)hWUQv<18h7#2Klg8vk>K<3D-HGSKpj8fNqx!Y2L|9J|YhQeBZbw6@ zl%NZ=nA0e^+En^tYN{pCX0)mqpuNthUv&)kd~K}g=0z(PsV6Gg@o$3w=z(LCfUt2<1o4bZhyy_2XUXmclYN+uu^wMygu>{&+S>y|M9-s|9+I;wLD6rFg?%v-wOLD&sLsl z^RAdDeSEdaS8q*^s5WyX-_k>>&7%^e>4U4yOpQ|M{i@B+VVW7~!fG?$CdL)?HYtg& zAuJ!S6(74TdTSFC*C!@!w9c2fVD1W!K!4_{kX@4IeOjKr#>!XrNqloIL0T7#g$t6V zs7p>{)}fh#f`^(K+PW|LZo%RKv{OS{w}R{Ayg-eMuc(ha%JUpg{*~@Igx??X%;14? z3-)O+vDy_V>~ zv|(+#c2z0Eox6!T*+rdhqnt-GQ5Puc7#AgbB$GMqqEfD(D{5aCb*PJa-3Rz0ym8GI($6qm8muNbps|t*GRzH%t6neIpMrWy z%YwmDThS;X&>DPpwV&2*F+D8VcM(t`dvxG${w`DaZlU+ZypYRSok!5v)%im8!Zm+V zD$}?^+-iHOIjl@VQQwV~YfUv8f>*s(J^*omSg_mt4xcMokaTbbHuBx3k$_NW zM8QmfO97Wm?Te(9Z%L~e?@DBSkec?-%?8ge3HMfG5P5{poz^xA8sl3mGuHl+(;9+`ANJE*v%z_#!!z^4T z(;qN`g(R#cp-4C$QOWv0D1;<*^I7NrDK~rDa}J*00az>0Tj-{A0MkUf1 zZ7OS9upc8o9rQ9qumXXNrrB^sX(l~vwT|)A85<;@PIAUTCa|u9G@FIEl{iOGdxi;L zA+m>4xb%tJD#dJV<`?`XQy;>(Y2!23sj?yaE}bLD>-%%o)aW#+iOg+Les?b`8aCIYft-ppx;WnF3yHy;Aid8tu#=cQKSywuuu)p0NF z1@zSe0aJJU1*%cO;uj&XLuZvEmB?c$btZx1Yof{{yrcRe%XIO%$R|lF>YEVeJU#g36{OMrf$gFgznMpB0bj<_g1k|$WT1oci6!C9!d7)i2F3j}h z^jACL{qtCIH=76&GMSonJZRz>2Zqe1g0{3*M+HX~?(+lEzoPR~ZGW1zD4-(xL9;m* zXy#93Lk_qQG7b zdQjcM!bp67(7uH+u8tdE?R}x=@s}z z3Qbr#=}*H-*u| z0LT4boul6%+QR(ZTE&i1><6kq)nG0M?#_uu=Mn^)S$8F!r_@~0TUW?dnK{7YXsO@I z9j=BE#P{8jLs*sRCDL;Ek&8Z&=t=P?Ssg1aZ!XXG??UJ34-eO!XhKIB-3bz5gG?H} zqv7+Mcwe{KfjyjKN{f+DNM;)5J?d&^VN5Qb>ZtBa6{@GYjWPW)i-6&~RN!_SW&+X0telcImib{3R z9Bc551$C?}FVx!htspF|2zQ$p{q-dhQXhMmoXy=818(j2gh9L@7*^|sG2U~B1J`yI znIT}qsgwJ-uM4k+E*kS6rkl;GpHLFP&MSXHA7-;T`$-}a6}qY*44dG>1`t;8PS|Rj&IIHrW{9C<{s!3=v#*8L_2BnpwUn9!bZLJsUyD5~>O58f zytX~#2Ij=~owaiQlKRN3L&lcO^7`4=vQ5YzvD7cf%BfL$jX50GX%)KNJF5^jen?OY z;UD3%o!iZ0@gwA^hAqAjzBpj729Ya50n7YI|GQ_`Ec6Ky=3_(eAA!tIE zy$@j@>W0)mZ$;hUY3n4X|$F$Kxt3|7*wMuD) zdLiJ2z+wVIZMYPIpkSqSmPH$cLcqwr-!sp%n_yeu_kP|#-sCeo^UUSUncJC}GiS~; zQNfwnq)f9}P^n#g8PfU;&c*8_G8(J(Xbf|8<4$=ISU<3su192ZA(>KPX;hdV-rwPv zq_<`k{VGGB;Xc)m<)7KN1vgETxSlbQyp2!H=a`4hrF@z!t;MC=-jl`@=`e;kgVOw( zMv&Mf(SvzH2`YVrxTrYqBPt-CNHZoli%%~^5q-wK#dhP{F&S0x$M!#?#`u6uqmX0= z$m}2yZ4;}18EY)=SlTA#6^-eb7EZ`3%Io+qA^6@KsJB8Hb!v3+*9)$4BL*Y1qaR*E zp459dhL83gvs!@qk6kFKH|9C0`L4!R@G|vc&XT!)FX`Xzr5_egB~M47f7rpgCe6G| zVj>0{8U9p=CQrP4OiU5|(Vv;Iw~sF#Z(w6QEmM7-jRB}yJkhC9u0t1Y5yf`5Qq6D9LQWIJV+y``n#yaN^Z!}S2;$%Lbt6RPF+ zHLXmd&2NRMM_1yl0cS0~cao9rmn>uv*>6Vo@*pdo8p)QIkEPG)v6P)=4C$Rw=e-)D zke~*$ETUmk5V@x*7jH}Ze9;H^N&`^ZdiPp8zK9p4^HcAL|gYmh^}WJ7Z~QTbd%dDP?LH= zH#vArPz`2kZh*;xrdn+SfH7QzUax|kGaIo6#1E>})n2@72zScBp6AhPq_4_`dsN|U zXop;Vs8-JcOL}RHCev{aP)%~LOT^O$0?p6DG9_bPZ(jmyhvB_-r`F{$#kyNi&oxHY z&`5UX+BktEm2KT0v%=)|SYw#gKVhaiGqN&$Y(Y~qvW9v&-1k^>az|8(S~n;lz#f%f zKvAg1G-l?nNEXP-BvBx1DD(?&K`FZC1}9X~TCdrOGFtTC4`BlJsO@t!4-LKU$0*+L zXkI_!QE2(vqa5Utp?obxGAPaT`hqlJ2TwtN);Qs6p+K>E*)|;1F>UNeu z=FPS4V4^f>L-WN12Wt{_izJ3ru>)mUU<^xD6G%_y!+1Z`)QS9KJgm8mO(4E+t4l_ zHq7I)gZr7zs`s=2ve63?ioT0q2OQR1$Kh)vnLKRZglh_$KX~-nGQ@u&= zB?$SvusVH`2dA5UwMVF)Sxm%)r9O+c)=DGIl}^crysMOEo|dUDZRw7}^n%e9OBxcMS}Z*i z-r~8ZLvixDk`H?3`uS=iW%y1lN`ToOj%U3H|7*0<7@7LC?oA?*=xe1qQ^sg- z3!NYdkNm{BRm+^?)$Uq8Das+S%G|XcWZFfKP^wHc9x&v{5X~-!;@n4C<{Z_MC$wEH z&ru~+yBnlt^+|jy^6rcRn&24BDcSp0MbQIBaItqg74!TXO_bBm1p54LzpI#fTih>EZ46T5T4Su?X zPY@UlnqE&1Wi)N-Xko!zE9p4%XrPDKC!S{Km*ot|rAxMh^3z6s+R0Bx`H}9G)ulR% z=4w272)jbnkQdsW-g-RDcGv$T9F@44pStvuWT;0b#G3F8S z>{E$AVHqeqRg#iEOwO2DmXu+29!KU2S_rGManw0%c7 zEUT6g97rr1@2Gel#*vmFR}axAHVy=-vSA78kMx?ru*9su(z+*!}^&9cZiZhY| zSqXv8ML~q)dsGc-K+0~x!N4s7(MU2n8`%kgD}|Aj4UtU^WG7owlI~S)1+9Q5;T5ri z<>Nd#-Wf9|%$PmxF_;yWjAd>1s%bT)wpZ4In2eWITXA@_pGPHDn7o(DOinFRHm<%C zhI-B+Z)d(*no-Q?D!}dh=`WE|t>FGZzRe7bGKIwEJj~{wUbCD=&^b)^v z3ZpsmsyE<6dGZ^w)e%4;Tx0UJh%JAthwAbh#w!n)8MWip5dbXtP7q^h6jTXRnkzj7`I)-mF7YCiARbGg6%$ejTk& z|Lt)o3WS5Bw)}{r<6n`KrJoRUBQqI^o43W)w*N1d+A_X{O*TKyws2C$K3^N7y6^7N zJw}@q+oZA{g2;xiFsh3Wx>jtF=iDs+QTVTVN;Y=3jlIcJHNQ@Fo!*;8STjj=zLwl@ zRjX?RK*zE<&7n<@q0+?6xGHFY?-YBSeX1GrZLHp}1&*B7{RWbQ{A(8y)-hNt`AZ>c zz2|G54g1B6KHE!2#2G`K%?ulkGOyEREN~|EsVr@gF{;7Wx^!~O$ju%5oDLrLC5-f) z2)8J0ky+;L6E~oK(P!*j088~*>wbR*t61vhHtGp~YV27$xUP|YMq8-{0((&+$|u{S zu14FPre{0TQ}2c3*suP2q>9X|8#z?3&IH29P|pqQO5W{lRywMowEL1TB8O?mgQXL} zyIp+g{VA^X3pzD}Q_-$$Xs70R#>DpiuMQ8`;qA2Ec6Zs(b{%}j&7+2N!xr9F+TH(; z!=)43hyT9bRywnF}+MoXDu>Jjv=cS z@ug$PYG{jQAk?93L5t?D^$)Zwy}NzUz~9(-XLaCj$`-gfjPQkwxsE>D*}OQ6ABR5@ zn8v8oGn<987Ap4(4(y5!xYi^|uUme*MzRFA=x4AUV|6P1RN}hr3D2UfqVQ2gb z)BNArTpw0?TU{>)+Yi7G-NYvCdUBNylgAR+Z`^A9m~3yCY!8;+Pqv-njR|Q>&XLCN ziw}Hk%yaq|H#$N0|BnYM{IF*B~BB805%8&oVuq#tKcc^{{bY2 ze{s?UklF&Nr3I-f@K*}n;*OKAK5)O@`~qMfFTylIWs%dr2vk}Yq_{Rk?ENEGqVPYm zpPwaPX=JKJMP=X<-P23&a>lRezpWs?WZUYJ%>q=H+2TSRm@bu4##7R2EJ@k>>{V-7 zaGJaZ-6yP==HdQUW53C%2olMBU0F>g;f1^jy(bPbN~f^4(S7-UR)Q{ru1+b#J}HB) zP@_#^V4GS8XpQjyy$~h!2}p4a?tTkyoQ9iqA>3?s%qd24X|g|* z;B6*9uJhZyZNeRl9V!zV!^qm9ejjyoqMVxiJa@e?ZKO{d?Trql9sFTQ%}?|5JG^P( z)~csYqW)-Fx9fD2L7%Pb^H|}3vVoKdw#y6A8sY(4TdA!lU@*ztOz9L_BHae*A32z~ z8!$*z3#-&mVZw8SmxkI2qC|ABjquP)?Gl7rGuvFjptRQ* z@oY1~c79M&XcVR`IS&ZGT-6k5z(~$1G$-q-G(Yq~^Oh!y`RP4CSx#@CW(o*~{?$p^ zDtdiP+REhdNs74$@bwVL|kLPs$@==2X&;lFHw2nhd9;vK^faN zDWm9JoF)_4ZU3c+^-{$8fRD=wY5G19lL)$ZdDXfet?7S-^P%0bo)Y(4Db*?U-gQ!{ zt8}TLE-ap)*{B|-Ah0(-Uh;*m@U!ykDt-#f_|_au_Bv@n^&K+kTHetyZz|G#BLF)Y z^9ZH@^Au(|=0Kw8`P6DNKQo;#2`tfEZJiV5an(PM14CyBMH;rlH%k2QqQf`V-qP_! z^qR`o9QFt3rRGpkM+`r>MDRL~AgvRPBleGtmh!H2*df2ff~RIxMGKAw(<1n4FfFKz zCf~XkqQleQ-{EVHruD`gwc7PI5F4%UT(`B>*(-df`<+^6?{_e@n3DHg&@+E3-@0e+ z*IHW~t|#AMm{LE}Da!)PlbB~Pt1<6j4$g8OXumR4L@b=2;baNK9?%)!8e=MlWL#KE z%L@!~h8{Gd9KLO3D93cxHgB^EDN#Iz;t}f`SGqRsup!939{{!X&%wI8o$DG3a5T)?wAL0|v_zz^lU4Mv4 z_zHaf3mOarVY#G&jOe*H`LQzhOyXej|J~eE_W$kN!`n7Ln7{9L_!qGN&~x8Gd%H+$ z@Qm!?$E1;QwFc)qjzG)6BsqM|Htu!$pJ2YflI2t6Cyq!TS%T>o5)(SiD0Y}vUOUM__X){DCkS83GOM+<%tAS^ z(-@sf9wW>L-G%;~3h48ZACe$w{-+krujO1Hntz{2(+vG@gutN*fyf{@8_9li1DQ2^ zAO@nLf=u>BKiRD7rez&2$5|)9-8iu1OZq?r4PE^D+RC>c*0n5Z_Nl`esBR86RiAr=X0rZaLx+H~QCr%yBml8;HIsH4=EOpjY$iB6A^gs0ez`8rqB z$i}BF^tnRT{+btHw3Ww9RVvG+7(L%k%3x@0J1}CKSYFgilE(?ZLExTk0=JxiSTftI zlB|6+8R;ZAE=VaOPz4*8MD!V|xHm+hVU@S}{S=o!S%TC&=a)sdVf{evl|ir2=h1Eej4 zH935c10-2*nsir|(Jd!g`&U(ji$GyI9?-%H$i;b6*(UkqnCJuG!g80>(oy$C4_vh* zqNE}FJ)F&>yuyMX#qC&H6Wm4u1Gb1h=Ng1NFpSrZISF;C4*!WJ=Ob;cg0YTK5_Nk0iX*bbQnh5DbliSE$JV{Z@kGg2J;q#kNfBe_Qrx%RXb-^_G3svd>xe zcb45?+233CdCQhvufRQG*81@=^nL zic&SyYLo}!0%ILCittY3(&9YMxDk%H5vd%jYS95{t5{n5HqzOJ~dXCrH2IC~t} z_1)w4qCGbIfk1m>VyZF1(bVf+bI!GJ|DXx(uQ$bgtHynNt47=jY zoX2M8&PGT<9;Y33d|`);4tT~P2?!OTCh>A>@XSmotM@v51Q)kRB%$YsI+j6$|p4^}KW)$QWdLUy{AIVLf?H&5?oFQ{& zNb*?b<^4e@srk6<8MEfh%)Mtq_T#f3Znu7k&CmRNLGBMqye{KL`(}D)+(Vfu(M(_A zjJdfJ3TAk7CE4_X$7auXBDbLKza=k!iS#MSNnF0wrrgSp`E zOKci<_lVr7b7K9YJdLKm*q?+8?CIq3zwnL1PIc3v?#>&XJL|E6$LZVBtuFQ_;g`a@ zKlLDZH|?%lF9BD=FBfjI+dbc#TQGa-%<}S%f4Vrn5-xD(1OI>3MHJswF}RI&z2Fk? zCH!*XCo_D_!CPLw_Y!a=T;TS`z7*am?x8d04tf0E+=9o-d%k(``jGI;h1<-1&(ztE z=JM#{Bq%@N`FzUoPBacVh)-uDf_WNciQ# zO>yVUW^Juo?%7Mgm2iQ3IdsTHpV0N|d2GgP2KT8;z?SgKrODFYH0-RYdOzU#^Tq2! z!Y>zgb2n2eT{$|g*IWX&giD=V4n0b9-|_InxrK$}XFN7@s;mqcE2(c?9Cr!7T=*$& zPXQfoX6_@o<Qk41E#cCZ7hBgv=Ub=y?rak(>()!amGH~Oxs^M6YF_TcQ)kX> zoW~nT-_m|#-+r?6lO^B?%WhoemZ!g~@&BU z-`|N17ynSGS2wQ3YuBAIR}QY7J9o|^$9b3~n9-OV%oI#6CXO6_`1f=CC1?4g|Feo- zjnDu3lklztKF?-C=C}EWm)e$Lj^N&lS&m!UqUXQSP;kNWzPy~W?G3#$M9Q)^G&sw& zzsj`iJIu1}4UO$;mThn7v6$;|TsudScQ$4UrW>XYW&q|6%zrD{bo_f-1(DssGR*(_ zlknaIUaDNrpP{xcXFI}p6kdxysm0y_XT$-WR_jqQq}n@7sa(ygfrp_cea)c-cN^uh zjXKWZr^Ui3&tA1)A;%~;ew?1MK-=T*0pR2a{~r>U*bUd;rWPJpPJ znWPo+Zh?u;R|Lu`0a9(7T!G?y;f)BM=OlOa+h(v~YLV&Kqpoz}+-Ka1z(?EC_<>Uk z5(hppFTQ7bN#c-UBKZ;4N9s+|KGc}@V2caV-a*=X=fyjIn3i+os=)r_O0ONgB*<0R zMeow)0lVhL2X+nEe)2V>LEat4A=!jTw;DNkHsc3A?o(Oxcl)l;uF%Qf`;r2?PQK=g z%QVBYF9EQ7>HSDMPc1+!;E8#5e>lB#al{{y?}&W=E4}}B-dfkYLD#$cyVcw$FbC9l zuL8p>L9dN5qCuomQuVzgbgJ3mSoGntLu38jpQ7upN{vp%fowJh!%Lmq9yqutIon9` zr~ywqwK#T@G~J_Vu4+s(rfHh9<*_vSEWb*fNk;Dk&Gi*k?xFX5rN4t}zkG`b7z9Zj zF_0-!@p5Ki@&l{XCM(^WR=PK>bT8_3ab~*Lf0$1Ah#R0$#g!->6K-6LhnPJfdwjl? z>?dY2=@o>VGT7B>G&vY`@5$&ipG-~iFX$XepL;6f9OMuc#YL+CMa1V*}@O9-gM=x_&7RgZs4rDl6gZ#48Vl=I6<> zdWmwkI*}2RH$@83?qXk9XP;6RPAs*TBn=l_pNTQ(E2%NLO56YiLjDyFpO(&&<7?fn zbqLFISDHe>ox8I%(Xpv#`+}674sRmQH?=PuK;Yv9(ytbx#IPn7r<3F3p zWh73F^|^^amPZbC+mi$Zy`0B#WjYOgRU4m@1n)=!P8)^IenA=pDDvav6=t0WnWf@L zlWVb#jay0iw0eT1MO)LzOy*uji|zUwyCan*a%r5QK?3(uv&;TP^VR9QURMPso8X$s z3)8F05t-||U3K7YiBZ*f{xudx`Cc`eWI7RgkIlEkqi?eTvp0c(L7oTxkyomJ`f7*-A$IlxghwOEPZ$9QII+r=`W+;ZA&wP76VxZUS5alo&#mnjT9>eiY ziMFfNd>Y=PmOp8cBbjfaN#moUrU{zvt1|a_%qfrh9Ui(&B1KM_+zqB|2z?5;_+)Y$ThnBjaCcc*V4(iqoKgt0SF(d=V*Ijt?SdA zQ!Lg7#`_ZQzPmWGn3+9FQ>92_0G z8gNos@qH^%5pfS%0WkHLhI>3}b+JeuQ-5b>$y;x3o@(OS8gx|NQ&LFqt3$Fx;Q(BY z6HqPDBxH*YNi#!C$!6}n1kMGj1-;14mEZoUf~Jmi+ZNa#ywJaFctrdVe||>Y@J|Rp zm6p+)FkY4xvD9aF1st4w#V-=*X)BHTRJ~ufODck2>!hZ_ei~=@m6b)g&#iPR*HXG)twAs*?^- zgKSbz>%f(&EeF5-fhvt(A4&&)`}^!Z`C93)NU1EJbVmOpj`td3H7@Xo?>{F?qI0q& zI+ot8#(U7jQ_4mrfgOD+lec?s`u0y0?0}iiWxy~CJHgYW4bJR(prnSTpihY8YW)aZ ze=N6#vfRoH1OxkTuGB@60*&x#B^l}5IxoJdd% zV67M}2iV>CJ&zEXdPtti7Ku8!$ibUGpY*AO7TQOr1s2we6Gb0rU^!0hHXrbbH+jv# z>%A>jkMf-i)c0`LhbkGIcz-M2p|bnW2d1bJ_g4dZ`4aFwv_>tW6a#Pbr690}$Bs4O zF9K7J$er5Az#DxjcvY-X_Yx;Cc+2=uWHShDffu*U`L?eJIV0XhKA$nb8ujH@3jMa7YrIWVBUZk z2UEzPXK&ZLm2p9`x338wofzs(Jm^G*+c~cl3p!&;s((SyVKX`gy&$1W66|ugWOIcq zN{uO}GNw4^O_qqh)Utk`8;P!W$2%fjRvh?a*R9@Cp$ERcl=D zZVM0kaBpy_Eu8RSzpYDcc3*=AP$c0bm9ylA2v2hRjtA<(&2-o!I&Jc4@1Kn^4H=^k z&5L90e8_}*rw(KE++2y@mzsp%9XQ+V!~=wTT*W;I=iZyFI(1!yL^Z|wxN!V>TcGMK zoB!-^@8GxM{AbM#C=x-@4xYt2Fb6)Kg${neP|DY*rn| z#j6J*ofBDag~0}5p>Ok8@0T#u>#2jS{0-a(xDGOOf_u;&QB`nI3wBL)Opo`wIJ-YN6^SZ)?R{p2o{KsmGwyVUv*0D(MFGTOi{QJ8$p_o^LIV}pb=~7@WkFWBw_P?eZR_zB!aw4?3HyDbH3cSAIZT%49`)Kwj;wyh6Zn!L z@b3GNZ-zlh>#ULV|F4s`FAm*VYF|CzOJDs&Rup>uvsY$Rcf(6>(ds4c?_T<|w$H6v zgAK8MX|4V~`O-RVe`{SFt!Pa}`p?>UveBJdR3E=ELBHQhXIYe>J63>Om=&%Yh_o;* zzQ8WkR_5NTw9c!A4X*X>y}jhM6_OUV4{TO*09W?*$Syk|V7}uUWQBI})o`ye-+tG- zQ@F4Z=jF#S4;e)FUM|Kiihs*i*71cji9Y4H(Se`H9+^NIm~KNS>6wEFT8Y_hnSnc( z*hY>SHs+2oYn;M0={+XsU%1cawXf~9I;hZf9C2+Ly|>TqjM1r!uP!?K{epJXR{OQR zSNm_bc?XudzgvCgz}ns?SBKkc`Y;42-YZxCy%)O z=UNpcY?Q$&7=l#-ScSXGT$UE^O$lrp@s|Dc$&xR#)U^V1q}O$)?^LP#99N9KUj3Fm ztU#qB`0*XL`paYzkMgG8;XA8Nk_!{>nIQLDrkvDuuB*gZI_299F6e&$9dqMLcG$vY z&#!!u>Z6i3$oMI>56tv-8k;?^i#Lg>FlBW(RW-*yoS;U7!F`jm{(dMpo_Amv=Lyg@ z)}+BM-7_;YyLaKIOFGW(={UP~>E11~mxOfbB|qJJ;n%$jeiE^JFZt<$UoVN>OVZ)! zB>?1yB;9)v(3S6QT?y$XcL(JfwArtZNWTsS_HmOI+@!C1Q&b;%M##ogs0l&nG@l~6 z9@uidbVhHdL1Ou?%{wzJkx8#*(d{;0@{&d76ll-vv%4zGZVUYda0hSf6&Y-^&8SQp z%^tQsEtAci?)R)=4tychUJd&@>!AY;#=?e!Z?0t^)*e{-*}~H+^UkVYTuX))Rm<}yMI-(wNg3!M_qXdy?f{VVU#a?bLDC{HuiX zFqI*-FtsSss_+K?f(-jD1=m*%&9K|Vvq^f873nI8 z(A#?=PaX~6Nv_SuT)eGXk{us}oO~mb`ZSDU6s9qP5tSeB2y9N?7~dyS6mcx*yh&>64tPOGD}MUcyGNQAemjyL!`E*43b>E()a~I} zM*`<6Bqpo+6sqUbx1SvTiD*iedRUpf-Pd7*R7UC0Zf0HhI+Yyh;_`JK={p`CP_oU@ zd-a9kct`}Sk-lSr`m(!P+q-S6O0wPUAKcq}2HZCv>l~))`s^;rvRmbZOqf?qH4Qvv z?U<~-5~YQK9V-tktY3NX?+1ES(pCq)QN@DS?fys)Z-PJ4%NI8+a6&D>kDHW}wUdQ3V;rN4tR~|l+_eQeKm$)`A?D(v~8tA1s8ypS5lz;y4KF>rtT>|WFJ zHb+XK>(l1ar=Z%Ox=#%WA2Y*BTlmikzGnsB(nKAz#jr{! zXx56khOg9|m3)_GdzHB>*#_)9%T%scu^tliAm8g6OlQU-EPW+~3O; zj`Qj@iqGYcGDl`vzmM8c>D;(JALm*sjNrT_3(vc5n71geC=ypNpWoDZ1$pT7E12n8 zU!9jRdD!`R58)b7T)C))p6BxHlgFIDV}$RFYyIf+BaO`(zvs@JpK)Rqm>Ii@cOwG1 z#lHDmy#3oAb;f3m@N;L*+Us2FgZ8>5-`u+*#m3#OgTfPYe%5X!XvUSgzh`A9Y*Pmu z(7JJIme&dHqUsOLTe@s?KDx%Ct4Dx89jTzhX9*shD)(MrOV_3A~l)1Y-1MxCg^v`Y_p*6bml9F0Ui166Y-G5J$5Wqwj1GNI^XhPw zy|T>V=UVi*&g?9X_0F?zG3v75PrXhz1bEBRp`9a+nw}|zNqI}oqcZ(3tSd9xExMXT zCE!|h-@=NZL|)Ylv=ho5=uLi9=oxSktVMN_=5t!=;NYZ-JoU|jM3u^tOp+G3jHJ?` zi4oq8^Eo0(!WP#oPf3S}_rXoHtS#KFbm;AoE{A&#^|t5UTS`x-w?)rXZ(=y@t@vcSU3eGplfu^-cFF331B2#9YmzK(%l5$@j*r;+ zSS{)83sTM4y8JOYa`c@U=--APy-Bv7?=9F}YWwh6eu^?|-fr+3b7>b3TG6Lq->Y7{ zLUSII^!2oGa#5879?RXn-NM*yqF)Er%b+;`Y2~}E=(~p(cTt55OV|2sG#v+l&-LBX zV~c$o{aMB%{rS<#X?FO4D6DF*EkcQi^UTBsQG?=)jNm4sB(VphZ{e6aScC&oD_n>v zM*>rhvcHh}kn0@3sg07ezLC6Y_cx46y{N8oE1PtlRTZqX)f^UQC2o{*ur}8|{{D~n z`f2X$DuT+~M?6NeksGC{sJ|^Vtl>Vwo7c+z521cXRghSu4Rf%li`$ zD|3g4Ze9u9%hp*Q@Yw^#rS8)`;|iSKYw1|Gh40BT7S@%zLnUs-P(1}L`v&`4?Bn4d zUl1mK5+-quhuagzIyY>li-y%nn5cDG)FKB;rqZZ}Nk)Bmj(?$wpO>$mVzWjwyVfT- zpeH?O;<3>-o|H!`=h^E~0xMy*xdQ=^v2D>Uc}3OJ!Z)e0BsJ>&3s0j0HHw9W={Mmt z%O{3=lPa7kYy;s&{{aa8LG!75n`_xy(sX9qR7%_YoiV`i=CYOeui8oFm)i6|Xr+^` z0Y=DAkTqiFAJ-!$*GJqEudVA17Xtq_-WIoaZWT=X4Ps(7u~Ht(Fb6E&>hDs>fM)d zteD~GvcRJF`s6Cxx{dy+966IrPO$FDH&38@vxcph<>b^?PiUp%xTtgNnu2fr$C`V; z2KbJr)eJB+uc|YvGGCa`AYLc9IA8)S6CB5K81NTBF?d=T+eVhH5KmC*MtamV2s?DC zYPvy(mX0;KulezE=;uMF+EXTg1m5dJ-;qgl$y4j*<`%OYX-si)9DydW281y7sYl5K zDkm>4H5iTxSO~p1sF%4jsw>BOb4Wl4ZAm&9r7dbInK8okvG<32Y1vH<`dve`C@RdZ#Dynt}Vg0VT z?@wk1{eZp6!%taO!UiGJ&`i!sbMg~eV|g}oS){Y0?-W(`(Rx&YcAN}H>kNscJR35b zMCz`-)B_ieWwq+sG)t%N`B-ObL2*fHcDCIdlmtVmUr1*&XT#`PVtn7z=IMW8(RWGS z&RInRU(jRFS6AlHF6LDA0L>>XEjet_TqnH_5gh?iu^VC)djYJT0&6+N<|#r>-~`T< zz@fP~YX1o%Cg9M)8gLBlN{x1dYWRm>eD4u3<{VD9s-C~;6Ztls?Zbzn?J4Jbs_apx zZNq&0Ha1J6Z;SqOveJ`)vXdJfsH&*)d!AY;9GLGIuci>+Grb+zDSy7xwow|ULP&qL z9(U|MM)^+V+sCVZI^sBqIDv>UO!LW$oYg%V)3t{M)BCluRyssPYK!AteLrXWSoyP7 zOj2XRK98cV>z-z84iJ{@yg)}58zvLJFOagPxRwqjgHH>~!JcCDz--;x+g1_>CpecucA` zmDUJtxx{-)L+h;zJ<03nna;h{>Wwc+&`$^oQw7I$6%o}cbTw7W3H*|Q9 zQ7mf-j~Y5%A97#UG|W^*rMeLWaej)-;md@Rd%Pe?xJGY+`153SRdZ^nW=L7FFu^u( z)M-;y450UVA6a7CBtI~0+ls8GdTXE7)^m;A5d4l>)VsbP>&cL-iwo?L8@&me`jNt% zEsDPp)9OBuaGjs?M>e+1(J_I@#`e1DwY}EVImYLUaP&{ z<~Na{5h$+E-|zFA5O|?de{7Y&zz6a$KvnTh`2Zf4hqn;Q1PiDU)IoiyWOy~GrHriD zEwsFa^?h*AQdcN_8KI_8AFKK!o9FLu^WME`ATIUb4yvbFwP@kjQyD*ZslI^o z;PQC#|E&(?i8RB_RJ#==KYsdg>V%-pI_OG*!avT>B!Sbjs{Vr7nFVLtqy9Y;tzv2E z)QUurERZ5oXYgIJbtpY7*x0X{!=a+vL@$7bXdzQUX4!BDv*y_&e4C0ff3zR&(=QUF zu9adC1+zt1K! z`}5#gMKpF|>qWytuwebIk>i2aBXUEZS&yT7QwYwAlsm6@LcfJ4P}@OrFk(+ zwwBW!WX%>R7FR&aO9hJ8X!lzAWo<^oZ2D)kVPyM0vB7+OK^ny=HT{vCzUekfmCtwi zGz!}>L&?57E0s5!>Do(pJd^3kRV-UMYZ^L87M$%J^{7{;%KYS7M!9+MAQc);P*w5! z{3etY3o2zRB=!cG+tfrlg<<)M1eiKZI~W%Bm3UO?(`epAX$WYsFK9l#%>wV#=)k@F z0#@?y4QE3G1 zSM|s15?EF&F{L-IkcwS(lp&~ot6|G`6!uFRcC1fUQO#w#B~*)pGUEvz<`c2k$~WEg zG$b<^8V7X=|IG+Sl{2wE5FJzqUFo+UrOAx18k?*^&7(V-&0kZr<@=&zP8bSTk19Hr zQh4nTM(!?QTi1p&a@0Z6@X~9zHzn2YJ(^?e6f=!50lP?b?clfw8CJ?uBgCghCuW1! zR&F+_-@(@qF0WKo7D@=Mq4x}^iGVvRs?36j8 z5ff3@s`1bc!9qI(1MQIfwL|h1N3eX!Ruoi5*w!y+D2!7F?w5h#y%pUpX|L3w$peSu zl~bm}`AWU%NPVRNM}>`DD0})g8~mhIH`8a9Y!xt4uNeuFXmM-P_Bk`!O4@~XZt#nG z^zwY0S~m>=OA#~dyPGWY`~z9lJXnmX*HWAAJW;{wyZ#&(9$}mr6OJ2Ge0o8v($wB4 zxFH+YE6qjlx*%lywZ|t*zF?&KA5R!rwEg@Y#oK+3KgWk#mpb}i04bhV4Yp_%b;1MH zy5%gE50453RmCB?*S=Bu{cGXm_uU>1O;Y2iC73kwM7q$pXh2G~@B-%yN3%? z^47U>NXp;{jkBE*s`1nOU4$+>6Cq5mhI!paIOCJyRtT>v!a!%FG(ELohRo4Zqw0GQ ziBWlBVx6R{Uwp0RQVT)jJf|%@-KS}25$aZ+S}pXy0{J)1z11+JR)zcWD6u?1c^KPJ z#z*(6RTNqai6j``_4t1H1+u0&!eNOPbr#9`kvYk#c`W0P6jAR}Gr|k*W8XAG=iez( zGOk_ZKlX^Ngke9tNsMqi;i$KZh@g5Q%S_dsKtCSoSsCGlYpv&F%06LYWg6CZ6GThV zG2ynOUwYXRuogLk1;t-`=ld5jgBF0^uD>x`AzuBFs>X%1?ceZx5Lsp@*kEH%k9~Z8 z07WZd`1lueam0JuPRN;%9ld)o?Ou@_j`wmv+{J;kUse_Xknhw0SdC~M!)R3MQIm0Q z?pop8PqG-~ns>iPT`hGfk6g53=(I5EGFiJMn-F92)Le>%&Z6q$ z6IRU>66a+^c+_h^6NJ4X zm0F$P#RYw_so#T$Y?WV;Sb4ZQ7&Uo}O1o?Q?m$~fzyrOTRmv-p;=@zluw#`$jsj(b zW`0*RNJwhDtN8ZT>( zu9j%De5#c$R6>FZKR;zFgqD}YXAT)8*!uomRxBQ6EAjz$&vc2x8bQ~qN9~x1hGR38 z1m_jq7VlcVxum(^vTVEW$3(GeTIF@KaArzZu((qERq{O&5t@@PbcN04t9jIEU{ zIbGt9I@gsV8>W%Iv-$s^VCTCC?US>2bVn0BZ#C;r;a8zOfB?FlxRC z(ZzD=Dnu$uJ-b_0F0eIE0c>LjGsY%~!GpNF)#Hrwmqlz!b2W9Gj%J*dI*uYvj>Hj> zs&zd#B10xwd`#fWB7L>)#*HmZul3?3f?2W;Fmt=g3>m8-9R*S|fg~b(>u%bpM{H2} zC7B%G2>*YB?OTxSY$j{3lO1A1p@vgbl+#z})21r6Zir`jHl#`9bTjhTnsKnVET>kr z*O6;0-Uq09j>zk7+t|sB)mk#E)S1%W++HkObZGl8jwk)97zO($ z{R+f3f)VTYzX}=a_c3{g^)-$dPpvn>jJ-%A_N<$x-4x}$3EWxyrBCab-jq)#Jh`dJ zr$`!c&#~M>b*5vY{?qvoxA0BU?-=bFZH39Ve5PC9cI?|`&5GfG`?kVD%@39#XZ}O) z8lTXTW&E2bqa*ONJ2f7@Ej)^#8dwbx(Olb`afRDZ%S<-~l%48FuyeY0G1sX3aWV64 zg06?k!9bpkpu2o|hIW%DBT`}A@z&=9(j68?ek=pk*kPTNvPA#2ZkXe=no(tLM84KN z1x|0Zx)!HVW8brZ(l6#Zhnhib(2R>hO%|v(2p3!OJV_5|61`sCM)abE^=t|AfDmiX zcPVm=(b^rvgQ02Lq&-bi#_cgvo`d&0Iq%g<|2(InwWLO73q4}SzBMpK1Qyt<$XlNA z`k#hc)rc(zyu@jte%vGVfK4EAPs47 zM9X<8fF7K_j#}XuD@=s!=|{*$mEB{NDQ$Wk-`T1Jk5`T|a}^DeUz;zbqTl8vrk7j* zdKA4lxikCTeB-p4Gl6Lvp6eYtqwvvT1-ZG|bEZC=>z;pyZKHJLXX;#=<1@85aYVaE z1fNU`)W{sHKLy9}>S{omYZvnooIacAXv5go4;$-@Dt`N7i*-+&8%}!`gV% z>)Mg7))HbVAe=;6z3JkeFOM2TjR7dCN4l_fU`ckg?POro^SI7StjEzc)~E!Z~PWjc2yw`H%4T*kGqx!35sNPjB;gk zJ=Dt?U#xH~t-@8EZ7f_vWJE{7aehae?UNvE8dP5QVO5H71s#+R^1pm)AP?YmaIO)!(F=Z;#|M3Tvi)vxp(2<#aiws zq-ccm2ylX-H#Q57BEJ{yPZ!qXb}*1m^8E$5jj(d#x$#DTodBo?-xVuad#hYGgTo-* z#JUG`Xj*NK@0y~tm&}5pD>O=ik&yfVarQJVk-H3=l5mvtKeWwr$)>0CR5@z?t>Z^n-_l{)#RN=c2Xs0OId;u+Pe* z$<}nE;7^9i#u+y>Au+y-e*bZzPc1P@bj#JuU*I_GRA7dZK zK7w6`U5hPjv1oz+#(?~-*VUC z_FC@axaU}Ig?pyuK8ky~?Xle3aNlLQ zMW|_n2tZQu}Fy~@T}{eip5s?|45=Bz7;h5m0VODY87toOU}=9B0& z-pkrS?7vy|KFi*3*|nB^z_LHJ?1Pql$g)4P?9VOxuw_?T_GZi8V%b5<-fGz&S$36W zS6lWr%ieC;A6xbg%id|(f3fUOEc>sPz1FhVS@xeT`z_0U+p^!W>~}4Dy=7Ne_6Ez| zXxZ;s_WPFofn|Sa*_$l;CCgrA*)Lo6E0+DLW&h5yU$gArTlVXg{f1?)w(LJx_8QCn zqh{O4TYe~b9{e-rE+hqn4eG&Abo!j|L@Csa(pves!r zJCYL`%e1#?nbHUdhEkf8NrK~oJ4H)fy__=gE@-;`2#r_JeT5mWx;QrkAn zIO50~0AiN$Jzc`_OT)Apm*p$;J~qqJ@F@Da%Tp7V+LHcDfZ%K?-?pL`N>R#}5&vR; z5-w%gi7v}a>5{#|ZGn!dlr^;=s!QY{;g_37+h`tA+N_xR#`3$8E?y1^|3Bvu)%0m8 zb6k6gJS6;b^SClv4y&&2D0oEY(#Co5a!C09IgcSzr_nM}V>*xdm&ilHFE@`^dyvOS zH0aK^>gv!X@{sV$&7)nkuI?x(nEE)Jut#&JS=p2iO}==2N%;S}e6nD>dCdxAoUFM- zJ`#Sp`Lv0aXUt>Xk?5X}mL)Ca;$@NW%grNJXHC?gNAsC~iF_pda`TDx8~sw0Ba99H?p=%Z1be1g+*GLGT&xyI?J zc`OmH5gRCog-xlbSb1+uZCc)r0=tcdE#>Vm<^60gu=_WKeG6%%yidL(<^2b|;Y*db zx=Ail-s1fKEbmXW-+z|(sNm$6gL++F8F_w$g~*C5Zj7yq%gi zEpM8@?xJB!dF!Dh>gzqg9?=x`^`w#V{`zex@4x@lSYEBfK)AY`36EvHBRy!ME1H85 z93;z{#RFW+NMjo$YoEnedmrr;mud66mZ96m*6aKxxxghiU_*v{JBj1X9^suETEOQZ zS+*?h>{^y6Va*70Eeik&QApRa*KmZ!OMt9uT+2lGuh$!q`o*r|Oq`(^{3wx~2t9yH zy0env-m*`sQi{7xs`C79iaQDXLIWiJmlFRb9lw6nafzRxEz$|$K9K%;aN870%CsI4W+ zY>6^f?oQawK*jf|x`A)xSo3DUGf?-kU~;^hXb-Fjz~e6kUk zU$WUo*^P0V9riYxxz(1jyhyg;t{1nAKy2SpT)FT|V~bpvmH6WknH%klEaMEFG)UV& zwb>!54;VhD{rM^l3F*G)eKm+C6MmXTttkW{C)W_nwj zk&H|yf}_Rt_=a6EFVn-&-Y!%y71v2#FUYSvR{v;L-$>azx)@b~VnN8zzudp_lnsyL zMvK7nsOf{8y#EbCWyPn&qiNVZ5;j;Ps{yQ#7(G0WAttCm+VG+(=bgUaHs;zstE^b6 z(YmYX45SooaTHY&>12)-3!;7(CkK=0irveKkLx^(r8Z+IeKC-1x514!bg+7k!JI=f zx0%fMj#&pD)k*Msk%;DzHs^+8dF$Qin^$zcO=0tFbzT51COn+O#dceq&qbeMuw^-w zrp<%#jf>;m(_p0SadvIt8}rUo+0wn-tyKNUEZm6;o5;k?)dMFLc`_v9oRP6z&^mJz z@mjeInrEcZbZzFPy4HW}-!Rb+=g60Ex+>F~n8#tZEvO!$XwfqZ#i*Q;nztk)HPd&s z;cml$ej1|PXm>(Ykvc$3v=ImkjstzC&6gx6^YvkbL6k>jQ5YPW!=5uey-jpPQC`>j zszF@0uq|%kZC9j{y{+Z$T8nV6Udfs8u@d<5T~jnjQ9_${NST!_cRuSqk+$MXrs3|4 zOv?HXpDrsJmeM>r<_<0D&|tKSWT#Q=uaS6&QLr08LL?8oZGpuIa645Wsb9BJ|DgJU z=&5GZeijw4*5mH~SL0MJd&xL0ld)iYYL3^_S&bug2(6rlSV7Y}?!{gE&;snKLa3aHqKEGw3g z&xjIo;K@%_J`x&r#ix;|Xs?qCjZuSz;%V|kXH88voq;*d|LcIh&Kl5nm1!E|A$xN` zn^>m_)@M#jiH-_BR`7Urrau9n6JQb)x{!_#St0EqL;f5HLMeQ|47bXTj`|w~+8ZRY zMm@6buwAWlH+`9#7RxKD*JHBAztJ3%sWcfc{-Ku_dX{J0jvkUWg)PvM`9Tb&t_-D@PeDN_gPyAqY0Wt4o%r7{Pms=bOP-PV zzsgjl6R!Nr)gv*BN_(t#rG{E&?t922zRQ#Td`Mui;ojtSh3(qx6u)fv0cr`^la---w)M)w60Ws z)DZC+B3?Co%>n{zb=VLKFy| zgRHoo{DdCDThsOqOKtlJ{TMSD_b)Ji!hC?)iTMIkhmmkGS6O!STkIcW9>y%iyo}j^ z3H?-hAUDKvQWI=`)&je2Mdw&m3=-jlxe03Ivo;$6jG*1p*YqRbBVtKBo9jDgY?+8) z@ioLFJir^^-3UHBOUiX%qH|@aeeCly`D|u>);8}=B@yTFd^}In8_gs-&R2Yzk1|X3 z-@i(_d7X&Nwx(yu)pt6{n*Q`+PS98sq}qHAS;HV)eY&0t&-t%Pqe!$pymYHj+9cPEgQRlG;4 zIN(VQH%GC^xx9)t+-Yxes29F6wCH(J)@Oyi@hwy4-WR0=^dLL~X|Zu~12rcV^TMmL z{yC-AF=ep+`7QlZnf+7L_478k?xUhZD-ZQNR{zxSF5M%qhDyt@`FE@^HqbwY{KC@w-Vq7|`eSLKqC9z(u72= zCYq4!8{d#FIENl=k!+K9*$D6C58!&}Fi-LDC}a~8ZU4BlWU{eQ#DN{_adiQn&H z@H#ieZxqo4zl~6eV5o-P^grI6UIt=mfzCa9_#MJZfs;}f+ELJ+>m*caWLw<+upjh8(^{WcbFvcyZG zHE!in2VLJ>XNmqWE9V}@M-0(6-VS-azP5RtdA(W>_NIsX2nuBL8S_~9kw{@`q|m9g zg!JbMW_Ua^cw~+v(p!67FJ4)!CO=Zcrt3vm+IanDwHz+8nCuw-k>00vGXq=bFm|d0 zwd3u0Gb6co6V*j#F43qI=Dv)N5i^&?z&vvem^X;MY0Kye0zOzIbS2CdW0zj8ea=k5 za_#$TmfFT%yVN!XGXqnEc>(hw<}fDlx}~-oFdt&}Vs5eQ?UpTmqcGDji!duNYcQa( zh9MF99ogVcqi#db;m${Mk$QryC9P;QBjjai_3aW>+zfrY;)k8$p-NYP}Ylhs_ zn$f%U)WLyWR!XB*dkNwA*p}alklZFAWrT!h<+sLrOcU?9;yoVE1bVz)CB9D`g@`fTOn?YCTkge2qxJ};1xHvTy@fT}faRuu{`a77&yvA6Ic6rU- zNHe>T^l_ASM8a-izyQ`MEEjkrsWK+_sW^ex&D>$k$o;RKJKBRq7l656()$cyrgM!i zXZm-vj(LO6sNs@?+L$g=a09$pQ^N>XIdbaN%->PDa)ED0cXq;Zv!Ro$*oi;>IW0%& z%0nA*-bNdm3DuK~iEA9rpB5;8Z1q_DTv7A7~f%VD>dn;C+M(sHDJm7gy z#-a1hMx_Yi({u2n14;&}C!pCpIpMizTA}Ai*q7Y>I_^>T6H`e2v*c;Yf*O0|dc>zp zv)Ja!SUhG?>w0Bt30uCXk;I?5IvDyXj97#`qsY-V^3o1BQKh02m1x>EYPo864fr`c zN_7*QjZZ|6ncmp-j*Rb!BQ?NAkymzvti|SX$(36Fx?8N)^_&hV(G+4GjSGe7{G+9| zLd^CXmZHsksqHDuZ!qs+4q@#0cfoAHNSuC{*P48bUl!&b%v8)A%##?+5ewzXkast@ zHf1{DhlM7XpYTcLYoz&gAk(SP!)`$&v+3q`==G6JY)NE)^b&ro&aUga z)b>8zM9e(gC75S1?_oa2B;nuP3YR#yVb(PH7QZ_&lQH?2`Iw($K#jt>HtEK^&%_N6 zQLa!Qx-<5D0KE!}n>KGt!)pInl+QSSx**Cout)`poRFE>9PVoMfyEa@{K$%Uh;e8} z1lHsWqP%QHK`vXOs9{i1fz7h)|6%UU;bF76yEzQ`d?BGn4NKAb7WxWz_t*?l4^j zXBKD)>1HxA7m{Mpx`5h-(AM(pY5FQT#~^WBid$uy6a`zEo8y)2Ye(58$0<}7(=W^g zgDnGd9?)dU+?mBpL zp+E3Jac$nid*aXK;e94SjPUS|CJ#>~_30_+=iv-OK5nTBvvPA@COR35m#@AeQb~1q z`Lpx_czF=wuIsYQc28IMG2f|}`IsWiTFeH_7nr&D3y#7*k#E>7urt6K^1TRiHD(Z| zZt(`LGr6vC$0y9%33M?*H0v;=l?f5%{`10cEe0GWR5)=(E%axT(`h!onJlUgYW;SZ zeiGt^TIdHBZ|tcVoivcZOC~6qs>du4d^=2**8;)2Y5KZp1!h?=7FuS;JLL}-`RL z_)Dg}4hvtQtA54zRMQk`9hhq_UuL@zb1P;c=3&emm~EIa&H?PhE&MR-S77nLT4K6j zuEjtgWdI8g7hr#rL}6eN*yRxztlY*CHmhKpMd0vUb$0}QmVRYMEKGYd$*7NOeJdlr zgnF|UzSV?leYlkXD$PGq_)IPEqX>zNC}GTZKZ3k0A#3=HZmo^2jD)beznZV_&PJYA!vHx;?}bRBuRMzukYq2=k(?GSF?tFJ|8 zHH>7q`u()M_5RE-G1D@MP#F7D40ICV-SAO=U@v8d03E)tC@eouAiC-G>&nmFBngq9 z>)X_kuOx*Jma%)(X592G$}OhweBBbJ+PX zdjn)1wH7|QlJqXaDXHtCz%4RoL712Z(GHu1Y0`O=l8MkMT+F*rE0%T8Qi*wo@B-HJ zUxH1FyHwnM^}3a?%tq=+wvAB!`jwn}6zqpg><=ub7pGpQx)n)7t3^poz~*h zK3m=TsSw5^i&S;N8%;3$uTE{ge17bQ1?*S9!%L(zM5$m>V%1a7gOfWsr9}j9kFrlR z(M%87z+h2}QUUt{2ag(Rh*S|5pHV;c%pzt{)UO)B-o&FhS}WQJE`&}tVW?`85U#4` z`B;dNKi#(v==*vO@DQd zRk?q0)#@NKf0*bIb>EZWnSWe(=3n$>)Xcwzgt*Q`eM>>fq`Q<^l|OI+;(1W*zq88R zpT*8i1i%5Qi8<;SQi_PAGWTzlqujtW??SWGjmQrUNNp?%DW2@`Zf;}Et1Gn(iBLNU zY~fu`TqRiy^R7zuB{~$c80KA->Mn{#@)BWQ&kzC(HRGT#ufN?R%qv3Ux%2;#6piq% zi%j07S_<9g@Gb~-@fu96)TnhFN;cR;IM+1YEgp4Uz07tq=FXl7eSDW<49wp#Ycc=9 zY{X2&UvPF}AHp~67TEW|&R`m0zXX$kVY1KZd{h+q{5S(|!5a=`I;Hdi|H8iCvaiMt z1Jm#au*0{sU4$}WS66lGz09T|cSZSX&6!_4NyF5BdH5x5VEJ6~Ek=^b5a37W06PQZ zB7t+DO$GJcbAZCmX#T{>QW3u?hi0TE>zKc%=patpzr-$`M6N;9fNcWst7pQry(jK- zC+L19TRt?t=t#j|7smJD){IOls175O6NfOek0@O`r6Q(rFHVDCF30r248}~t%)o?k z7GXaY_NLNb|xBt=*rUUEYq39BsvNlTuQ=8%%h`WcL7H5O4^$0pqDL6e)wo9W+JKDwu~Uz1FP*Z-KuA}r=r@-h`d zo%uw^&lIWEd8m4w={*;JGJjXypVrKbyJsrJ z=Mn8w$qsR~@$cfp4IO&-nBF~J6Kt4oG|T6ZCxkxnMHJ8b4Kj#gJq_2Uj=}1Lmcz`A z{Q*>r>`LXSn(&4$WH$H#JcP|_&ozaIBt*$=Em-FVrg!p%_oIob{v$) zcPJQhJ{p-vk0X@uz^AT>6bSO0QhN@ZGmjSe?cl`fS|0cuAp~<;h48?6g~9_N*W}aZ z@W7g3lw8#O`P&qs{~R6&rJ@5BvZLqEw@5p>{~M z2`ki&*Ki0g)Q)E)j4;FH*TM`nNCdx#e*q5K!bD*q+IJQJiyx-I4^vF&Sb>@hF$$Uq zG|UmBz+D8{WQkGGWv;`FPv!fte+|Cs(JdyFc0)|n-4lRrkmS6Fb(BWvNo*@CQ@WE5~b zK+Pr3t-N4Ad|;D80vj*jlV4B~Qc=NN$lC#V+A&b`$vaIx*&CmC!alsIM(fVuI>%%& z@D-Puik=YZu#v?^%zf*M&ElGQw1=yPc`EuW;i)o9HW_N;>mvL^Gt}>0e`Kg{CR+@( zBbzsbZBC>xm?8^9edi&aw8<#rrHE&fZbaZ}N%XSg~jwB=r9u@<=(Q!YsE}28%(M*ZOh3RKA$?m~zbL zm>)35F;C$yIQ232VZv^KU5M$48HSmHS&BKAM;?J$M0n&O91$M53rB=UZov`Zfh7_~ zcw}j2m`6Sz?f*Cqnn4l#BpRm>@E9zY#MaglkUJS6RJ-eoJDO9rWTDru`053FGX=KH9<$!+rsl0IUrr4RZrV7>dOg+X`v5 zT(hC+40Fwp$-xj8H3Jl_y@Z8p*4E_yx;Wd#>DT9HqOty8;hi5+HBxn&cb32*wZr6{ zd9}RrpJAW#@y>nsMe)vfo5?$0{e-xLsnqgL^GHpI>3>+*G&lOGmG$9G?32k~4|AGJ zK;9_g+CY)l%rKw)G0eC~7=*s-YQvb@j@a|?_oy(rs$B#f-Ci>2vV%t6x~!6|$|KcK z{HHskSSHKq7fKjW2iolF;K#7cGJ}O{^(?Dz-p$G3wQ9x#i2@d#qY?-q?DFRUks$$g zS*6%$$h6wpa4JfHGX1h@gjxOuzTh?gRG4Ll`NAwM#;)}eoafLlc%x|5_d9X(I3tHF zu|G9{=4Gk}Wo_u5L>?NE{SPot&TMj8LNBqS0nV#ZAHswqm(UaMdktxu)>%PwtB%m# ze{h)gs0p};Krq#!EQCqS((9Mme&XvK$Vv+)6Eg)_U zoRMini&YX--u(Jl5Y~YJ?~Nc-sgpiIkZO2I5FP-*+!`g?ml3cf^8v3C2HC)zC{Lws z*Pe13cC~os;i)%DtNX+`5GQB-@`XxybJ58A7R;vq!n4(d+N%PuV=Phx_6f7iWPxV{v$W4uckL_Zh`tct_wfzq zX@_d2J!LCUiFp3g4664A9fQt*i0DOm+hJ7t02a0~b-!S`1v8qXHwv;noz3oQmUzoB zQY?Yw1^CF)67T~!-dp;+g@7UCjbA^oO@cckLpVgkvi08YU9oL@E;Q6$8S|YkE zA4#ivQXSO(PvXB6|KK!&Ss8d-ZPb2`<9Dn04Z%;hs5OupytXLs5t_vltQi^D%G7m& zL)%f`z}~>~s|&$H=1wWfE5Aog`o ztr1H8p(&|R7wHHKB*H2=p&;j7BI8ILo8QhINA@|aafA~vk8UOba~!!6D*t30*+2;4 z#aG`D=EbtKRHf3l|6v@VtZGT@3%=leRxabn!P!D$ZJyDh{OLH7cP*77J{OK6-T27emKaL?gp|QgdloUe|!1xZq z_9ys;-2!uAF2!VGCSev~XgJTwKoLEL{4!fwpNt`22oH`LL%z^HGKRb_K7SlTKKDvc z8AJXd2!9+y-qHv%hTJU(b;po~CndBz0y#uzsyl{s(4Gps5)av^(;wU#*nYXFM13)sJ&zi@r&0vW5_SsSH_U%#kcMlvP*l) z7_w44|6&Z0(|Cp+Lxu^j`tvd5I*F)u43Tp=h8{zjNx1)U3~4iLneEh#rkRbs2=fwV zJLVTmL;Sj0{(^TcCK%-w*m%qW%%hk$F`r>-$B@@&3Pm!8JR%g;8ABe@ex>*=5Wn+{ zArBNva2Z4L1m%2V$PA4lW5|_)a_$(i<+#KkV@Rxw3FnR>Uutg|L%x*}D0&R}*B{4_ zN{uaJ$UB04K#v6BF~onTq$Fd=OA@l~81jPllriK!@vJ+B__U{tAzCK*!x-{}_Lni_ zMgrFzLl$d48AG~@-+9N7ry^rWC_IL&$D+rO4t2(mxsfsCi|8@rZP<~FA&4S2^>=)V zvL0U_Cyu|t#UlgCV(n964jVUXpRHyX8Q+Ssc9>xXY9DK;8Kixzp{5Hy{y_d6WTZH( zm(lWj^c>;v*#ynodkhcrNb^wIO=VHMoxKfJ4cra#*Eg``m#`hW|9UEiaj9B4P?1N5 zq;%qd-{+`BM4JB|$g+1j?IYp-ho(cuH(@duqx2ceq<3S@Biv2g{k_UQrsMK2;>#a_hpO51AGpM*U z7xu*Wv}KRS-54YAwir$~#&A{nTxW0~)?`Mum6=XX|CYx#vh0^*Z)~DmjJ1iJg$%Y- zTi>N78Uu*c0B6`H`>M@Z>R%Gx0m8#UWO{7PzyC7Kue3G7q@c{yXO**DJRN3D-zhIS z*87(yir>)Tuu0+eU@2XN4~chtE+Db>=2v*+xRY7{viTy{;BrUWfDQ zme*K!xV(;?jViAnL6h?OnUm}OK)3wb@;aydyN{|H-|rN#S^kJ%wdH>eXcFHt_^&@u zIkirF`n+yLPjk~LlLtQ*iP_}A`%9yEuz4zYE1*afKc$nXQnNk~4!hV&Lbm7@D<<)g zy8)!t>(eQb15RvW4h62IHvh6WtbL!}WTYp(Th9J=-jkf_Ev@3g>p2&=uL!(F{9Q%0 zleGLntl8${i-9Mlfxw12^TBww3N=+=FSZkz^$WPyMLhICcb9m4R0nsGfL;NlH#^lY zT&N!}n15+7X6eO%LLLF(T`(sl7_%t3HjxelWXnz@?xy0NDl^wI^()#0MhqDj?xC`S z!@_qKJV()KaFDVK_B6`#J8&6I-z587Id{X`UmF0oXU(QuZG-FTb!0jJZ=Nq}&jH6D z-;6q!W4;Yv+ehCX6K*3EJeMnUm9EMgD|&dAJ=@iEf+@HdL&vCgGr}$CH9*16D2+fK zs*#U_Tm`bsSJ4rTQDuzkG86Etm4G5`;eApB(-aW;Gu0vu)6wB}X@EB|;03>mjK5kA zsK}M`;(2nVyfKkIldTPZxEPYWZKzvQX(#jj&E51cm8cGr?EoB|_>b~pZw+@^vA`~e z?i|ZYla?=&IyLuSre_^>-z2v~sih~(_Pu;;xKxtf9eR|&_^SM^H1fO(+0hxKr$+^j zQ}?_P)!Ge0OMpUHkw5SN@k znMr9Ru?kK~w5b~scNsKDodK1i~<8{(0I%# zh?I&`O2s_cfQHnT&(ja?DVo09e=|$v>2Xn|!fKqRE(hv3D_f!Qe{JUfw}-okyWrtQDSP1>#*AEu2` z)@7&b>`f64%{uEz|1paZ%Jb7>D99cEj;ghO#304}3BrIsu2lRL@OwsqG$b}C1h1cTD)pGH?V`i}y(w=HJy;P~A z$kQNL``pk3`;E`kop8HI4L__~V3k__juhiVH|b*J&K2;oz_U(fL}aAG!*aFOnUr}~ z<`!Zp*0|s@-X1)Tmwz2;?e`T(LLVI(JePkuYiICn{Kd zX4?tOF{rIotG&P2=OnsQZgjW3k%uz6vFGGKR+ZocJBfX&X>Tj`p{Bi&*gd8_PW}CL zqJ$N)S7C15Jm~S!l5X){b}*9md~G$;mfN0MNQ_phI(947IMq86`}MW4Yrc0plCQF? zld>Gv3?3v*uuQqMt=eG3OEgd2%l_AiEWS=!X1fxTi5ZC*hsnpx!Tb%g46_RJ5QgSO zXRz-`D$Y7dw81+~K&J>`f(bbJV;JKG2(bsV06%eZvG8LKr&{*B8g|1Et=>JghK*Nz z@2m-OhgS84;K=<`_4sn?zB-LB=i^>O*q66q8pwq_G!A{AmrLeqHQfBt7}+M$RLpj( z&lZJ80bZtr4a<9NJ~mAWgR53$+9i9oU_iQ5X2V7(mHIca935r0W8aPW1>?M#&2^Yw zm?4;(F(SJ@gP+y#iHr$Vg1cq5`!c3(uzq~DIs$7R@_ZZe zRGL2eZXOdk+)o1gU>JemIGc@8z$RDwy+?}j_aWc6>=e;E6A(<|CW7`F;J5677x>hP z_Hjm7Gui-?rn-IuC_#(9yNs+#o{-fzGf^+js=PRoAI>>QzUyaI z){nsGo&xKhRoOiPi&J;Jf_8d8&!9wj=hzy$Y_f^W`DQ9EonhaqUfs^O*N*RUOisq} z11KoQvH-HGU^X+tm08?R$6XqD_`@ELiwBE8{_eY0<0D?>J(-)%B9@ z-NN8c^(RiILty$?-$8smm^GNbx3b`d={tq%T`=mkmtp1)5>Uu2Wt4cuwjTm(92c)%?KA1P1z zidEpn6|1FPj}ZHv6<=)>kFLz20>9E`#L+7XtBZy8wRj{5)+b;UEItt@9+JTe^n9pTsyPOMygkQNK_6@tv9 zUMe8QL;TgMMI?Umf1dbV5=5Um06EC|u}Msgs&65miX!F^Gorv+Df39(EJqaI1O(X`00*+RF?tGMAJQb@579GqF}mb;~BYEI9%f-_E! z?!uyf2;NYOG7^+vdab*SMA4bTi47OB2(y<9R?}Ln7zztk*!*9X3jV{VMl%r|_X1LJQNH~_T4ygrNWAbw>4K#Ge4RW^*UfCzc z6IXCLCj4ZUw{q#Kf+~2gACG!?grB+69!JGP{u5QjM4A5Q^wqkWaOo!=eaxy=~+%RcQK3QH7(u;i-ykwzQhVQaZ|Fq zXF%A4S;+?`IypTxSArmaS3;i6$~_ z{&}oSn>%u5Bp9UhwL%QdnM(_(`NBRj^D&P(()GT zH`=0pvBExYdOP4>yqzxFSHU@f9B8>z3^|meOiPL6`<)RuSQWJ{<*=~O*sE{%Xe`;z z1=gNgmwr!A;_9y7rBAiObO38Rb6{G!kiXHij#Ir%5@BBBo(+ZM$dvi2rsfqDtS1kZ z1Lg)dQ=g=Ax^wxB#cPthcT?Q?Tm{;w`>u6%eNK2~*2%zLWD73NwR=(!uJMUcvPV~Z zKEy{)OSRzSZ)eR*zga^KtPww%7VhS7rZ9CSJDVIb*MA+iFue4vMqc^!^R2^pol0qIMA`2H6c&p7Ccrymipyin^ z#OY=0tP8BtpF-gTF4jbA^^;ZnN2K_jQv4#+)6Y#+%Tf1yow>7z&CIo(QJcAl0_r=I z#+It(C|PSv*5DXg)k4{6)ye;^^wkTJ-xD{UQ~G}%LqN9Q8y<+gy0)Rt&DSK~V3E8b zLb9d3(#<9fnj^Us<<*3L0?-OIsgY5Pr{}AYfttdRnnJT_)3*X`tg>~I^FTS}_a`m; zUr{aFEY}ylG0W9M`!lC?wJrPpwFg4I_h}BlCj5pKMy54-MO3L*VpSxco=H<*!XF} zGiv%~EwdfOw3x%F$oFT=G0a&^z1hobDVTPcxtK*5!MiQWEwGN5u9)7KftV2(V>b-w z=vgVg{FCFIWJZ42(7CU2-+1Th@qV^$?yEegt?WeKS9xgRIZ*Q0Oou-qA&uRfm{e;t zz}T&~V!miO*r29fHSH{l<+{Q}$=3H=mxL4qxxWazUtM5YqBlRH{leoVhQvUDa1!>g zgQRD>db8tLfOR%IUI&1w`xf%~`(37K$@dD(0L*C2bj)H*F(!;7W%p~8Ti{P%Ud61( zY{TruAoA;LhfjWquIUAIlz{-1rJ+V+4SZ05p9@g7H|qBOtbjI}po1pp0RhQ43f7^H z8tXm*t*(Q0yMR^-$giFkUC2^1{g2F~F2OrELl@#I-bJU7f|ImMv>hG9d82mXx>Q_p zjz`}jWK{!#kzWOn7P&M?*Tfr=2AXqyKU7d|p_BVk(EQ7_(gk=*V~_6NITV|v?DDI( zWUjR11@DL)jsv~_uiKrRue=IH*(3b}GO zpGEZX{u6?uf1<;fy^Kl#C#s8m5bk16|5+EiM7q^5 z7wFBpCwI}lE)(E-vujMJk(!-JdZz^Sa!-le$lYGB9tj6s)%e7&q>pw{*hj|G=*`X~ zK&~wZhnu-S7x-<_6ui5O`?)_9Fr6iwyhFVr5IH_<_9qHlccv!=Y;~q=>2lJUI*Ua* z)4bZw)Ep}e`iL#}&dD=o+&j+MjudEw$S~ZP)pnHfxTXjD^#avgm(zEsf$H zJ!{UCu)l;EGHd2-(J-NrD6a0o7k*Z_xtjVwYT3SvvyhFwsHAvth*W8BO6zo`}31$O> zxr=UB7Jg77Nk(HZzXS6`c?Ji?g^B?}#V!qMZ-GV%Xak@y%bwN}NYM%@3$=ET zs0r)?NbM&}$0K#G+mm|^Ey}ECsi%H3@7DDse*NzrF*U!v=_hXe+#YTQCx0*&u@iCC zmEk_2t3}rTaRUeE2qgt zD&<}pkVn;KYZ8@GO)Z+B6v^qNe8|ja_E=x?nQViIU>7}UGyy_Yu(du&%MQI5t&NgZ zuRS_#JURG9?Z8vYZHXMZbUJvyNy?V?EqjHmrAAqzy5Mi&RxPctOf`l$V)~5Pm0E_h zt@nedX|#kdOJd=heDyuooDWj_3pO$CRVfXFApSLlv(ts?!;wC^4)xQIgHtk&UZNm z`qFr>$h(Ori+(U$Hrlf49Pa)}ook1uXlIqeIta4`rbqZzHYfvKJ&{lasDA^df!{wtXc0M}L*CTbL zJ`SzE+MU2nkIWn=gJ?-Fv_(`affd3qN9VA)RWG^ zITLB?f+h1K{dCGmxhVJI$ zNKRQDEBrpRfjpIYRkOLtMUHtE;-Y1CSw!(G>r5n?WE%H6yR>lKrsZA5qM@oN?`I>+ zrMxhz+Jc|Tpovb1Vo1+Qb|;p|t9dF1px&aedzU$-zj<-E8{``kf7Vmmq<8mw&$v7t zxP*gEN%D#lM>9HuuNvzpzZK9^u2N0WB=BP40Q9V%rd;QhkV9cC2Z!lIK?O?yafnYoZ&R4E}iWK{! zJ4ksRDMtpb&qzkfx$h%V&NuXxa*pUoq^x;AZpHoul=c%h+~r0rj^ZE>t|r!B2?)%A9Jx#9Td$=hO#rSU65?ik}b`MHKOi+-JqQasyk-)dyXuh<4+BV&`a-Q4c`gYlXAO)%;F1-%-%e-*}ugHf?Y#*IAs znCRYN1Dg~|=}`8H(k1Kf zUap4=x~?DQ`O#<{f=zffp-dhdzA7HRp!NK|lvI*ozxWg#=O|;#dpe?~wg-?2E@oWw zZg!BsqSgbuhe%%fo$ZO^7IAW=>yfrJ{5=tDtOLEn z?;Tnr`9EcDH^?(AYg9`5%`;s{CnMO-o zva^ZV*NVwVf2Q4&@R10#!5HHh_;N2)_L9b|E3g3M*E}$3c@L0_(7tQn9%{WSJh8iUQRaIbNjjZkzFrr%uQN;085~qMrxe2{3p}*H$FVVKv6BP7Jr$}p<_IShpv8&gR=%wmjV!0$Iyz%phIsp&o z+%#QmJ* z|1aE!u3N43CgT5q<-Z(%qnu`>9tFZ(xZ3`N*k=gdjo5q%!JEaNiyacUT6$iuZ_BiN`VlVHNCT&;#;KLzjJiGiXO~qVG z@A=Wl&sW*jjNwPw?ve%eylM0Al?JU>=HpdQc!^PQgZGSWQ7a?fa6FzKx02ON-sNki zI(N0%@CkXokMy)9gf(MZPi+3clPDyDKbwmk^d%+twunT*xFT^U#8vAnd20 z8g%5qviFMAFMF}u@M4W$$vUupP=h!SC1h0kRj(N%&g7>rbhz7Dms!YmC*nySO&qf6 zy1F)wtMH%6m?G$@)yvH9XZPsu z`NF8Zv@>v}d_Tu3x3FOMZp9`KMV(_8+0_`zo)sqksu=nxJ=sGNR}zz9D?s+-X)Xz) z>j%Yyx36U1=4Ry&L1GRogJ(hsde;d=b3t;!;*ia~#4H3kr!4$=%BnDbUL%3k)Eh+o zd&bynz8;glDd}k|;3XQtSY9H<>WlYYYjYG2|&EXqM%H7RUU zg526Sy{+zvR~0=fi6A=%JHkt}L*@%DdlQbq2OY?lm+LtWuO(FbTIg-d4ussdA}yx} zNt#3qfe6h81J}R7|k~ELG@x z63t^45r4^xyQzjx2iR;Sx-mDcf?27T8QM!k|M*^0I*QS>%l!c3`T?vU66J--MF{$m zB>aI4A*5QDbME^!AW7%T_xZ4C2ca~n_7}HSiT)gMrO&kOUdIm$hTX`fZ_7(QF26odzCC0A?`jUEA=;{ zWI?XD2b7fPZ+6LX{T))`94YXek~aD~vShaYjw$J;zY|JI_4noySDxTaDH)=_(@O5s z-x(!U`a8Si8U1yayvoTcU*>|60XqIgB^6U}dkd(Ck{+^t?Uj+TWH!sRKJQAg*2s#N z_dc<9X(HBQu}<@d^%1eAE)?tIVtrU%uU_NBll0HM%aim|Zx4mmN&|{CfrHeuKhXDbX#y;ze~Z)M%v#`{I&;d@DKW*z zaoe4lljlsiedgpDq8-;GV6lr<<%qXd4Vb&O!5x=)Shc$+u3G1ZZaFU#a;S7@vFhT=&#FV@Y~B z_z8_hM)0THc+2#ux4KpFGFvx{&1T`+;CJf;H+f{{teNh~x6hnvp{72>^D+;fgIat* z=yT>}=Jv>(HhE5MK92)WOw1cOu$P$+2ZC>-`Xl?#^30r~_12OX`4c7geRx{wcfsnY zH&T{JM&5qsRC^!Xu?f~{ht8n<^a2_;aPo|~QynAcc&1WjQ-H)cga(Zy0%Y+5V0LGC z6(`0lI?15G6Qn3u$%!h{7X|K+m5S7}D;j3sAhz|0n+8 z>~4Q&nXLkI08@$i9Mk4)4n1Jpn1qpL#q=OdLfBE8K%|zody!9gz=>I|X}c|Ay9?X) z;e;QLnTpZ5u}CX5zXN9jcUmxM1dA|#_9y->JP(j>p?|?4mTEC!`X9j_rvHykthhK^ zx0*kT9qAl@p(PyuUzJazk^OGFZO+u&XdhO)EH1v8@U-o7JtW#cv~9E8v~Xt&wKt1y z8X~4?n}copb{_#B!#smIU)jA1JY06tD@t(x*mnP`N4HeZGVIm%qK zQBT2jSV=J>GMdSAu%jG22WvF(CHuxWeEl81SglHy)mx`8(Kp;-G!=q;$!q1KbxR1` zq8n4jM7>3Tl)(0lav15Po9m3tb)|1&OQm1EOKPkH%M;x$`#?vqY3x8pdZyFU06)~5 z_zBoJlpgf3T*F(BqX43~l_igOQ^ZB&5uG36{Tbs*;!3ZYGu!wycCf=xv3I!A|2zMd z^eRtlqrbz>igSNQr%abVLYz$sy@`y&MV}gfbHsjTp?l&wEp!DhGZKlx=SnpeI%5~Q zI-l~fe%86lH`k>X40a#|nN$Q_ESouv*6C+Fg|cd3?l%<~pS2fW_p>G27AJfppP;L- zOqfdH_rhF!a~;#|(`@baLz`;K+tgpRFCC@m#m4TEA(W$jr~a~(c@!$8+O2Z3BxUPr01BuOhwERX9*EYdZpu2qm`94b5`5qth6 zJ)2aM%@xd+x}$UBp)VPmt21PA|DiNjRxLH*W;;1<(T%q5$HMPyRiRL9N^0SzP!bjM zjX24Me(ulLk$9Y)w^*?lSLy8ZF(x^UC9c>r>A`t1#=&%M)|}@s_)R}E?*gfSWSgz? znbEoGp*PJ82w6fUlklqx&uYp)@NRaQMQP3JDvV>)kWlcwrl3cv5sbB{qdZyL+tQg& zKZiv`uLu!sQs>4RZBo;JnHyvL4he0h*)l8wnp*^zB^Ve#t*hqN_wI#*gburYiSofNoKQ|>}`>mN{%s5ccy`n&U7uca|FqoD~?;IAM|Xa ztCp*iOl<`HLPMskThw6?ynRw_p63C;3*yxqRH$_1aJSLrA#IC(#}xUzB*jPy?zr^1 zPS0=hGa3?;?thH@=~cD8opW6<0h*fDvzp7^-K}Lt2UJWHDrC{y^M*jFUR~XK&liO) zb)`?@n6aTjllT72XnI6N(<~fK(h6Dnt`pUlgs`FtW*c`n(|_|^BL#VtLB94v!(Gyl zx#zu?&E1hNQ(@sv+7f0@vQ+R7^l<1TOrpZgSjtG=tvp5ND|%d4*I`{M$iK5(NV*t~-b`h%m>Jp)uj^h($2%VI#)68{>ET)=a z9~6Rw^(I(b0ho7+8t2(3!a9U$T_lZduP4|F`o5;;&xs~z`D+O_lds)}?#Ya9nb=lJ zfCbp5Rnr5e^Bx(2*DeR@?JK`F_Z1}~kQq(I#pHI#vf~+j?QlHLk&+pJ7`=S9wF|J7 z#ijDg{&DNT#!HZO4jI=Ixj%5%Mac5sJtNrWoUWj+*wKJ*Cnd?zJ^u*j=$r^Xcs0RF z$F@cY%2ADpvU{nf*y4GO!z`PNQPcx?8?7y-^}SgHKGdUT5iAv(E&>lWJ#M3ZY0lW( zbbyZiZOY98djgT16+zxPgxv|ES=0?6(H|(fkUZ{ZzLJ|BCxzpwCqr;kvC7Du?JPVc z%xY;bM&jlxwy_UjW9-ILF*;Mi%vT-{rq+Uuwvt)jNaHxyoX7Zb9PF_RTaa6frjiGa zn+|rSZ=915JJ`jinbElLw7@;eWI|GnB8@P186SHCj-obp@9E@4N#(H#%yx{ON|v9- z`@N?fi(JOXh1+0S1wN;*{&;^Vu7%LO!~3IyNWY=2F2!nZ+6JgP##{vX#e3SdsG;}c z7)FFrhvJrxkd7&_Hm2B3-u7o@?c9t9kFO<(!c+3=w#FI}-;FN|4@(-I{l?brjZSWY z?}fttGVwXVR`K={i_4hf>|B;U+Zpyn9OGUS@MFJ{dj}y)`YRi{QJTDjUxB-R2Z=eh zI<~@yeKCgft;gUoV+g^qIDvXEK5LZA0B?;~!U$zG60FAri;Wi6JsNj7S$oTLv8?Xu z*_oH9T}vHCb?382S?4X@YS-cvdbb@WfVd?*XN$1sMjE!4vMUKQabYoSZemeN&(1s4Q~1CMjvkWP<-4s-r;KF_l{ zQXS_Ab|E->xfaPuz;b;a3yG-t8fnD7wz?@tVQZ;~cF=bb-nJzfrp2|`Wwt)r7?-E4 z5l`>d7^7){L=v+`KGI->PtuLW$w#oXOboBBLRs4<_4((G8odXEB6J#i%}$&A8^tvt zl|^x_v>Rb0q*u&M)Nyr6u=6@bLM%VWV%@2(^s>25RYf9UFj8qFE-y2;o&{+X-dPR; zXbOz$9h!u7cDA-y`Lg!5d|s64Py=8VGL*XOvypc+th?xlJUM7qbe^tnzRP6gXsi=D9^kwUXJeFC^H!{siyg8uoK6 zj(cC`ji{O7$4L)7ECtAphHza(SAzR)Dzz-uFXZ-jr0?*ws)N};m~{7$Z&BfKp1b@c zihb*$)HmRP<3^$^C~^!AX_8+AaASlsoNZ{g$}#A$x0P~Km$hMWOzJeftyJ{V!JYIs z+_xIUYNyp>%$jMdYi9RfMEXT*y5g18(A(67)>B&}_IL{HoT7S^qVfkea0(>&9g7Kc z2J5V4SXa+snYA4uEnF$>R6YxxbckkVznA%Yc~*^l^qFy~nA6YxNyQv|j?wxU&B(am zgg?fUWJXa>!v4ljsDU1b{F;Z;c{{~PA;}iIEZK$SGIE?U3^`A3Vyr80Tft>QA9#l! zSo5az9A_Q)KY__LWKymbfHNHKBRy_NG=) zI_7Q2$tO0&xZ7AG5U{9~p&a3j}@)VuBz6hW3-UZGGf7Ccl$U*FvYAYqk zv4%2rDH7irDc$H=vakI^E&W2d`2#ImM%9uWIx@#FIFYw3!TWuU_biS5BWdi0^8Vy7 z7Bkh5(U1|R+^9%Dn{=O7{PuRzkBjYR^rygO-c z<7A*a9nh#a5gQ|l1hQmLNma)gwcweqMXim4jLwW*TVs5&s8wM8PmawV^OY5=&W?GnMsFxLko>(!eXxyQmu|5@!54-F|uqOq;1r%@_AVC z3Oq|{Y7pWtL>&@>hf?mF5YJ=|bTI9I{b_XvZ>dvz9dN)S3OBK&;Yi}QdrABB{Muh3->uj zmT-4#qE2!mpYCSyz6Z&WNYF*k|A_?sw>5Mzt_4ts==_in=bKm)s}{!?W#~m3F?0IlLG>)M8ev1Uec$5RXR;q5wR0H9glDBm-qY-yCRv=zez2yY zrpH@ zuhiYrXXm(nK(;ZUD8oY{;5yDA8!z?MT7*c>@$E2EmJmM>Ld(uV3Tg`-0@2#gaiVzh z7t1_A6Dp#+ricfTwYR^xq~hsiw)8mh_OvaT2IowV6BJK!$${s@A(VwTjFOJZo%wsn zTcXvO?j)6k%P0^Rn~41^%&P8n{5wSXJH$VClxh@((MrV%#yTCtB;J3!^LOD!1Gxx5 z%F&c5d`-7QgtEh*MDCVmb9kw@?-X-pD6JsWR2<9qdm5;>h{1SVxI-|h;7p78G^kbn zRgc@2NjF+0yR~1Vo$83?xN}NsK<A(~gW4+ZLtt-ncZyzGr39^~atIHqyJ< zg5RZW3m)-p462n0H%;2)5V5d0SH(w&RcB_9^Ig7T&DUfXc5$(!;oIxm+WX}EChjYk zRxP;f*z>-P-mhbiJ$KV2e~~+_rf5;`8u4iKyXE68i}jY{&k*PDGkH#YpTEtI>C&G2 zAC5To^-0~gC^cqve&LYR7+cc58L2V*YF6))2TL4&?-8e*n;#?lhP_8z=fORM?ozPF znmBz|>f(k{mz^<+hM+r4eOcwQdUe>)+g~o;E9l`kJyvr0P38@!dI5Ack_4{R6TmyTX|3;;g=`-(Nx$ zu*IBF;RvpNT1SweRuh42<)&_OU6He*x$3hg6WAtXo>SoLDB9C?ds))1ggn?-g1VJ( zR*Iv1Wofi&mv3NlK1#2yZ?JnvE{bWN?Y=y48(qDGk}1&bL~raWAK0cnr4YPS;29>I z!lFS7ptKI+%^;Q!Onp^<+E3t9up}E5#G7DFw@oYHGkm&@hYbd1=cumG%>K=!I9B=JSTHyyNKQzoS-4?o9Jq=Dq(sIbk0Z- zuTG%oF&RQffG{0|aoI^LUIZhpwD*p=cNBaOFP;tEu^GW%rpHXTb=n~@-DSp903NR4 zxUxO-f_;nb5#;iLPVpdHk{{ndu83LUcyq7YG5tiaVd2^A3OJHu`te}Q^xxE#W|rK& z&Fs9f*WH{5D_2YHxs$!sF*K@y?WL5`N+CMl4oK-78ZCv+o)?ZS)y# zX>UeOd-YtHkFe*y`w6peys>xA71B56cgpYZ=HC3iZ|;?2o@^nK-4muYRjlsTjB5*t zJJ`7J%sP4-JIEzfb(^GDrg>TJs#e#0f-?D&mCpVdg zS2Z7aeWxAsW9*woZ2U3CeF+DW+V$S&88F!0)EMS4ZgSx0=`C}5%bkNg-vr~mWe#t- zW1RP!Sa+LM4X;V^Trt?)WYsYHH8hNxIO!9so>%MxG z^O{6Yw_zlhZNFx)-P2(h31-J!GdRZ6iuxSypLD(yr9eje%2)o^w{>G>a=ve?Z>R9c zddFVz?G)zu@=bc(!&jC=8<|0|?BB3``*zo=3kDXPY45K75BFkqb>C8OrnCE|f-|Y| zv%t;mNMqc21!uZ>nrOTQ?rec%vW>cZ(7|ulf?&6TGxg?o=v_Vk!Xih3z0_U-CS%g~ zXaDQ2x1x0MuwcD`!T6IEOR^SZj_>qUu;1A&AO!szXc|cx)qSYo%;Y(-1;O@aWb^75 z1RHTl*Zds?{zT&4wz1L?j`fSNX(xPF>cWK=7M{@!lNqFLnT@-bKBE{jIj9;BtMwxj z)mLjA77ym9Zctc7aSvI_J20 zf4sE4J;&8)XU=fn&STGoa@wGMaf}@k{8D|1*f@3!!;U@MrN^gY-_G^NUuT2msf;C4 zyn&3I;qPTgq8w=nDOY}EtkvPa7(2$_{{QlC#kQmedJwtvIrA|S;hNNSPT?u(5cA?R zbXBYV)K`4Q$`*q>$HsNqu`lr9=--fG;}mcqQfNLa3KllPl;T#C2<)!c{IEwg>K zZKH~Fdjy)~cA4;PZGM? zFmeAYKGF@w?E5+LR(L^ZDU``yfvgtjzh`1H^w(zF8W*;mc3QTKCta2;$Dd@`Qja$_ zZ3T}#-psVM|KOvRrmf>0KesV$r(zy$uWcFcX0E?j+mfIETwS7Vm;JE(!OO%}aJ>EZ z|LH2WXVPA}>2No(IW}x-eSZ(JZMpn|XW!_FEo0s_chvvt8f?nvxVU`Pwb*WXbxUx; zYyC281%GS)?j?IG24vWZU!8g7f_v`G&ak~bI`O(@(_XqE!?xz9?Vq;Zw0l^_Gs{yl zCJ!IGd_>09=UW!P_x!Bq^D?eUYJdFF2R`|9Ovdthk5n({eO=*%jPL5dclF+c2iDw_ zacJ0Wj{j~uy6u*X$1W<&%2+h3W@^Si|1-Pui?3~Y>h_E;Uid6DsNI51yEE3NtlrY> zmA8KB+pqGzK*6@_*FXGXzn7bTzqIq3X74oae@EV>y^BuHu3Xf=+qOQ3LywJE^+W&r zzdH5Qnc$L_hiBe*#q z!h1aPwq+0f_FoV8PQR+;&7X3=o7i{D#B}4I4~=`FYEApnkF`F-LAgE z-oZv+>}zX)43wZXgr1nUBIgcyj4J8fxX)o-zAWx2uF08hM~b=cEgt`+FBhmA9@b*n zP}w;*6{vajL3!43G>vTelZ&k7S!cq$h%cI+9CZOfB1;}K!qA$BWM20=^N!#z`D-M> z{0^m@yyAXg?>E=w*WZ-CR)BKPxh?m`fg?xv8<91k|ExJPCeNHQVZhWGv!~rYVZ_KG zwt+c$LnqI@W7Oms9=)e(|KZj9x=Kj;_c}-531+pj#<5A(E8qotjoF{4ZCll_pUELL z52&}x-NwieurqydjVF2Vsy_BB`!p)};gH8M$a6GvWd;1n$T+}Y6~oD0Jr{wgUqX(R z=T{i`4qOv(fo7efeE}E1`S=3#mR9I+92(?BxGJ?0{)0V9$-Pul9?+YxP{I4Pd-*Pu zLhs<=FDAhR?kVKpu09jx^ZWL`V%$)XRyurRAZF09SH|kW)VIU;jd4Q>(^>m)WBdU` zA&1dA_*3uC-EGGk^}T+FF*g|*Cf-<>;_H#%^|u-1!P9d%EEf=M8Fv$nDmCUEV0N3J zikia$E0BN!4CMFjWQ7SKac(31Jv%2MX~VWyXXwJv*DzIfLfAL@b{LHUm7O$8)%$g| zezp64IghG0T~|wM`QSg{*20t_UiMleqf0T#G$ReMpWHTyt@d4OE;h&K7`9N3BiOtM zMJJPyay5t4XdZ}SZqfpa!?clh=ldH6xmbNV|w5pXPV9ef5OKO^LT3ZI~gqUR@} zCw`oLhfp+Pc<%CDi(*4L$J2JPCX_tIXyt3^yWLsd&(Wxa$xlDm6kpFJbBwA-TTlaFfKt9ja%e;~7Gy$nmN>pO<-6s(mfBHr2t7N>gn$Bi&5%#mWo9E<=7%6kMY2QYCy_=ncWj>trTuo8O)~b>s|J{`+MRw2etx{t96k4oKR_b_Eg0EUOvRKJS8X(55+P zB%C9L^TMeaScLJ}-fTw{@EPAPq>XmUQMzvne z_l(xA&2loiE5F_`4MeX$PUS;y=y2c_@~xJDY^>C1jeN!W+s_%OVRj(rU!-BxDXUqL zvU0bpQT1dJ(R7+sO1bz+DR~mjhrKdd&{5bPqZj+wPB%8L=&pLcEk-Sgp-8{shA1Ge-4VM3lA%uR3bl*(dSK-a^7)Ra2) z)-R-Mj5OP*D=C9;ozxbrRVP;zh3n+$aGj(`om~1CRdMD%9Y(6+&b0keRUq{;O6p(SlAjN65TX#ESu%o=c zBm0A8azrW5nC_$psgESmol-{OaOjW|ODZIdGC0M!k$Iy>(RxNsPhkI`H2M*t4!ZM0 zQ@3(a8?UPfHeB~*Fmc_!VEnp|x!E?Pud*{nxYUGzoEvgTeb(|5AEbo4a+209s*hIb z#^b(A5Np&nc-+*|59Dp0kNvgd7~#d z=_V0n&x;p3(CKru@|L-7^c)d18vRFY(Cxp$R?)2@u=_V8lJ_T0h6*-yL*c)1WeOS) zy&L+8lV^;IL2R^V=Ie^}V3Sp1*0*HnV*WFX;O!)nIdv&M)j+fT9qEUW&Kx zN^G`y^-DxU6KeW6zm~Fj`UTU3bhMZ=?oD71*rt^U5wDnOeM-3~?>9AEd;JTqasGn7 z-^%dfX~IC)hmdA)n_2@W8|P;~a3~}ij|P;0FoXA{20xMd762zv#|=+ z+_wXTc5_38z5w9)#oJd31RwPZ%}QNKOe{JIj8HM2M8sVYHqo`KuY{*A>}a1CXG+SM z$<%%;wYM!TE;rfepPb&`;Z6h=pQDoR;c|!-Rsc_IC^uDz;Jy{PtB?)Dp5|=N(?!NQ zf>;a!y|RL(y;v^hG0s>eJSi{_f`q5|*GhKO1vvf155x(90eW9Z&aSBfMAW>rZPVVS zGfgoXjbO(FH+hJXF?sk1HT_%I&6v=xv|VtU#IX}2=ldus^Gb5Ps--Rh&)3VyOJ)(R zEKar`+Tl7QIPy0lr=;7)WwxAAxhk+cL0^ff&->-5vp8j)jrmKPa%zC07+gJQQF2vi zaJ45fr>H;o*&jx@6?vBV&K{!)n61j0Wm%XFHKtP#H-X5kX_)6rX@uaV5?8;atq|V& z*XVhkZ_}6&Ms|%l@SWr+=%@JB|{GN%-G-*$1uegC?2YElSci`IK|B$sj<%E-Y8?H_ADx zeh*{eC?i3F;F@6JFP61O@xBdj5@$n=ZhIqq-{`9?R4j-rl%;K>%r6{qO`^Lw%4v5a z)Y9(y*9_+FViedx)xUp#-_CKJb_PC&PR)M>zw3zn^h9_2{B)3;^UM1({KiWdXYgC^ zrWo^Ihuj$m$bCj;*j0)B1F|QOxg5$@wE0J0_r#psImW(m%uRv2#6!~)XAG_(+-56W zE=jg9#?>%$Y}9+-q8Q)4mClTZ)Ea7byuZxU8PHety(gevC+pHl%kQDfTD5E3U1N-@ zv7he!sk^xvMT_-S8N+IfCic^60+C3~D*Z%8tnXA%`H5q)#4vyu(v#g2(w**x$iG+N z95*KL4+>7=-R=97rMjBffi=p&$5&31sW5IpmLy8wX`MuDZjCzq4V}h!nmKE1=*DXG z3u28V==(VEEml5KpE3rF$not?3mHQmNnsF-@9p%&Fv}~o-1TDn)%5<%6O$Gi>^VYQ zDWq0y^fczxs1@+b(Xl;m2s%{^gyf)#81Q5Z-%*MrkuGNcRLzHk8$5w=WBxz(-Ucwr z;#&BBHs6py0tN&DjTjUJF$mT`unmNSfI(LCK~z*gf&n9;WLHu7SX>gbENN4txLZ@cv#O>rl5EF!Q?I9W>7N>%E{>#e_oe= zQCAQ9Ev1qBEm!os-!fMAX4v4EHQ#k6yDBs0yHYm419xp~{+s+|-{b;zUCz@PU|uDU zeEQsj(Uo#`&K5e5-Kpg}Q2}H3$|g=GYTA`4^uu*YA>s;1&BrhnEKj0+XE=r0tVY~Y z>d%L)L16Mb-3fKsood)$8DeK#=Q}7kXnj&zgXd%BQ*G*u4q5mlsNH1JHe|{xZU6ASfen1|`Yn9Jb+Scn^*|r@ACRD?nHlu%E7xB* z{lyLER|hwao$&GWmp0PW6HYijsf#&NJ;(MdM~qthS9eYLOg%zFNOz$aC8Sks5-b1E ztECwMS-62NG5vKCc-?i^3e3yyYqB z0Ycf5xBQydtFRa~)yfh^U(wFMoTB@PfAF-tIqLjRJ;(+SscHxrrQRwZTh#QR+5)thwVW&IJ!iMZuY- z^U+|VHBS40JC5F=MsUe);q{{iOiGOuP=m31M-fQLf? z67lR8lnw(s&(y z`qvMMV~N9IrxyQElYbB;Nm=P^dQZoY{sQwYJiAGv^gexwW6FV<16^ZhCc4g^ndC~J zImk72=3v)?naQrfGl#ea?h=V+Yvx-FUXijd+|=2Y>r3=q5#zfe!FNTHH;}S$(?@NW z6#M?{FPc2i*Al&Wa+JSda-4st^3(1MH>vB}QVBIfe4nUX?b~j)t1f8kGQ6a(_ER62 zEvr7h77GX76Grlu!}vQ-t`&6}N1eV-ovxxzi>cFXGn13IYyo)YkmN0Xo~_^KkN1Sb z*RpA#dbk6%N>K>(J*S?Q_v%n!yn0CHkJ|}(TwYfDTBx^*hi_ZB>C?6wd#b$DNmqVW zWaUR#m2aCUNyMmiL(C*b`&xXTh=(j87jF8vEs+YAqN4YQH*|Xo5i@Z$P~(c)>Ujo3 zb+a6G>k|h0E4yGs7It3cUl=pt*^P<5eU5e(yJ>IIZ_w&L(?4|UK+lPRt|^`qiLT2% zCz4$AJSPUBYH(t(YmMgwi1AQe&j~P7%ge4PX`j|viNe}V8YH{Vq8N_Iq@;V(XK9|q-K}Ww8)x+E7DW9CWoq> zBh|iIs=ZXIy+K!7T3lEAL0+tC&u@`Q4NE@%V5vu|pq#S6{b4I&Aa;!Keg)bb@@~6R z+D=^pd8Si%n^FL6TR{~U(lFDbB+$PwA$WU?x``r3pA&uA0{8ojA^d$O)Ktd1wl)~O zI5R5Rl^M0b{SPKw$;Cx~59gAqxq=hwHN>X2?@_6-*7Cbrz4B*>B_uiCseTIENQZH% z=O7lPs)Z1t=Y^=Ev9^Y^2GkllIQFj^p(qom4D?o;5Ut;p(z@Vvz{Sj`NVPCY%WY$^ z%ARlcG-^HJ=9s%v4&H5Kdpjq(Kixfo*B?uO>^=HBpOM$!PXt*aw9tO-e>ll6IL?F* zj@z%}1;@2m&$q4TVe5IsdMfMLZaq7!XQ%b-vYs(-Njad^@X?lpY+|HKcVAyAc5v znVd^Jo}SJ|nTYF_rzbg{18UIXM+`XNPGF06@qo8nr2}5M zW8m>OG2Z{C;eE+5-~eB59DmFEhT%Q#@cl`vw-9s6v6m0O{L0I(z5M$0kJcvM9y9$f zYhx$;W#mWMe}C_~;{m!OJKt6+bQ3|r@lWA^Kb5{9d7qT?#pFEEO1;m-Pfo1IdR$Lx z*PK$1c&i?#RS93a@3USjaxXRcgmyl)9#hHr;?t>zq#vq_m7eEB+WH}$6WQxyr@R8| z?Av$f?>v$p-goG5K=5{Q_`xZ!98!VgUmiU4z77|B4xRI2@D!z6 z)@+!P=7jY4*1rLe>sdcJh&EUg-33EhlY=uaawo`#BeyMf=0vw6_i=}Sq`OARBO|%` zP14vJGg(!?$}KFV$<@#Ct%HEgl@$C3J^K!N-XFMjsAt~^&--y}&-CnjSDvHW?(}zJ z+?)vBk21J$BlK|@)|o>ei_8D2+nC^^+8j?$%ANXFs~kG^Xj|7?4v{${Le9H~E(x(9weiKs z3REo%7b>G$q2^JjTq%?&)VY&*OW^GV%60Lki;(yXl+h=}(syG~%H{`pELmHHnc@2KiDvXj9iWd(g5t#HwarXFKPU%Fk#<5%YnwF8Z&Zlod>~q^$S|xhhVpw12w(~?*o#${gagxdzYBODtvn#f$3C%#>}z{R@D^s^cN#SW;a>m#l8J8wWC9f(X4)ceOnQu3MJRGf8geMM*Ff&5!mG$a_-&3FKdX-E z7u{~{LZB>5u^4rp`j&S?7cA=@ohN3`+GWWQeVik=xn24!Nmerphfe>&iLYmUvN6j4 zN%b*SfX6Vv`mGur2gSi`hXea%{LhZkHZ^&XZO(XhRdU#_PEr$q1|A2?={fXfdTvj>O#1M5?WGlA5cr+AUG-gA~@%kH_E79 zlqRI_VPW$+S+rm(-9`^{tUhlt)m(qK2j_LVFW}sX$?g>mk*+ov(E+lvc$Np*@E~v( zDw7fle_QAuA$?KA%=ER?_TUwr?!nHhea{7RI@OP9k84YPDF_X`jYn3E7EvpU^COk< z2BSYkP9wVG7;7=2MDJ}JE#pVlosw<|*FMlksdt$lVIjFbF#Hb=CN!SNy#OQen z!_AM?6x4`Fio=#UDgK<4&5fePE)oI^ma`8)5y$AQhpgE!Mp?6y*xuTwwo6w2p`1K@ zC(y>`LfqPTV`~c9PuzjI*!_`d^G=NT$}raE?xbJkc9eI$$qwl6ZlwsKft_8R^w!-* ziTX7jz5rOb*eqsZPOh$nL~=g@erb1Y7-9%OoY z8=@?`&mJ_2w#c!ux;%%r@9b~aUPth1i{l12bX5F*nRtCyU5-QNXyv1R2%cJZS`lR( zLoaQf*yKMLeQ5UP&jhE*-g#;e zRsUVW)og(+bVTpVzFbnjMRJaZuy)T$R`O6X(ylUR0%qLGkz5B*_>2AF7>G{ zkrJMSrZ)x8xgF+c4%||=DGM9pDI4spuqrsC5#}FxsxuscJ*n zgu~0Xk&g~tWroH`C7N}1cT9Qt6xD#_Tj-1P4r?^9=?dJ(wD zc<)kj9q*E+-2bprJ2lq!lVa`CvE*=sR^c=XD_6K~U%Iv|q{CTIdDGI0vMOqDYM7

e)}waHx8KkZ zdxY~uy!2;cL&C(af$IYQKnU zeOYEv!!=#H<#m6tyCpYr&@+OC@E7Lk=(d5aG02S6&41CuP^Kmw(Jkg8%tO&8J;f52 zkX7nRURTME6#Xe# z#a);Y5e@=_Msa?|qWk?o>v{i94fp8_aJ$Qj{ZT=usdEO7M#3^iU5#R9sXyV{C_HdT z&+oVjfPhSJz7*6<%}0R;Clsb^zkSoBftxDkE{wqG~fv>g<+m$GR41F)>v zBcA$-^qFJ~$U@GJO+6rz>U((SPP^jHk@>GrtV)Qr5gYc_u-yR5fOsk-w(S3;l0C+m_^c{&4N+40(<7yz>pu^Pbwp84l0izu|e#Q(Ke~#c{;8w7MIjB*It| z>iGsDgdiNEdAT5=DmKgAwRWrgiD9a{vWa_^+Qv%27|0zZ$(NGcHqa`ABQGzHz_stx zl{ozSbiXxmg?h8x&)Z>6<@z3Y4uHaTwGN}znseDlmi{{Rn91$^jJmeo%^!bp2X{pz zU6Oa~S&ys2`?qgoxf7D_`5Pg}o=tO2CU|S=C3*fgJ?}JlKKCS73nQgLp5cYcnD>`G z`?t8nm$~xhWatM@0$Ksog02<5)LE=XxR}T>X|8!Y2M9F#wA@uBhirwAocT_OkRS{HD+oz80hik4?cQPv?NFU@sIA7vL z6HjdAcPZIVV)=vl-*_?#5tXwkf&~97!GYE;B!F_Ah!6_KMr2v{MwWFO$-<^xPXWeI z67$OLb0{|+NqFco9%4&Nm+=!ed9}~4@u7^r>0ZY7sDfF>OQn{&j5qTZD&sz@jKlE^ zw3hZ>#>68wKB*EizA5Aozsot*C5Bu# zTv%@K5@MeH(Ja7@bWkf*u@d64U*FMq1t`RXT$8^rMtuVulN6Ec`9#`#PpEvs*fa!p z?(=rbfkTzsj8It=m{gX$$ssc}g*O%R+lXR6OYA`FHOMK&%yGT%53s zm3}Fp2)kZaF>L@nyA)$zI{;CRUt)LnL3#VV_}$N2p#BAK!{OGsBA(^>2e>qOo`c%2 zJSRfalmtS9D%lnAe_%3PSK_ifuX<>R{z5z86|_%p;d zHpknHbqyY4G|$0Bi&n1A=M+uJ%Cg(b)B*KHzWSxL&fl z5OGKNu!?o;)usW~rEt=PA9>FnV#Hs`HVcXyXL#=#ZMX)>WotR3Wq!qyq71;-)nNc+ zEC{fGgvx@WH}NI zO)JY(?ZJdUT8%=EBs?P34ETq+$V;V?7+!XLZ2EhnvMXa3@4~tTOxsYgD5eRyd;K-D zuv`Ejru^gg3U|^rKp#z(*HE!nkdzd=BfqO-s#N>M#{1clFX% zA;fSzzbzAM*Sr4RW2hfLPf=R9(EyBJK(T`E&hixI)kWmDM|!HdMz%>sa3M&|43#OU z%hb6?`iS})WG>J;N($B%Iy)n&N$89;Hi0-(VwcmLp6(-)(CxSX!H5O}mpA34L`hk! zmWGo7WgzTjh`KbjYZQXWXqlrvr&Q=6jh0gpNltZdS;R@PACNR_K(lChx@ewPq-Z9S zOgRvD$j#Tj1xI`fy0i$;EY!yL?Ltv~r_ZgLa*rm~EjXfEt$;!xaAV$W%I!$xessNg zxch$MsPw;nDbv$MW<5$dd8DI^B+4p-rWWQ<-3Wc5mNPW7KaILN>T*(L(tHK7E0sE5 zDpeju+rvMo5#6i!*z$-fR+B0Btf@J~3{~|yJ_4=ZWw5oqV=bPf4KAY%=H-r`RWN?+ zxT>*}rcIbMmiNVD$7Rf@T0C~r)CprJjh%mmcudhAcF2UW6AbMB2AZ-{qCtC9xgyh5 zoIIu=0n(J8KC7Y_0KxDO=|l!=clm+4WjLyT;3Y%E9wYh!4C$$^HXGrOJM1`P%wf`` z-eO-^hKbd6873*xOqQvryND`-VwUU;wf+dwBZI;k&9t$79|Fx>Czlq`()Q52^QfJx z@3$QUHC2l&E3vLxK3MPnz7~KweXZyok?gD3fB%wuZ_L4)E2Rpk*-XDQ<}I>HmgMX7 z&7!{-Q?+V1ea^2l)$M*W;|S1f-GgUX?H&pLuC$_gi>cN6UFs~58qvXjy)2^jH|yd_ zt}2Ixi(ny(XQ1@~1c`0`u-bmU`_?t{=8PXp^V04U^xYWY^L2s_i(GsbLPxj}&X&V- zjQS>3{F$^uXGANcMzn(C13lK%UT7)ypCteA>A8sZK4Ig28xhQLFGKrvFxkNr%j08c z1z5U_ajD0S?0xfnfyUaoV9oGVjVM{=kb&|A2#!F`{V)unesP~JQJ0#aOLPxRNCb`7 zV_&iMmNSORcl}W=95mNc=$~vzk}kRZL%V=-Uk2)=O{zo}C3%a8;#O=9Mmby;@Q8Jw z3%WVzh;ohOX4`?BK=TF@T!Z-QaB*8m(BW{OOYcBz{$#T{<0S~hf_C~tlUhU{p=NR1 z=f?S2X2|(XdC&sn^#H#AB7nt5>+(|7Ug#;SMQ+(_cFL_wnn*WwilCmOo1I)Xx{| zWxv$N+erJ;rnSX#%O7;K0RkZndyKryp7*!|%%~@*qh~{h;hN0dJ2Uc9+~@P0Dlu0b(A6Exj69Hr$jTexM!z_O;xDA|fc*iA8)zN#g4y>?T(BY&TQy>;x847V zHXr%$!&4jB8XIJ|vC`-jvyLKblJNGaZxO&Xyl43vo#hE6%cQ|3m{WC9FQ;m{j&;DR z^qikjWX_Hb*B?9d2V(yw#ffY~PGXa)UJ}@J)?^19Fm%>b+oUEloYkL8NU#N3zHbp) z{>^+%3|y`tQ@6F*#P^0{5mW8}8FaOf7%V^AQ|>u8L=3tFvJf)#1Y|hSIuxeDUg-&c zsHU^t&?;Vs36(Y&yN=1jJ#*)J9^Q5EWCQi9x?0L+ z@qE?{HwRkau(FE(iNAib=!0#(G?74PmjHjf{IX8;@?=Y#dGY8u_EVMmcev_~ql)@V=OI zE3kHvf_n(KS8?Z}tko-L2qKz0ys@qy)W24!nm@Asp(y@?*yd{Wb^?G9uVLh%l zq|KkEDCTGg#@2(#P!DM&AkbQ}-$u0eA_;Z`Mt5|_s}#%rNmx2A1&{vjw0LBI)G8Q! zn`dGX_m`}`xvXI2>RYA}-;r1}@7AR^m(Al!nRRd$_T4YrPs+O^;`>$J-^P^#-texc z&o=J*kGnA%={5F8)7C*Q%b+d0s%bNxnYEiwZDZg)pOf}6?hA29xjzbS=wCfyK?0i>T+W;=? z3WyX_7DyeQMtFFwxp7}a`>(9~GT59qOAQUzbl*2O13gilT(j<9*LF`%#P3>?E9G0H z3e1vqvd7z`?it8*evlI{``F3$-(KL|m|$#r&p+3xu6c$Go7K0X@Sj_t=JScTb8dlu zZV}`qR!x_1O)s0(pE)n1>1DHe?O9t{mGZOdGZ!wyj@z9Y`qlWl$578b4a=KT;Lpi` zH&{RDav4dR;=FfFb8I-5#q`d0Zj@Flg`~;qWan~;bIJQ(236f3k(B3TN+)c}ndSIR z6uep8K>5fqnseqzb2R7V%Y$g+7j7|B&hH!sS407KDUm|sX*6Y$IbjEMFwpuXW0Fgo zS!#RN%@nl`{p8Bf{IMeEPqUO1xFtTiJeurcbYM0np6F8A+0URsdrc{!`f!11}ZB9h@v36?5D5s;@ zy|?;tdT(xr^tQR5*@d zU1{}YYt=f1RQ$Q^>bgBryjBKt8>w4?SL(nH^>rQif(|5o-2=|o-L2DH+gbhKH(k1k zk}cdh)wARlvXyAkhtPgNJmlQs0t1S~f?4hBHL5!W)i<_wL-qJ~LA93xkaU5W%it2; z*Hdk$T0u5e$Ncu*2p(%NSqScb)g+Zm(&aa$RNm);s)9VN{$=*}PgzMz52NQEVGT%k z0Aa&PyDi#RHOIg-p+vX z=}5_29;K&vHY6CXIox|se);MaopC_@h{CBGtv|Syn62{GTf8xu5$UWp*Ewd&}t4vNJ;#7X8q3A>jtbx}kC|DAd|)ej43 zp4^mZohoE+L2C3B(>N7Njntu|7zQBfB8mFRH>K-$`sb$ZLB#7(e_u&in$=r)``gQ< zcqJ;6_E^Q!;8~s*|973eP)2(IlT)@J3mp|zEjg1KGq6Y9Z>ClkncDl{PEz{~&)!ng zm}kvET2Lg>5#u@|efN9{`=m*QTT8QXIfYxVPbgG~{tYHy>+VjI9GboByEG=fYclt5 zk8nbNa#Nr`MbICvgf31>jQQ?z-kn=TN$o{{G5txdPt735>-VLavJ$NyXe$=Uw1Wbk zbOnFD*-ZDeflIpkx0%|7d=v!j5oY&^36F(n%f+EUNx_+c&Wv;o_zS-HtG}}Ci0!|U zh??#U4Mn75K{-zBqjjt#+Pfv8zq0kDxO+Yg(JnX7-P^zxA23Tg3w5j;BoEdoLO7*a%yvOww7e$`)`nl zt$DL+b7qr6ot;CPyad#&ww z|Cz$+Jj>+;`p1IVDt8U9-OBwlKsdRdugfiV(9tmCLw&QuN~1v16qaO@iDqUwWV2?G zPnu&&St6Ko3{5oM;`)!@Am6UvRGClku` z4bqGDLNTq$91{aGO0r#pYiYA4JwL{Qsv82bFY~;nq5IzZf*ApVQ0#AM%AsI)E#|Hp ze@+3++gSg)1W{EzYwpw!{C~zzb$;W?r&7T?3kOgGTFb={y8Jjvo@eRDvHdUnSVliqRFh5p~C5 zmIa%B%~HbT$4gm1T1*7e$bcHbhKCJSs=+iPl@$TjLDCRWd=Ex_bg zzCJ;U%}WBS`C_e0b&Y@jo)IzP-6c~=g5GTcjZ`=YnvB^iUAHb>qvfLB#s+C4VMApf zN!D5*Gnpo@D?Va>8hqOG!Qn^rOf9p_Lvf)d2&i4>P@Zd~= zJNix?T~8+ zO;Gv^U%hIp%RLx5edZrAr%xxzHJw0C15!_V$=k$+HWQx-_^<}FiqK#c!K_yDW3TJNO-p`^`v_Qo)a435 zr@HKyn(gaQlX5-h8g z?282#9Lc#dx%w*J<^~rWPOd(ix6laqCP}wSQ}{l8APw`a0vKY z8n|73`AZo~+axeNmVTiFJJsKGV5JTW4J1uB5Qmt`SF&ZR~qQUlYkKQf0&T_k)4w7q?BYw9t-gSq&;P3A=*_LFoGENa7@G;t_~sQqEL~k zaR@QfXW57u*&czIX_|C&sM1HJmEL{4TPt0q1KU-W4m_X(1#8V8>KXh@|DZ0GYZPJH ztF+DKZ!lxN4Un}gl=(?E6xi5<>P{tBn6_=BC?^M{{I8mnrCC!aQTC7g-a0zn^A1*2 zW71bVs}gGEI1zD#lr*bVq(Fe&f8sjR^Z7jYP_`=)3OIB1I@vU^CWMiZ*K=WU^v;F| z66jM`A+G>u&uN5l9N?E*1ZDbK`a7VT)=ZMyWupwTbr#*jsm5lDDmND81{nu+b+<`% zuWX^ZA3)GUJ>Ekqf}W&b2rhp>kSSvdW-ktuc-Jj9Hbw{Y7kk!~q8!qcU214n;Vp2h z_g>Ua8BM})<%u1vcyzf&38QE2QvCGi;N0A$SOTDMn1w66>joO!y450g=;b5{nWw5! zS0P~|2ilbi#@-((TTUY&(E1tEm{zYshA+X6KnDJi_ivlh@^*YX4|>oPN)XAo2v1Gn zcqy|D1 zL&{^2~)6U*(Od{Svo+;;SHrC@@#7R1r_cJ#eb4IXF#Cs|35#GCS zkBr=G%pA4ZxF0v3^tKcC3EZQ=bP@k4(tiOrsHm{Ka;+=J?J_qOq>l03Jp~Or{^Fsc z5x8*ymoQLoHs#({w%TPxIpSrzh}-Dn%p2()@i|LZllblS?eg{Q5%`$%Q)vPZ_g%7=`N``Mp+3OA@*H>$6f z`hdI0z9m(jM0FyFieU(O=&!o&B2x8DsUd${kTVPkK%UWp1|$KJ%ww)HRAT;Ucep1y^4z@ckDI)=@FZz zFScm<=it$%>AzTS?W8oRZ2$^Q_d6GJJYk^!>Wq6;0T(m|WJ3yiB06hkSTrWU)up$8 zNf1+tE1D2>4562lCHHn!}D%9 zJ+2X$;xAn6zca(XD8W3KnDyGGX#XcyW;L-D@H%DsP(*f%5kUE$XNEfP(HEw*5>wTi zg>_-6%7mSB4X?{71wgFq08iy(g*VR7^OhC^B-8y5N*_M~2hg7@6)j zB;9E}q^tRnkgn?2X&q4!Y0=6?Ay#>iJMG1U!{;)J{_+=mguU!(0cNZ-?{SR$LqP}d`9rUSD2_YV_Nr(B!~MYUG!-s+64KBj?R zMRcsk3t4kx82wo9TjgZZpAwLDtG~jd`kQ>(W2krxg_omehmTax%SJT=W~bq!mN#%?nK$w*V4_lvt&f+()|WBv2Hhc&2jdK>Q=`0x##PK z#kxzUTX{x??=mYa=XvuM>;BH&yvTZHTe6-x!NP11<6n~?veRLCoFV2*@VZ-D@nPTO8$n9Hv=IpbD>&>x5xs=c7==P3$7f3Kr&bnXhTDBouyi zD7+|G=nRKT3~sB_g*k`9(5|vC8S+c)!SDEvQ{mp}!SAIW{Pyqh(jxu{(`M$aN^eWM2$jS80&GhHY^v#`V zNxOGg6BuQ^N{o86NG~s3u3W6K*qF<4S_9w$J^Gy1=o|JZtcrRSlxT88Jmg&k^y|0l za+Y&8P}Q+oGy8kSwR+H*^xl-RK_ETr$~nUPu9P{qt0}wOA%`M8BV@s59g3V$x-xl- zoGa7h)M*KKCx~!Y=&MQt{Y9}VB}V3spm6?I|1+7oK_Xom zkBBa`SjtZi^yRotEq_G+T(4e5#?W8?oYp<@aA$7p`*i$n zIauxcreDqYp%4Nrjpy2Jo1y!6_wjO;nYc75tPtCR5mQFrQyy%xlIP@7V}m_9@!gI_%#J!=}g`p%N|ddjA1t2iXhL44ZKA9tYEi>{BVv2f-`O0KE>oIG*;CCe<+Ef<*-|GOv4ZWcSl{UMwixz* zTNy|ulR^0`nb<{R!ZNY#kutGq1R(!}j@mLY33gPaVKm=SW9-yxkGEQcIOuQ90zS_- zmABINMWp>;WZLyQ?X3}MORyub1OMp6qTJ;-mvJN1@-lQM_%VSQ4-yhrwydCRg)6pf zSyAPh__AeJue`b3l~A^9cBKoQ$M~Yk$_fs@9TCW+h%~Ak61l!h(jKV&3te|qlguH{tIC!SD61-{Mf1y{&D!(M{S?E`C!M8n3f zh&{Q_B8*c(bP9Rczm_zw@gqjB`hEgHv*&#g9M&a2is(+}lUhj3hhpWyiI@r0o> zy{)3!C?8jCxX!N*;rQs}YOOP!zp~m;lsG%xdkT(Um{{En#|@LJPr>mMq~p1$TH|=q z#iZ2(jvqa%+Q_`LTH}~ofsOIGz~xkjaJ+=F+c+*-P_1!1epxlr$!f!SCgm*TnO<$Y zfIC=LZQM`2la^K+lk%&L2H`(=D@E88{R#yvSr%=W>zx_(lBz4QRzKC{ z1O#T(OBUiMPc=dOpr78x!$Lyqu#Qwj8dv(G4X0XuVZ5=3uWbD_gHy??qU1Y6zR@53 z4L;ZlJ&rzCJb$!GsM;%@Y_Nz;!^l4+>X#RE17D=-0Y!gF7aZNC`yPg{puV&X^`${Z z8mg2MC{;ke$%UuDNU4GG@abGsqAs5xX_C)ew4LT+kRl#stv4kj?;285W9G0=30x&` z-o`XN1;|>Wm#5lpRm&nIYpiLzN* z2tQyng|!d>N(g?Zk(@*9@J;~O#bxWYOZ`m8uA{7h);pL_+uk)zrP%U|fVyHyw0R-@ zzio;(kJRh+Y(Txj_l_f^HN)&ca`*Mw0ACj%GfXYmy5pbR7tv?O%#AYkfGtr2TCkOr z&2D=B{ik$OnZxPoht&7RL>Zf}h#`)LxmU}2Nctxcp8?irK6aH?%LB=ssG4I)gtST}^E2 zWy{|YLA?SEwex0TgwmjWAAlCLeJGIG`O)Nt@?MnwDu^bt;tu&Ti{6wni}mL`c0;v_iW+*4bn3sK&lqHjv^;|=$_mvt$BBAmc}BZ@EH^*W^v5FW zLxaudL#wDrUlIF0R772WVU*zFSoY`?cYLv02G?eGbn}dvU6o~dfW1ncd?TZcRcUI4 zl%Rdpx$1ge7~#kNqOam`Dz60OBzZfBB;WHZYPQvL1X3SNuRg{@x=bvd`sau;Vq&YI z{ey8A;_`3{akt=-|By3KHjXz8XwFFzW1Vfwc|o@io5rVxEdfRz+0%x5X#y#{p0qZ1OlkVJH=@R38_83=!uN}b6;;qrH#I_VO$k7&WzC@`t zG_vNaA$bAy%*PI6tL&B-3hJeE>1B7)3ac9Sj zYvk2XOwbtyVgn2I%L1CWdUe^_#iRt&9Bd2&d4Ms7 z7z1=lSFBvUToPIyk&x6&+)yi_g>F~b+83zUjTCwfZMPf7l%Gf38AihFm2*}us9avw zd1Q<5(m~gjaNQ-HR3p(`7ibQ?YxWjc6(cwe4%dm3MCkRNVMc6DWku!MU0{b}O+n8s z;kpaVa3e9Na&?t!?NYWOt1`chO%Tew9)5ZUP9VgcNh+?2sdE<7PIr=uI4OgKv5*Xo zFb2+9yY%K9_u49!DkrLqe*r+84J42wjRXM_+>8h0dV-fw?MRTbOc1HI6vavKa_QA8 z%%TYBC?h_1_0nY(Wy_c6ZIJ_I!CLUPJpy;5jhMX3)hQUO-hLY#F5R|mKKwU#x z4InuZ>U?9MnU;<29{`jPk)Z%zV3$lbwPmln^iQ1Y1F#@3oEmVR@x}mWz1!b$< zlH*`-(N!Jp^S~GrjD)MpmM&koy5f$406U}-)kX%P;vy57Y77Q~@m03YrQ1uA8V``TNWf|I+tqWHRp``=FIeP( zAaRjEmueuW!ko30YwEkIWg-fSiv;?b4YZIUu#J=w$Vyx!&}GJeg{yN{FPF8Oz>FYV zTqI1U4MT=f>;}TcMZ!!M4CGS1wF0r9P;rqEGmJPO)~$4Pk+^X6f=YK) znPhels1_i^MM8Ze1ht@&c@C(-*wREjZ@LT|% zQ-BZ`2{k(m1*Ta$RAey!54UtYaw>0KRyn(JovH9rwZzG)9Qui(49BZAL!jj!J0#AK zqS>bt!?>33e7*(O`)R+E^^qcFfBz@pdO%Samx7P@ zu!o>40XHp-#R72eqc@?nK`rvvd z_RIB5vbml)um%UIkhsW7U1SVexEdqsGM?wHUQyW_%L2!H8Z67jA*jAsmW?KOTti~=^+}fo%)H3tm9PSpo?|;)@M)G`*TI`!39h2sC1+E&QC-IGYN@xRj@Ia z;Ht=BQC7B=7jtJWG?mux2P*RS;EeG1V%}@Tk!Ay1LnqIp4cCVE(B6KAwK^>P_Umf& z{!WF5dG~I1pJw#DhvtC;$S7go3)h8{J+#rYY79>{)5N?}_t0FMy*=)sO}fV1Lo2_6 zy(QfEne2t}T(ik*93_u{}XW-S7c&D>J@K&NS(KX zpG(3F#RdH`6e2)lJ0w_2V@7mn1Z@2a&<2H=4w)W#P&@%X;HW zlKbaNQu^gfgrn$7m^HK;U-G{dQz09?<)H4t?hSxsEh(?^CU=#rsF7OqCes?xH}5gh zp5goBJ;Gdt&3p7D*!i#JD%OC8T@h%I{&==ANSKpZH{DcLfrLVHMLlsJuogBGBb~9H zWL}6!N@zeD`|WF&uC7|6HV@O<4%`FF&T?~#3?3Y!35TqIOV^DvFL5KpBS3Q`w~!03PJTX+g}th z+Y3|Z0o&pt+SN1JNV2$#kPK%XAmSpyE)Ibelr6ojtT%B-Mwlz;gLjDFFhG6jEck*! z=+>-sNl(sMTE$KQKj93D2umot=}OcMmR2N|-Bi40O__$^UF+BgbeKh`KMH*0FEuCp zeQ>tdh~gW$bB}s9En!+=Ax{t8b(isY@KLaE6j#0tOyTlz z58>X%Iq}E3oi+w1%~fA7_(eA->?I8#q&q1***Xj%8GXxxABt!vX}|mDgxgKNYx$OT z+d=Xt^CkZ`wp*+oF7@?-C-w=YF$9GvxLIM$f6eG$E+TD%^eHIL9`N!UWDL|Sir)1R zpkx60;A8$z-YXO;bOzPm&S34u&?bzWFl-h>g`1E_W!mE&F#V^ z;9?M+)pgQV;CLg+xwNY4cJ{oa)AlCPLO{~*uHuE^T}A1!J@K9Y>{%Cx0jz3uT$mu&jkjelTL$&+V&l9 zHy!i)**Nb@$2Y;TTi%cyxWYe zsA1LD8{jj<>x!2kTsW=>URO3^MPV!QC%Rmbzr_oYC(;fN61^{8_W!naFxB1a>&wAU z!r&rQpKhP$D!bLR`w){5Z%KR-<&q-n-H6pjwd3a@GQPQNZA#45s3^r2$zLOu5$P&R zE?Rgy`e%}u{$||mm-L6ATeq~zy|yfzMfB-p;fTvGlanKMmZT^9@sU5-yN~=GCL9x- z#zSxSZD-+$lB-jRx#~93gH3wmZar zM-lNFah_Due#a5sZTlTN9=Y3CCw4n}vER{)%0c~{tGd_eiL1lkPTqImmeZ%#3^`Ty z9=V5|xxG7WssFdWY0I4Ne>K|D+xeB$A8|OVhqDzuonOiPad=(bKzZ%66_MvxQXl4^ z!vo0YapQTvA2{bjtgUehx9fAx!r-@tv@#zAKj2;5h##2mX~dZX+#uEyG3Xo&d3vHT z$+!qg^my<$!Cwb=1%DeH5A37323-0MuTj8r3C@M9#ntbG^pO4dBQl{T+*DP#^42vKWrM?h zV$~((rmE0b%UijwZ21`x9wkfHt`sH2i1})JVYm6)hrjpn-GQs8PjxbWPNtKQ zeX0{&MxKf4uTOb^|0?rUZ++_UuKxSfqdzfqP*Q%{y-ztGp-v~AiTbL2YTB>q2c$23 zYCP|DpL&9RG3PNPH-vS!yR-&uCP0e@?3(!0q^BHF$%dDCZwF;LLK@47Gm|=%<7Sec z>v8J$v#|Dy-8rP4Lb@-ur!?j+`bqcgruy{}Z7MYJp^|VL%lG|!OB)vu{r|P`sdVFX z+IT={*w)tze$l0|TSd?xgPRkU2~F41xN;VV;%p{go{2`1NPLLP*mh|J%$0zMv%#dv zl1wn08uTXjL4MS~j`&~;s81d7n2T0+3U!W&Kx_hCVw5q+?hZMXZc~%o*@G(j zY0HlODJtd^wv$41MeMy&R!^ESP#U&s=~scPTJQ)VhVaKC-39 zo}`Puqz4_R{{z*`dy@8`W*)iA=muNUn0+F#b)gYghy}Q^<-O^TBQfeve|%C%3F?0j zagc~hGN`M#h`ORTj;tf6qOz*2cuj9=;g_7Q$jFoi^&>J0NrU?R|{IiMWW^#qSY0#wiFbya}^X9370K$NApZgZ*ryojPAH7hR-Cc zga{HXR4<36G6b!PHGwFE_x0OG_#^-(#nkj`7jPXsqSysIcqwYLJlB7%rkh>Br>0>C z0GJHI#V+8kThN&TW;x%sUBCx<7rTI+JYNNN1mR*Aa5u0?mtju;mx-Tk7jUt>Pp!e~ z=E?-sApn!@y}UP#Qe7ZHN{u4v+y?MGDtIu zJHFlsZsY4!++YiHmK23PA$W>E$y;z~npp@f+VnXC=h84vr5}|p3xB8aUVuZ=ZtNg_ zUp)O+#qoOT@y}Sdoeqxce`l`SUf>zI$KI1Hz{YU`u<=GL8hr7r=TnWg;QnAIe&tWH zKF1y5J$tv;I7~fa1lDQ=!C$=nA;@i^F*u!MAMO~Z?FG+-LphA*VI&PnG~=&n2 zUrGI4_E2QQPFzGTtRdqtv5KBix~D}%J2Q|t!d?V6ADZ- zpFMiBDbFk~yXh8va*nM4Jvf<{j7JDeylGi6hujIqUeis>a?H1co0iR8yY!B-M|5a~c=+%4sCooW?`w#mn4X zK-1c@ojfBAOZ3i2B+ja+sJz`8V!fE3fSa()PxK~*OX*)V9#+CSvL}UUgm)4t&tc() zSq#Y^gniba?@e{BH<0Dgr*qCrd zba&}JW3BDw-Wa3v`e%&#H->;Rd_RoQxPBR<^G}yC`u|T8Ubw!79+Zy0!V$aPl#a9l zd_PJ@lTU{+y0~A)NNc|LYgj;QzH6wyl#VVDey$(G0#n24M15%5PYXk7#;9=(GqbI8 zWNKDKC&U$&aW(nc_@af&R*B|>We+3=OD>6)dXl_52AHZ!&dREg_QT?DcAslY*M`5- zc+bOSGWY(o?OKk2yZC4DMyF%f@*sJM9f6{!`sa<}jMS%xJ$)Igf7!=>qydQ+Za3~Q zuHX+y%WwlNtYUEm{!(xjAK@i96}b!6M{E(m`Td?(`=>|%dRx8^KCPKf4#D>|)3Ha_ z|Kfc}|1+H&gQqk1wRk@xG}C$hxA48ZjauXdrkj^j%yeJ2T8kELghs z<}$YOR^MDEJ3z9$&!p{aD9kJw!;NUH5!t(9X%V54#hHYzTrHuZce?+Xa9jdC%-7DP zHAvCpvr?e82GRQ+4>B!fz7J z*5PX(9=?Ms?OWbHb@-CB*+9!!5c7-F1syQaShYN*wx?PU8h=N395kHt{RrQJgH$JF z{@vY13+`>)Vce@Y`|RBR7d^)8@4=s(h8|-haDC}9${ljuj3$8xsgJ&ouUmlBx;#T_ z9-3|0<%qEVdU=HX*SagCjh)$ddJSKmbIk?$;)0)s3GT#-0aB-TMB;CzzDVi{W1QV2L{TN}S=@%i$pGkPbAx zp2iD1rJ}DO-WfR4=Im`z(T4Y?^e%0~)Z5sIz1gX+_=+2S#ru85FZhZN`ifum6)WyX z!ZPcRFm_}a+})c1t0v`XR)@%Y&u)TvKaY1YM4D5;E$K&{7=wNJvpb@_uNd?FSL9D^ zP}i;gB4`(FdNB8BFt=UbInQnJ>XtTx%TbS#%B+tJx#FH%Sh-hpJ4M$w$qUR}VA=-l ziDOoj&&*Ji!9?K>UCe5Zcs|PTZcs+@mSfb?A6W6s{-FA3hBnF1^}k)ZU{eop^_1wN zr~|ihFY`A{f2=YWze*B+ehysoX5xA(##S*Q+;Q#1eO{FyG1dJpssj7@Ut}hel^5Qq7kpj+N)6=n`b6*p-y5wNrWup{)A?!%Wd2v zMq1VE+#o1bYBq#d5vnVl;s{K^n`Iu4;1sy%1gRHRO!$V;LAF|7QEsY0~40+1b^H0@RoZ_~X`h{O`oP%{`4Ca#=Z{!=Zc6IVG_ITop0 z>17(mpPi(~pBj6Z-nNLm)Gm65wwV2+x$}wHVD>T?{z(Rfadg?6wn?}{> zuHMFjbezYf^7NZTC=}OlOqB7Im#UK9(>AGjJ6l03iqg}-4cBPQ(XUOap7DlFXTrY( z65GgTs906ULIJlP&CGoWJL)UEFJ+6L7(icViz(qb>7%g^Z`ymj!Le6f?3m;y510{k zmA*q}@J42wbmA0})L1F)joDl85lq!`w-Yx5LW#?OgMH!x%=??g-x%r@B zKy(Xc@CS%3{2@;N!a4eK5zE%Mw=q>J%G_MyZ=dl!Nz*l`RzJ-vPu6plZ+*#(da0T# zxmG_lCOW3ctta*M$FiN-$=_|5#&wSMzIyD17;?MIy(%V3Zg8~Hm9Z!3$}=Ke@GFM1 zo79s~$r&xKaY7AtCfw~cjvqWP&j}f6OVpo<`ooTST>u1U<8+y&$t7)h+7MFaMgGni z-xmzKM%3!3V2UQpqTuF*E9-C6_Y^PkzrnQwopSvn6GqE!u*W@lDOpcSW!wpvmcB>c zEIgTgLT-bBi0TC2pKA9B%0p#d{43q1Ne8_oLE7egH@ZK|Yf~)mUP;<;ao>R?2|;nq zvq@F9IVt=o5S|o1^6E+9eE+{lG|8W-VHKGWZ-`Ff-UgDhW{1#F&nG__>MkaOKDB(^rI*`z{`#{@O_%(q9|1q`!`ZAe;A&o8_PJuh>~OTo=~rCm5Ju<~!1^ z%}XW{2J1Xi%-Yl!UL`jHK=EUo<-5#6eE`$rR39}%P|e#J&9*5iDgU(z@PY<-5daH+ z+?v7tB$7tAgdWmxKaqq8n;4URjdj;!iA0bqO-_mOO&z6*DACi>eavkVQ%E$$vvR*u zgE#@vP5Y!-rcCWS1K(?|l~U0+%g5itDj}f8=%DWqq$#AVMOxtZ4LiXv#z}pHO%iV2 zgV7<(=m{Upr^y)JtDCFcq$K;vkSjq*xc-?aBU^oKrd%)IA&l!QWN58eay!%%0{r{A z=mAhSpjjI_H^nUUaScXsNAzp{1)b8;&KX~ZFP48p3QWw4i5X~}#dvMw@`_GKtiBwA z{s!VKM%gTk6igQeGI_6*3{uN~6*e?QF! zsC+&4DJkZ~3~Hcoa!HKP3oePdpSj($R|bQT{M~)tzi-0tQTzl%`Z1qRSf4^T_>3e(O_)Kc5Hr^yS5bXlk0h?mN@7R})D0;LR&yg27F}%~vKE#G#Yq&Y4$4{=&;` zZger%`dfBp3r${^WVq(;oWrX(Gs<=OvDvW+uFL4KUB5pM@J}D!ygWT7cpP}xHnrjy z74*uCfCOg*ebP}bBh9IP*$8(fx3|mzVsqm*Jk80HcC_x#X!4{|6nzg#8wj)ePM5j^ z9EQgICxT14v_ycpm0|oPAf5|Hy8QJ*F6G4{jl6EH*94GO8pkbhczAYeEW6U=7Lcyw z-+3Y^5KzIf()%5hl~8pq8R!cf&H3-=pK)KYS0@N9I&RT)!aRFr8h}#U*iGH}&{mXJ za-2TyK@6y21YQ@=e()^Xm%&FA%^%it_lKc=|15d76cwr`{=iFKxk`H#v=yqLe0D+` zGWpof$D%^j#zWY=tUz3N-W=(9_CaTfE9YowLT`sZ;&HS_;j zx~_WuAI)pmN=@pTXN?AE=_Jz&K% zj|bJ53r)6G=1TJR>=ib{aHSo~DdN&@3Lj9vOOwJs)SwG5X?~Z^qs#DMs#%6`y{VD^ zJU!Q*p(}o;j$5wd%B_Mr&!2JesIbyjo?$*tCwk5lohapL;i}Y}6g5L92zQ^8;$64g za4pc&!ydsOp(At-XGxc%?=cjb@}1>-t-#OEk)nS=_RjKWEx-4)Un_nzqvJ}yd3CO= zZ#Fl^w98u6ywbXHMKf25_#2%i<&9RN5L8%b zRt(TvH0Z68Yi_5izAU0EzB#O;@Pu3VJQdi*e@pDP zpi3__;wQoxFe@a=(xqL7(GPzMAJgt0QCHk4pJ$O8yn?_?qzPRGyiwCtNfP+*Xu;`E zpVlMj+`8h9o!ej9YN%C(B(|V~i%FNi?lII<=a)hCt%*SxGO93CszBVj=7{;v_>Q!0 zhk7AHB#NE?i@f)bkE*yH{%?K|7D(8Dp!`x%siJ_55)}x-l4Kz&$Vw6j3Kle^0TEKz zRVoQAY=YTbQ{!*jS}UzuT4}{%6_H|OV}eRG3TmvhqEel>*hZxoA+X=~nR|D$0j2hN zp6}=RmbsG_eI~&lDV~W&Gp*3%wL7f>nxW- zs_ctM#8Qr<8{EjkYBuO5JoWb!27s{SIgJ6{J2Sb?oRvKKN+x%#t0Gf&lU+UPiLv6VDM{LG^wwUY3l_t0JYJ z-y_NJPe}8nMxm2f4hb-FgGTUV=?w_8d4OQ*O*&og;dIg8{Po@_0}NW zq%CkP;v2|PcYV<=IKjN>jCGbBs2J7A)kizmK_8HjEOg%+DFi@#wduiY_fCKFjU*hO`(R1FV<}h!>P1CX#q8cnL=`?Pvy z>6LaZChJ<{QH!ejxH|OeT8BMXP>1iw*I@#AN*z`q(uP|1!epZxL0#vLyNAZl9dq_{ zQP^cXh{7(%gTgM;a&5iKVlfXr9#z<}Z-twAG^Ab!^?C;S!zKw?zws+x2^!Z7MKPu5 zC0rv9a<2JVqS3^HQ(mZpxs|5=oQbFU$Wh+yo=RYTY4Sq^+^Pc#2xtnV^H)|rO#zYB zMa*dm%(GpwDe7$6al#a3{3@IM2Y&f8RUZKKT-B%!QBmZLo?2mi_eN>bIw?y1lv2%g zQVsPJ?UY)pUPDM}PisE_LH4vJIs=<`Y~2QWz`$RaV$A^OKMm36=5|&y%FH1%z-n4$ z+7AX?0LnMl{^s%3YqC;lCPbGlgCL}`Sy^~g?w_>kCdR8~S&`q?Q^Xipei@3Q%N zprOqo4@<{4U4R=^`zeys;innXyi+MmIF}t^t$b=!@9D_MW^!ss*W@B)>G$AyrB-l% zT}bnSDOSl(X!zfgS=?Nr!FvQZ3pjanDwDF>y#0mfueK5|(TU4QtZ}GGvg%Mp;^;$J zn?;l1JvXSoG=B;tKzHfXldRMkI`zeN>bknBH&^uv*2$n!?}A)C&sb~Ez$z9=eay+l z9-9AkUupi$k}M>91S}2^M=U_u4*1St$IVAnvV^52l}u(vO15y9mF@8M*5aNZU#&;y zWY1%0Go&DZos(3r>r2N?dcllhR4LBK;X9`Z3| zY?2!QZ4!|ZP#NC2quwAVZ?}L-^p*Kn&Q0(wDp0#Oq1K%`%%QbJneYNM5sn~RQ#`&L zWMr`?)!6%}UbH1jo_f@K)GdExn7u4JTp@KEQ%LyCXltdTqbdO#_zD4TNRQ5WB19DF zivC1MhYn;zORA|6Kd#P8pvVvMRWE-6-r;$H2CeV>-2AJp9{ktCF|M0*c`^-KJ>6N! zex-5w?fh9i%vsq+AX*P+rR?(NIV(5w38->s*dCu$>;)SZU={#CZe=XXRNq1FD;|@?D={_%0>>i) z@g#p_4Kizy)r-!`Uq}eka1*_L*M2gUj;tmyIt#b%w$dDO6%2J&E{TtM&Wg#}Bq(%N z&Jgcz$^H&D8OW#76%TF3qPrQI8q;D$$hKaIxiYyV5J~g)8dVEwhTXBP+4TThkfSJxMyq12BotJb7MqjduylGCPlt-K$^ z&ttU?wA1otEqdZb39O^^cDus~!Ov9YlPOfueXt~$&k89bs-nD$hw>lSNAm zUw@sO$Is4>yQ5@#YefD0w74t>ww4WwMB>`t=j#MRHJl!aKndu|cO-M&p_UV2WQQj6 zII+h(bIlRn3^u%uHAi$26JUEE{y}=wH`>1nk{u-+PxVr|ldBqOQpx(Ej|A9vpIlH9 zoLmrPhzD9lOJa6sgnIjpI*QvnFHqkMJuDm8DwyzZpF=^0w<4ONExZ!_rs*1>7NU>R zkD;r=Czxuqxrb_@EHynA)2lP)FfqX?sVt(TYLB8pq_*ELF@M0AI+Es1;qPF!^&3^f z*SceRF_aqB06f|Rrcu2CjSF)SYBXZfgZx_1btz{35UPSD_ z`FiWR8ZP1hhvfP{Tkb~}ME zn`=>N-L3_U0g?PvP5bJJ$6&gY9*(Fvy7ZFme~hSWaE8Z9_@;=;70<}V+oiMwtHKy4 zs5jWP2=}*w?RH4;;|Jr^61Rf_5hO1`ehRhT!+?wmk}~$RJd?8iWcp*xdk?Tu5uMxt z^Yhw@HZkLniAy?Y?ysU9WSfSqsIzvX_23#@>u&QvvXGI?Sb<7H*_h^UcwB8^o1Nr* zkb^dHcF|wMyIQ_kdiP zrn%=}we`0tM%Fr+Wxc6c>vH8AdnVnIAMz>tV!{otU3Mm($UPbSwdE}U&ei~@Sd|;5 z0c2hx2R%rqo)VK$nP+>VlVQCS5c$eeYKv0;U`^~%pZrerj9o=iO3`Zt>k)KW;0b+E z6gx5gbn>wF8v;iXD!M%{lt)CPUzmd4>PdMt+Oee%Tal6lS3=3AMPWx$_S8UqqHt** zlo$PnR84XgDcGZS=n7dAL1`LU#WH7WC~W{8_0L5pUZelxQdW~vme9IywhOHw@buJk zRSB@wij-T>Lm1d zh}n9~>>Iy!d=$kGtG>M}SiWuO4Hsn9CzwW&*tyGj(>$yD7UC9GQ^&YQ$(ug^|F`Dw4u93&7*8dv87O5{QLs^|VDa=6%Z zNKL#WtM%7^IKk&!U-`H;KGGX`7$1o@w%50Ybk;JCWHoh?*uUeb|5Fjl{j&04ZG>vK z076iILZFS)S*ZnYvsaw_8wRmxp#pEgE!Zn|B@Wda!IBkf%v98yqScgI;vH#wMTo^a z6ff#L8k94ATK4qp=|!MX>-c3wIiv#=gw(*4BqJCQ0x~xXYS)jm!;R&6@B7@f;vdJhk$#c%edck6YlZb$5V_N-LoSTm#~2xVEH#(tV4w(}87Po!7cn9w(xaXs%SDMZ z)rC3-NhG{d5)IdhJUY?TXd;R9s5K;tEhEkd=p@EBtS7!E3%!_tFSo=svZ{X7MV+K( zHJKs3>2H2Rz10g8Go%H9<~=e7t%ak5#?F7&)-Q=!V4Ex}{oAEi6MPq$lQT@eh{&qn zcB+VhU$VXMwwB#fl0B;6*C|n}ElZ#gv9wJucpz$M&RTBH%r6z0oXOC1b*NFvg8AV?sjy)!`LqVZN>i@WtRhW} z)qF(tq=WD6pwA!?FFI=rr9JH2Tm`#P)s0C?+m66y*p#6blRw#Bas{%NgG9>#C2eM$&v zy%TRCy&+pXXQ4Eh%}OJ+P-Tm=^$Oj;vC2CGvTzbxb@IFS=hD!fsu(E+!>*satD_D` z)Q=^~*B)jA_ibbzwQ$0*$oNF>=OR3ruU)Z~TuFLBtr^^NMoo1QSo<@|sR;7z@az?Ft6XE-G_$H}qowQeE%{B&AO_JF^ zk7e!mA~e|f>%`@YEI~#pQDD#~weDt*I`)X(MV_~&VoxG<_syzxZ>TA3Q8hZ(4Ylr# zX5j(#2>F?X8zrf%BB=8Bu}HV1Db+oe*;8Z&M#6nbBe3%=*d)SY^!OWSi!!W}4W<2L zA99--ufO$MslK7|wP&a0nXEkrEYDaxq1NTh#o=w%^3>v8qhG#8|I44Lg%J@#Mn4%N zVJdPjt-<)$Kr&xWbegJZ1PhhI}LuxoX-X3)UP1CzB z&imX){1rza!jE+Ns05YOM$F+j?PJ?9N%zGsqs2Agw!Hki^bF;JV+#is3=++ zkg+0p_d|a7R~$ANtRRC0-pcM2hLZ(e8O~VGjRU1}4CPLED1&S(Owdq|;|aCyLr)R@ zsK(8%n6|Hzbw!PIruP)ABF$H1S#t;e$UW8k)%&!CtZJ@BQ?fGMyea*wmqb(V?N+kp zbpy{(Ny)DXUe)Y*82)fBp^;vn}S+!RUG2dd+93HtZm2MCBuFW4}7nOlJ zfjrZ(0k5?;bJZVz&J6RW4G^a2xq7PCYcMn0VctK6DhEC3))bxWORvpKH$4tZilMObcU@VU9dSur&=@E=$G+*Ko8Vwa^(mJe9hHQrBDqCXPm!>4RY+1*+cY% z9UdY)R$LWG9(~CF{Ed9s#7){P_U!)Wi{rgjhkRMzRMyYXwc2?ujV~*sv#eQ!cP6bZ z+)H!6s%7chCN-SBO(eySenv;O9}3(+nF-#c^L=|QccQrWS?*+SQi5;)R~SAGl{0_+ z)cqt%aPPNb9TKY~meJRfv9UtODmlj@xr!(+=tXufutoXDEN?O1H1ae&0xL)`ldCdq z7(oI_a`7?=puV8WkFzuHQF|UlBJQdBNX-imj%dCzC|#jG`-j$v4KD5G0`*DJG|P0F zjid<=JT7XMj=GDe82eaEPfJNd6NF>@0gkIzqMBdCjZ0a*blbw1ZZ!ML^^)q5#7HEV zGh7c|OBZzYd|jQPX3lWozG5tf1~lF5|7aTUWx!uM&a)6*NBwo7O$jpS^_o(PchrD1 zGloGcQ^f5W-sl(pk}&$s!qN-4Jg7KwadGf$nv7%2P3T7`HshL4@LB7LH^BEIg8>niC7+Nn>dHIgQ7RoZDI*X8WUV&q#v zjBe)xLbD`En=mrR9THkPeKxd1C=Rcu7h^bFkKnp~FL!Fn zxxcG4(o_XAN%TC&eYmxm)h5mr+6eWL!Ep#RjD4iF#?UR8JHRAU&3iOL|@hUpAyO?8`{&)mLe{}+w~CXZ$7m(=;XscXtImV%iqb)vQQAakdSh)ia|cnXH|$h#u1K&Q7WDoEA$>)UOZ9GDHP2Y40c5hD|6+HR6X}Y#7jT3yZ z#c-kysjI8eXMg;kCMtzqS?HvqeTh6g~n6Q{Uj<`KH zWGjRtzLFhMUyY$V0oRs+FL~|BQB$Wj3k6tErv9a%QHy>&#> zz{pPNP$FQn+pLJ5R)j2ay3)pQHnL*0k?_1l8_%Z*Z487%$7sW15e}JQweGre4DSGG zu`yh`iE;a5>6S*-x)y{asWm_1aX{ASi*OiKi5&G6X`)z;UbNKUfuJq7a0e6+w5_0eZ9p%Wdy-MD}{kh zNw!8*f)BY{50S-(H4&u*rY9$32RJ=BksSK6njv)vH03fl&tDH{a@j{+?2x|^B5F^;04KKv9iBx}%*HkuN{M%)yGtH6 zgpAC<03xw@NN>XK0VnCSl23zIXz(UMKWAmEDh^r{v3FxM$h8{ecYuV?kQga)gtc3v zBVTz2lLLV=%`3CD>|~isz@}CS=h{SclgLb8tWa85Es-qwk(LK&lONC zjXOI_A8>+m9cmd|FT3fOU`scA?_bglE9=-!(-7X$p#Boo+e(^)bGK!la~#53|0!w5 zlJ=Vs{<4lANIaCHO>2r};GvZG@~gN5ZNCE>qR#7!oET~?gRxtLI$Q71o7K{^Ys~6& zKI(BQf}9s<*l)IE=@T~I>?|$ktR5HCetR?pIo%!|M>HV&bB%^mZF4Q%^b2(Lym!iY z7^25Rw5(Umx>PA`j4rLNZiZF59eTD^2R(MKWO#G-=K`P&L{+v}N8*g!Gn~K3H?cj) zPF+E!j?b{-Md7_qld!hdg5ScE+q*k&M;w(+_CLK4Fy7+V%I`40UiCckZvU?1dm}&R zvjGvUzIsB)bwpdMpQK&FlG?&c2@rl01qxr^B>;$Nf-E6JzK+xQc9LG=XVT4EIV5a) zHI=`FZjZTlkWFHZW?Q(oxmo%roMP&cXb;UchAErO(t%lR9Ik2$W)3rV)yztkVSop1UNPXsV8?LE%9Y9TQL775i|^tZ0sZ=<>ni%Ub ziqds@>+Z5|xl56|6_@&!-MFBK29*~$jP5fQ+~8ZdxVU@v(xr@AJnD)|j>Y?hOMlDyfu#3x9UcgiL&p8vyG zx2+()*Uj@K{O0+*kL$R3{Li4w~2V6 z^^LtxeiznwbB!_lZR?E`R}1fQ;;(*}w+(Cf;_l$LnD|He?crDY4sS#7%k9rAw0s}s z*TOHAw59y2`EB60lb^hiqWISHZQz$ozQg(D@hjz*OMXtPsW-|!eNz`MxheIf&UO{x z-VoM(?bmHAk|?jqlbPWPj1kb(UL{{(>*H1Dnb+^f zkCzM7c%U+Rb%p9?^bsh!WWkID#Xj9X2c}mV)l_LHEsEwSxuzH?*$cfl&o2|+lt*Tj zG&c@F9v#u#$wpt~UgAp)5vO#u{f;h|VUHn)`hypq@t_*)q zBQ4)|gU0F2TwA$ZP&5v>sdPge9FGV_-|!HKu|O12)&{#Qf#gjR_Y!#uwD?gVCIZn6 zM0_4R9XMs#QeT;VeQo@keuI@|M1Cr+%G^nsw_tIZJYmE8@Aim^Flr(@JE8neBROy3 z5^n~rauHQYuR9pFf*Fy;xsvn>X^t*QDttLW?OpM2^f7wOm|whbsW6^8YBLX*d1N%) z&Pa0OPEu~wT;|R3>6bnx0OAEC&jyj!aVKfqZO+v|$gi|B6Mw(hZO#R-?K)!R7l#>t zfBRtyJxOc==s^JF7Y}r*(RcciD94X-`vDBZM*x&xJn(79Jy*rtmho~Yk64WRp*a64 z+;{T>&;OA}WTsXc4Jo`?yl#!=5p()g8twePjYm8PjpPzvO*$`M_F?!Zm6hIwil9H? z1^{4%yc)g4Cw1&@Ii>nS?@2bjCm4^y0WxWKG&{b2`6U{$H?fAj(%EJK zD?83V5C1&E_wNjxlrR3TwmEZ9rLkgQrEszN3wb_liH~>0DO32E9UxVFkhb-*+vPCo z>C*uu8qP1SPic8P3lgX?K;#_EV(&B|bTypb*B_jA*Lf;l*Q_AK~ zT~OlfUN(P*yzlKOo1X*7f@PLO&>`tl3ksIa=RFah*SmCyPAq@giAwX{pi@~cMSdS=z0L~ z@pN5}f5lmq+=8vULl1VT3wL100;H}o*wgL7o@PiN&7+6qrx9lnF@wEFtV6$zAiB=U z-$oGMG$+d-oKK1Ji*{aB>+!SFGC=?Lrb zYpM&6mIU3$M%RnItM6aU<#O3eIvZxgN@aA{-iM-%+vL>3m7;63yw6FDdiM*eV%yhQ21lZp zuxeCTdeQEvhM{IIRjdgG+DBEK7pQM%hjCeHKqYxs22^inWfe#Y)E^0`9?rFQ;BGyQ zyaVl%Dh3DYcgu05wQ@={FQ9rl*ZxxCztRa_2&h5MwZGDC5)&y0Oak?X18RVC?H>j3 zHU6MTW9xjk+3!Bl`Kp;TwRMLTZuZ|KK{*oiWHe}_1Z7CjLspPKlx$}(OrrWr)S75i znjO`~odN3bztEX1v( zKjrM`G%@Sjng=3P4{#k$MI$PmtS+NV0u}8BcSkg;Pycx=a>yMS>P?gV;(b!f2gr^f zmld>Mf-0>bzZIk;=tl&Rq_AE6P>SzPUJsL3OcgYSg0V`n46-&56KefX0T{MSe;|DR z(OJf1ewOZCjwPh1bh48Ra~*uI&1x>^+=%J`aT0cbhM=-i`}tlwTP^(LXasYu(>BXV zkrrUYw%xLcy8)Gw84?v3W7gIkbMJAxb$~$KLk6Oe3harFv(b^!huHnIac~orL(|*6 zgzHy_hCd-<>qCGlZqkT_yTY&Q1R6u*x24k?>2)OR$SGNKWM-m|gDLjOgBmIzU785O)XdKWqjjGMM$IPLIUDkf z;q-dJ>H00`&D32PjD;5Gv%8;4umZ+QK*+`m=MaNji-tmweg51=_g6-Elz9q$c`a

$<^+!B1!&=uVhoLQ4J(PR?_MnHSVvh9@cnQ z^lXsxE{8b^CzilmfD?2d82#SpLmY(YL9cyy4x0X!K*d1}SL7nLH^tx9=>F7bT~8w# z-PlgL!;!4HZL88T{v<^`5Y(yK9m(vqa@U8tIoHn`g?Hz^b zS!8y#`_u3lHSRF!h&doJPztJZD=LYbs-=+AtU|7{vK!})-12dlxC2twDRx;CIwSw# zulUsP^(CeAP7lQZ=Dc$X4thjetiyI~6X0_-{2~oM_5}E|Pk`?y^>TTE&&n=10e+ALe@170_WxblQLfuj zq-MY^Iul77at8QUTyA*JgJ9Nk2|JWIq%-kvB(ZBTg*l&@F)?dLXHt9LdHV@TypqK4 zw@{sx?TSlcr+L^)6IVwUX-Z6grIn~8D|=pNDhto6PADgpG&TNpR+4eq6FO5w_rxFH zd{mm#N1E=h)`00JUgg5_V3ba1C z7;$L`C|i2ASdj%M7l(Umi?Da-K{ZH9YE;kTuBu=CNnll`;q9xVcP2^VOpm(cN61!_ z=cs?Zdn{5rIal2@RK6?JjeJYE3cZB}nFnKDW-PH4>iJn)QwSl4d z-YS{Ni~eTyp6228@Nn68t>+rE>S+<#VUwwI;@_fEr+?)H%$(DhmzbW+{0udUX$ewI z(Uo46iZhff)5&|`D@y_^=V2UXE-z-n86Y>6w7G6B+#DhT$_S0(d2F#Nqm$0)rov4cOtA> zANuLzU*$4~G-V8>AF$n0tAn_EwITD+T@>NAN;{Jb z)sM(awhFOEGdzLU(q~GMQz^!-YgE5o24zt~kl~79OGu3w9A_Bn1{%3g-O7e+sP!#K zEOwX?1}2x4AIcu$@Lgc$lx8)1&k_0@{cV{wJiMv_&tdK9yf;*JXjNvZ9x%tI&jO%D z18fC=D>eJ;7zut+2Yzx~Yq0PzBwYtTtX!#xVxLo90V$Ky7@?u6|bGHRiiPw!zyG6{{e1vyIZqU6V(D zmNjx%#UQNrxz~vBaYYlCpSym)SctsFQkhc^5_6*!b4#lB72>Ndn&`gT7rIuLKtBam zW*WXME>bZ2Z`%cn=w?;9r&n9cqc?(vUsZZ;&Exq6pAFm4R#KWJdc<<~^~{ z?D6=~NPg)-hMTN}G3)g1W8}$IBKdO4(xQh{5E9*3C}5uhuh0h88#aGFh$JuPTH4IH z+AyY+4L18Kr$%)tyjWkiav`l;fC?Ojcg~>@*UASziUeLw45yo+z`n#ld!jET@X^u0 ztHv7czmU^;94u*9*L}d6uqoL)OiSv0kkswBD-K5At~j{WPkHKE1~;)=?+{NALic5o z&RI1S(l*`cW?OB=emQaP+nE%Z{c=E3r zXD~w&v?7(-pXMjNxo7`6ion|suYc88E-rY0g#LiBE%V7bA==5MoPejgc* z4Ij6|zv6wvN4Gm_J^S=A%NFHgs=*mpv4^uGI2v8MW$`EnEce*7(fXz)XGuq3jcXn( zygGX~_k*0S5QXTRmK&WG+|QL{CdYA6?2^L-zP&f;HWU=YA#MjlDpQ?)IFSG?50l1OwZRIZPK-yL#5+*mkT~cxDLLmGRmjFdvR$Pl5 zi3zWvR+4-hF^62K3BJiXp^khl7OCHf+2WLO{YID+&7DA10wl<{I;lnFqIV0U4xn<9 z%T}EV`-QGhX85Mu0j2q^L$w?6_;tR z+@?b)gTrOJYFuNrD^Kz?JzNT*Q$5LH#8#0?k=lo|p{~|8QG_63i;VBbKaLyUrfUmk zbuyEbwyzdrN%g`H;T+96t1W8CyLRPhZ7R3Q#L%f*mAbXBVC@Cr3{te5(Ugw}mO}v* zsb!kO{L#S?Z;xoU-^&6b>Fw+poq!`XAQ$vH%-L-tc6R~XovquD=n>bnW$mcAQfKgu zv0bX=X_Im)Nf59JI=baRps#flta7k_l$plwjsf zr9z#+S{zVUUbVfvEKx6Bu~>zUPpK?KFu#K!Qo%wFns?Fr4Aqwlp5FS z&YB5F(nZPmjO0l(0)svnHdqq1+5iOxzbNYJS{Jq}2_OV?_j4*iYAtabZN(b1ZK_0;wXlOsW$Ja(={ ztOD#^5>389JKpC7t3^&sKcz1FqIIc;;<+#3237^%BL-&U@(uwkxhvRw4R$ABc3!@8 zc^<+BQtOIi5qf5kw)jqHOTe{HN{{3z{(_#}C1$d_0Q{5$cFyA_THWYJbOFEQd?}7Y zuCxTvGCJ1eJt9ZtZ~;_Xwy%>YsPRyarfAGU>NWlIWcqpPR*e|Dp*61et@b{mpMgu0 z?F=hmmzkF#X>As?OU*f?rzF$$GzE>w=8QDV0NtZw#5Maz?C5;|iA0jQx+B_)ApC3s zW!m)))DAi>9qCY}5e+)u0zIKl-%^t|Wl18<+Ero}%`0J{RH(CMn9Fc6T}tC?pLTCz zOGJAGwQ(gZN|bdD&>=PXWAfu-hWOSlp^O+K8V%xPwG%`>4z+&LY|AjBrT#{_MW68z zzWf@tVYb+6A>cTt4qAHTZoc$B89SC+;JA7k2L!p99S3E8*p z`mcqLt98#uMTRb-NxV=1{f*dR5v@hR$E6q{*gIY{8LG#<2xI?40>~pPEsXtd2_WB% zS}g%YV54iP|0x0F9>fNZdhy!MN&7efq|M^AGMV^SoJ;_@!l%*I$!zyp1pD0uknXxJ zmr$3J3LyJn4j$FJv&vlskZyB2+agQbH~}QCE&nqDh?03I11h5)aZ@oq)AgSPkoUNT zL4$741H+!)wOhL5I}0XXMp5;j1(Tf?=m~Z8=<-ewk;X=A7!ypc2T;qWTz_Lr8!dm+ z&1iD%lLtj~MlEtD$qH)%Ny^?AYf@afS~`shW1`93#icqi3FR#?D-y~ldY$Cn87HB9 zqh949Td%TD7Er;$mY{o=>E5OGQl+4Kk2pW0azS^)A$Mv5FHu&z-(T-R`4F=yR-V8aAT1ZK{&LazP#E617{dT|8f{nXRuG8ltxsScD#-h$Q4bFCZiijLbul> z%4bGWc!Ac34iBt$MOG(QzUM<>bI^2UGB$&WczbDYh73-27Q&YWR603-`@f#JXo1YhKZ{|EN_+RE==&3)FX~{RxRBffs&7)${^} z+bHGUR58aeZ-11)$ODh?H%5yK!E>#9ueuJ&ojRy|zM$+hR5511_ z@?ULo9q!2rsqDvEKiNfPoc*-Cc6r=2HA04L`O@GVlQIn zIoA8b>g&fwIf6E_d784>4oR~L>JwPK*zoqEVU1dK6~647Dj<7$@f8@OE09eE*gdfl z>VjkCPV`=6<vOOEWd zXYy96yws>K_-|aD#10V)+7}7qU4YZfmBpMqZBiddTI(g=SHQZ}KzI&s9oaTy=aIr} zxr$e}2IgR+$!&KX>Kq9}^h}KuIwoJ``&2F~#qfYFLoR!lok?v2^zY|kMsKSUfOVHk zpX)nB#?2k)y^?V;PBzB8aPDIDBG@(Cr&mSFzcbc{eT(4#754aae>l;Wib<_1drl0M z=9vGQ#?4n3(lc45j*4^H7o(3hB_G9pXyUlZ6L0Bfg*+@Fo{5a)r`hAGxq6~LN56PK zm48hLYL03LB6xjq@L`m4oZ|QMH{)o4%eb&;kS)owkAMxSH%Ol6ymtr4>fG3qu}S~2 zk=I8mN%w{n`<--HxjlY*Qh#gw^rIYh|MXaDPn0)qf>Vn5bGwunyj@Cm<3{gW`W)>~0L-U~_pcjPxw%2OTd~IlfcvC~q3O>i#d} zew+8XwS(i#HIl+Rih#efL&5kv8|Q8@`*XRn0%D}X1v{K|W*bQEJ>zdUL*Ja!H?T5S zEEIN|Ik|$10pS6$?DXmA&J;3=W^(J7SvH4i^$>oN1QvFhFY33=Wax8$j+EIw#hm2fcW{be6eq9)HY6oK5Yt#(l< zo=RUXca&Na_s_QI@`PR9K16cWXWI3i>{P=i16QxKfBq!>oHG?Fz_vri5MSL^eZNCh z#ODN|>P03@Mnz6;c)H-(*I%~D{~1w_QHWSgM?C$Al%cDUkD*9zuqd_3<;XQE4c=Nu z!h2E1xZYbYlSINb9;iAR<%Ln4#aGRcs!g5>D1WFL7o6BESffbuewj>nVRmG(mi`uN zX;Lps5QEJHTluF9Py{b{ZO_1MWrlFvvXJI;LM9d-yMx0abRPraGC`s4vRTh+6sBT0 zMDzy?12(>+ritUM$R1R$QN3gjk-BgqtV7E3ePz1J7&BbKl7G4P%SV-A~3&yRv>|Iz5Qk8uf)!U$5UST-Guz=C^@Nc&$Dz)Zzv3#svCte~_0;@8Z z9L!n8)a83*EMYS7_LCCb*&&qP5&T=uy566N92eh4Eqnc#}^ZdkiP5Y?zr?`UagtE;kxxsZpwwlAl-gs$LA&Smw_c<}_=iLE4 zriOqixfxv3sAf0zJzQes7k3ZW3Grg&cd~df@;h0)81kJgUW@=w7B5DACyN&&zmvs_ zk>APU#mKKqyq+6m6zl8Gyie;Po?nwcmTNtl)PA`WGrvGzbaqXinO{VhV}OLYa9yum z8Mq4iGjHWjz}0ggtY@?4uA6nQH3{Kmav!p=#GTA9(tR=~S!4TE=`*DQME~v&b#dle+;c1fgK&sAr>2Vt0W|I zmUOZZ(|moFEYUm%tMXnhzs{IDg$|dGh9jaPpKq-v^a!>dU$sV+=WV;FCpU zbKujYY%}FK#9~7|4>Mtnx3z&X)X$LBe7P)N1ojGn-5F?2GE=M*33Y%*d~K!8fR^s% zPsGCCSIk%7^8NNxf@MV!pPXq%(R-d~5;r{vnhm-D{*1knDQ_qooY&rEOLa2vz zRA2<+VyJZi%sm_iVX}N?d`G1I7hZT_%!Xt*ehC+}oEzspA2)p*XxJk7eK|O7OK{q@ z;I#VSw1(icJ;7={-$V7k*-yhMF9Nw^rYc?vJsa`NZ%I6p_YRH7FUJhZKkA zFhFuv_QI==O4PAPQHQqaDOs)ymqP;l*(ZNk(CdQ(g{4zt`7+n*o6ukVgIGq&)27l;NB<0yg0nb>@Ua=4b0m8LVn&NcIx)11xRn)0qwg|r+c1sf%*(9lRro% zt_+N2)w9S&W?_AiD$z>}O1LXl*6qiaH90bEr?ZNSf>?6z5_OtS5>XK$T8*9(8$HrT zg}YRt#24=4N^YCPHGSubU(r3n(t!F}xU93b z5bu^v(pMWK2VDi>Er_Ht}J)k zDzsmxNb%9O!a>k-+wIoNvrKz=rg1Ys7J>T&OLKy5G;tPA&7D_hz1%*jMH#EL*L4p( z3}XmXv>84M`2)O}LfUn~jL=`YKaTozk30$ztpRIexLsqo6C*#QofZOeKGf%lW(F#h z;q}CVM_R!Xt-HjPdPF+4*+MXQJEa4+3H(0OWP;+_= z{!wr>tAohE)`+?R+{IW?K79eCy5L+N0FP`rDx{;2UbKmgKM1xId2LZ^Fk$@uM;^o;J>tCHjLbq*S_Q zynb^YW9j`eUiQ*PecmQ6jiiU`6>@+~7C?>3!$eOvP~Nw)O&S~3JPHzC)`u^gikqKC ze`d~+rxjGUe}TUC=_8JmPn@EK>SO|hzj#Mz@4vZ(U#*~i4C+&K$VY@Ux+WNuln_n{ zl1(@{XS#2Po#E3&TN%!^GTbaVTx#WTX4Plj-u?=5cQm@KEOefCN+K0LPkYwl(KQ$l zh4u+_f)qIVGbxbb9;NPANUD~r%<^H$BaW=TY`RXJ8%=$V_FjTl(E(Eb{yO9wLZbD* zYKrfbn&e@#Ez?vQ=Z?_6P2JvK? z*Or<)?qYd34nTcQQ1EHCa25|rW^0Dy!o4lg%=v7-hXYq)K}m3e=(|M#%*x3`k*32E zMa3;)fX>U>;Y-CAEILSD3E_)D+J|B32{F+gHW}5cD2e6j&G!gaYZ(o~?^85%GD_F? zIoxMq($@bU%;!W3T7T>>3J*S~q>XV6_;C}NyARrT%|pl6RHqIzKLsC=%?bYT!wfH4 zago{2lhuP(nO{m*P$VzB2?x&1Qd;l2zb{?e9zKhyhTGrO8Y;%7hfB1HDS;IQvgsk) z94lC5*rQ$VjX0lu6DFDuG|}B9!(5K4vJ)csq*JiXZ&aB?i)FU;v?H2@bYFKCA)gEH z&zn>(N7bLCRbM6aGFd&$+ zJe8>ahWvO6>_99Lh-nP;%AqrKs? zTl+$;QZ3Ix`;A4l>2@Aiyb*2@7Ffd``Em-y9{ViQc!&mJSx)jKmjnwBmjrm9!C5H= z^o!Efkt|-CGTP?S znLt=+YDg1mZTKBc{b!)!fPtc?;>$!Yw`jY?Q4u?rO|n79WOxxCeiMm?q9t zAC1$ravijCE=wn~V3=dghsHdV$%hjLznML5hu1|^FQS;YUfh>fo9wb+BEO78xF49C z8KNcFIbJee=h(x{8RJopj7>4tH25h`c(Gn@$=Ph=ZY~#9*HXCbNMZ){puv1AL#+OY zER7C@=j9__jR<+HGdK((e}@cxc~VVzSTRzoK2I`NwP=8uI=><0w;|Eny)kK6PGgd> z!6iSdVP8xT|a zzJ-=Y^HIgq-^jIg?0Ca_x*h2nuTI;He0QHDS0kw?ENn1b(gLj?Z^b-kz_!$5n;?>q zeXx2Qdq0k9k4xpCZ==68&g6W5tjE1K(%2eThMeds?5LSBsk-p6T;FdnlUvvKvz|&t z9%19=HAKyDPg#d`DA{U^jlJ#w*A&W;F!ejaCC9?U)t*VA)>D`&!u$2PFiUR}Ts{V5 z@1r4|RTzZ&^;G}LNn&;zQg=uxqVAp!I_PNbG+i-+y|n0|jGTf z7HLCd{0wVcP}JvUOUe2T)T`v2oFOG<|Bd3zYWAgayaTb^g0{5dj3+ryC;zfMdFY2u zMbW7BLt4+ol0x)MxvJM^U=}@-1fXZiRTCrtJyV`)Sfcezf8!9G7Di<5dKX^aZtsq@ zl^u;_-J0j?Efc9|wAd%4bxhOTN7CPgV#R-3n$R>0<>)LS^33Q%hjyfU2VUb*+b_f1 zrZ5$y&Ql3@t6|iTOHhXpv5<&BdxZTF9XtBvyH$#g1*uNn2Y zSY#Z?L?{0RSMbGS(&;y!8twE$?qifY)1xMLDR(0cG+V+Kbk^i&Is{YMQ|Ym>Y)@si zRrC@BtMRf|CAYC$8CaQNc+vT^*AzNb1sib?BadYq zIT>n08f=0UU)0Qla*;%0W6n!mMSTM^;r?R1KzjT!1{)_pH3>%B8EBCE73}B8c*?iQ zeGtq?n%oF=^1<4Ldh+hC8hEKN5G>`|>EZHQSwX7Nhirx*@x6#Q+Y4*tec&7i%;OzZ z#5fk|E0;%Y5)H32P;tZB9|VKpL4o!pAKJA8Mnwu2MDVVOjypgcBig>NM$5x`3zf0Zj$j6wyrQKN zS;I4PmfDsHf0aQtOMQUTY)8`5_se4;4ODmt5qC&L8_EW6Z?yh0sxGi%^^AHJhtC={ zyAeI;G{oj~^-oTy%l87l;nT1_(ZZ89=HWyeSzbk!)RHadRD< zvL|ek@XSd{I!fk9+2VvZ4Kj1as3$t~>k_?dJU^f^f2NmZxdRo;Tq?qw1|2L?;o^jK zhWh?5xZFU)-etpT{(u;PHGWo&|3Q{+Bo2JIy5{bCMWyA+Wa%BU;>UkW{P@r~&m8Z- zYR??gGlu;wrxsfKZ{oQN^%~97bEAcSIqTRSSj|*35}vb=SwMD(eSKpo%qdK)KP3^O zau4kpcQLPQ$r?jHINGE(qTjF(mTXWM*0q^(zWC+_m?WHxmDLU6tRt?!nU`r^QJQsS zrcb5`qNeC5y+0#|HZ`B-rQb2&5-!?VagXXx9W2~S7{e;*lSNT3@y{T)eIq|6$lS&e`fe1-7+e-9d z>!mmv-Cr7qCa0u(k$u0E3EC>S+)JSdhY7x+Two2B)1A-GOy+*6l^1FL7EW(+Gt%Kt z-Lbbx7LNCh%-jZL)lB^ zW5bkOT?0I-c$9Rh62f@5OQZ)==L6~&*BFM?F2l60`XEpQcGJC=g<-mfZ*ATX`^uhY z8B4kg_H_&=Agq1Y|EHfyFk&pDH@&J?cv);P4p`>PvJ)>{5Fh zuZAFKa%!wbuTWid+v*G6iVC%Qbam~CJvPtD`+&aQ`K7t=V|9rav!$GLJweaKg2$49 zwS0ahJ*#0IW=^`Q2T&%l9h=_b_4+G82IVzC$TvK=9Hm-)|CfZPt3^6*7I=v>NNrsw~QYIBNI@sqj((yi(L zZscSU6~g`P>erxy3#pZMFd5GT1!;OI@MD$&m-<&AbDYmbL29s-QCf*SLv#T{$ZQUu z7G=VBv;Bm>J1YmC3EE-qu=SaiKK^UHRlW;O*r>KOL1W3of;mOpfS4>+Ya!**2(YbD zC_g7tU4qzdxz0n=EFnj>@eQeZ;hiB>ND@}>EZu99-HWk%70t@L5_60;e={3^5 zf>7tPpNW6ri~D-^Qi}}TK{C7-8M9^rxB7uGMn>=d~4Ku|1dD)HxzQz#!1g1HqpQ_I>4E^|}IVDwn zl7)2dLWVk~eEl`7EajPV*yB+r!aTuLDJiPpgae7$KUV2Sy zk)B@9STZKkMhPwRMFrwc0;MWG_j>4Zq z`dZRwwX6zQv~KmCJmdCfSf8#JLvA53W_#9=^2^uDUS>_f8Ih;~LAuoLEPweKk#i}x zX+$Wm{FEH+#c=T@fmHdwq@=7P6`@!k+v`=tY?%Wd8y02e*3B~S!zk%Zk9BF_8`{@? zt@7M2ik%p9+ej+8BZ-;VP?=OV)Vc(Ex7LlKs6dTv$gPBxuh$rIZK0p9-1%6}cQ4-+ zd|UW>`R?Ic#Jd$yKjsdNp_0uJyRA zMb3J?U37V;Ut7_Q5=b30`1KCC6wKe^H`K(R9EPNu5*RhYhPy(dt1niQ4=ln+_ zzm}W7WKETOZl&SqQy}-x<}AIrZ2qzZ`i+c)BuBD@MPrtDmn~f^H^?q`=qt)EO1G25 zBCPO>PY92`p+Q-jD64yV0hiG7Aco|wGu(S&rBOe;(%{}?37d4Icgb?Y7Fw)I?N9N@Q~-$wlXGAoS?e&hLd zz2iNd5>9AQoz#VPSU8IzKx$mn>_O{AtzY+?`DJqJwmbze z00=B!Vi3##>=ws81yFZ@+>`q1GBwunx!b?s$7S-Ks$4@4K>?7m+$&da^U0m>ozF*Z z=;6cd+@+nBs`G>G_MY z;`cPTJ#jGA)k)diPmGW%y7tPQ`JMGnGUOU*Iz1x4uEdT7kU@ovOsWw z*-PZ$C0%Z}W>MjY;?2ca914j1;ogn8#f8+{9=EYJfrXQ^+A*LpxEkymsU zEGb^FtWQCXZ#g%h+XI*0Oz5WJVx#_1D-V77@`A++ZuBlF{*QS$y2~RH5S*jHcGT5n zUeLAAHXi4{7ymB$OpFgbHEWEPXV${oc;|p`{5vTlPYoF5{Gx9l?76Jc*mwu@;N)?L z!Cb6O+W2=;23%TcEUsN+r1NVAb`P*+gt_|ghRi_jqvm(V5bj1Nu7O|kSn22EvBEvt z)Q^5XK0=yR$&)ql{cOmYuDwJ!`U&o5E?nm2-5kN7g}H9uj{U@L`r(Wy_7l3zoWIz& zASK_sY~hk0CdAQG3?f-5rMqV-Z*nYHM!64-=!)llBfI)Lh;ISUihljSjpx1vHf}nH zU!Byq`!_^LyEcGR-VTXz+1{F<9)SWJV>$^%s3FOEc;=P`GN%;-F#NPGFQWL9yS(7C z2#C4?oe+{mx7e#Ha@V{Uh`cxk2LRT0@psKjN##K8WI)9eWOwVq6uFB2QKB7;ulQ(( zVZY#T2fSe?0NywNVWT~a9x`76!Bi50hipx(b$BQ!d%PK9Pycr1j#aBB`*o@6s<}j4D5HG)Y znBGS37>s5rj{sN?ko@8SodOuoVajMkH9+!<2TV6orZ17_XX@(4GwV9iqP)!_tfcQu zSUr-ZxD%#8pP{6EL{D~*u^WWwN2c3=Lt%`L;mRqDBAPZoSBZf-e2te`HX zlokJP|8f3Z`1b+upJ>^0IWN2X7d*3V{CDG-e)4VSH*!Ly(Z;t=oM?m?#B5SmCv}Ez zcFIgvgfvaaxo;dfJO5uJ=Z%alA*>|DU5`dA|atDvY&DX?^60i^8(v`Q8O}i!bg<1M&1A?quZO;e1CXuRAF{ z%DZuQ+_cUpNB{Ui!B%Ow|6h+IWcde}`F?%+4+6w?wBmRCZ;Z<@+JOUm7n*^n+e zxeF`fbaFp>s{>`+0GX_8-P#X{K0&vp6-{XPZmH8J2jr!M{B)Jf>J9~gRt)YUBT*Ju zI*eLT7pncWloV1wB6F=r2zvUdVmz|;3wj19Q3Z-yc5p3Smh2F&WAIv5-Q~EjA`ZFjU)0I9&hKpY za%1)e!y@@ZuM7EC43V>>lhVx@>31uWGi>41sP9ksq-d!pcdJ@8mEFp{+J-Ua|1iIX8YS7&`$@obi_op%H5Z9w+KJ5)K)+-{vU_h5Ndbcfy6M@dsJ2jLol<2NZp{p*qXGM7!hkziZ;g+GD72n%3%(sC+|@#c&dO?Fv|ZudQWxxEf@`%y$jM_XCx56<=IDUks$r)nLx#f5)Ignw7lVI0 zZUb>yD~5V6mh*kOm_dM8h6a$OE`q%S8~Qe&uchPf5~Vi^&WDKM;tJUjGB`_17FrMU z&MMHh)11qZgAOy$KxE{edj7`9zmC?$+KY0h2BHninMlhP=Nb&U1jl5(m1I~VnY*By zW;3u2U*sO7J%bT=t$Y3O zo?Kq^_Bw)32%^H%vYvR9uh$*aUo*#Ju5idfcKf76jz^VJE4wLwF4s*F?m)zJiFiwD zTTK>nQo_1_+0w;g+WH8=Wc^KI=bqzyY5b}y1cHgy1LTN z>(J#3)>%<`Mdp=PUN?kwRGv~%{NB&Z=d-(5*Zp|CKJ4c+^UTaM&ph+YGtbOCBb72X zSdnpN{Nl!vK{>RFgbOx6G4d)%-?o#;p`YqjmhG|j zxqn%!=wCaVMG1UT_qVV*krh`r_M7d=O@qMq-YQ);Ka=)ux`EfmP3s9|gJPA0!wdFu z`&E3((pY|`1iqyvNlkFzlO7tsGw*gwHLlXc<3x%R@)JV1tO850TJTMRCEE{0>UvXH zAaRTEP1m1k&S$3nOm#jp`q))SUK36u_mfQS(gw3)PVX3A-Mf&wbj@QZ zPo4h?aWEvPO#?NlS^=B5BFL8+*ffD18->-?SXL=G`R@yKq!=DBW85wlYUD8YF*0h+ z)QxihEXBj`CsAyZoBp9cq}w5crTGQ@3OD`|lJAgV(1Re7Nb-=F)C{#UOpa{*cUo!t zjk+TF(U4t)01;LtFY9{Urkk)i zcW1Z-KkfDS8m~TO(S|y%^6_%O0g9)kPXrfdM$SgW!%s2en|(tDYnWes#n{(QStAV=lq&*(>SHA{#b{Hp`2Qz>XFa z(B-@?`vmD(T}rld3AmiY&~?z+iw}lF)BIA>yrmn{&R@nJ6vy#0Cst3~pf74e2fM<#uwI0Cg|f~h239N zMDl?AECrqzTAVH#yw2n#lK>x1GNuco0}45h59K@f4z19L;a4EV>C8t*WB*}d#c{)E zlNx&3@>c783*`I;p6f+-xt)21J$gm5U#~MrZu)}Mv6!tj8FL+mgIQ>Cir_tgS-Pq+ zvDZcd8VI0o>r)j)dXU{nhBC+^>NR7q213ZM%b|n1tTl8Y%3`v^QDeEB ziVGz;5qjS}PBAY;#_T(xP%9(w56~0Cy?4-?9QW`-Q=6_r%0ER&8A-d1pm6U(Ni$&f z%L;Ge3?@{cE^MY7{47(8qapz_2+-Vm*ACDz49i$4Wt=kIX|AEfEi7$CO3ET4-1`Zg z)hxUP&Xnl5@HE0lrg>&@d3|+d>CC#4+KS4hb8E}$^i8N+^0?3U(KVFfwxeLpwp!AR zNG{69IA7?yvAV22mqmxTf*DO1tnVN$!CmAnTRx|z)U3)RlYFfdQ@bp;ntK=dT~JZ! zD@&|hc3DlW{_h)6yDYD!_Qp{X!~$IHjbm$<=}T?Nh>#?{O6spSA5IkE1lUpLgRFI` z(K;XfO3sqhF=UJ?U$&rfc`0W6Xj+R_iW|WiNp($~ zi!_Z46t}>8*AV`Fe-fVrz+=Dr{(Cfi&)jMyAHpKS8Q=FO@&CVh|5x^-4!g-GVYKEo zZ>4W(g>Diw3#*5SQRTHW%F0Xp)xOc?wew5rD&_X_k>$1dl`G3iqx4CKGe<*PTI#Zr zWo2!X_>N1`-`ql6S6}ASxyojSw%NqlN0e%j;*+=9Npwl-C!_ z@>eyt#q%!9E7zCJ_4}elw>==q#>Dg$yPrHG<&2Vk5-o;f_|}tzkE}JEHlntEMoE1| zB0n|0QRaiUq{tf|z-n$BTU$SiRlm}*{5q-_MWEC(yq)fd`L5-CB~KdV?(F&gHO^-e zqidXdc#q@8?-^H$&B^IMbFZypSx@pGd1#;@F{!(eVj_q^>b6Y;CnwJ`H$l^ZE6Xd( z>b$If#GQEZvnM<%Zt^2DUKTvnp)J(B-I;(R>zpUywgaurtIRJM-X?;&da@Z^mntVGSF z^v7prMpEhwb{z8E&i4dj{uk|Qp^@A{uW8S_OS%I2OMWBuhm<5Fc120-vV?$}ma_cA z6I(&n*)#!VoDl*ymPX@Upc7}gM662hx`(I-VxpxQTsW^QDD>$dZbwYK6vLJE3o1)} z71Y1rkoq6;-Oji4r~g&|sb$gnU&H$dGwihOApJ=DL&_2g#78L*uPLyuoHpWdD1f}D zNJ9Y`s-C$H7UFT@iR3-fhAU?cufqd}e7EtvkR<<8CR9Z0ATnXF2Azv%0?){zyb^SZ zddYjF^C1O`92nN5+o@$LO}2a4FoYYakRFMpP11>%$Ei>{Yy50t5Jf?nwUpk-U^CQy zNEY#yOFHXzI4Onb?n9yztOU(;IhU{oIIhTBS94umS$(}(1RUWk0*)*)l1=DWa$Q*z zPJdcsyk&JuxsZMa8%|ugH~Pw~QS-`5>q>68tmcN1xivMY3d;|Vo0nKL59t`6FsJ@H zdl?>H547+0hM1=o-R{Z=J0A=62d0VL%+y^WE>=$p$XD;jTiD>L-xB^jIJ9HOtDyaN zo`3QDk!Kr^5a_|fU*2@nsXGq~?6TVDUh{{)e@=J?;quq!yOj3easK*a-g|i8!;?wm z183uF28yUY{ z@(bm9hEcSq_ve2y6z%DKinkp*cAPl7$@(GB`8}l1Kh} z_;t^T()T022Y9lG`(HH8Y^C4v?7NHfKc-he)8S*1NS1ggGC1@k@jH1?wJVqU{B>o} zMaqRPc7R~@ZMyhka1(qF{aZ=Ao50=ly6+o1MJJRtN-8Qug(@qZwT!+24m-317i3;#aDyYTOfdz!4-JWuin|4zFXx%Sg0tMPu;-Qd~kpEX&vJllWH0yKFE|Mskd zr-3U4CjFP-&oe55e_Na2Ix-p{RoMrc!x%Sp?(q6a9b^B}i1!ijzv%bOuaS8VQkSCK zO5d`QTBm({hveUX$oF2pr%C?*gMRBDkJ4{9@4~B3J^}5YH1ym12i7H@h9*2hzw|#6 zz8T)y+GOqKk^Z070Udd!lHTe6>N(!Kpdr5%{1%XYBTx5Vpq*V8!I?3|R7@)LEh@IP zUG4pTI$lG(^rrtsNB4H{;~8Bvi(M~^F5nyCRrd@eVqkmdkh)Q=VSf^zLCF7-7w&oRBV%BFji2FiPRVk_h3qp6 zz82heR(tu@_QbQ}b7%Pvh`l6g*Bn=FOpxWl%hFppPNM$#pG0R}?h@i+?3QxyLZ?;J z?L<1kiv5WkIMmnZ9!9tZ3bd0`B@rah?~jlx@6MW@Suytv;=uy5o6=`D`el(NjG`s$ zk$_2FV~AY}2T9ci4%S9x}AlD`*-~WgV0|YEsmn%Jmh~ zuL+pnqhoW@C1TgS$XXrNrg^Slid!R z$}R_|3$}lT6Tpr*bt2e?d%vm>gA^cULC&m?nPpu;A-Uwrz-6WBmm!JG%am5q5 zif5GusY(A(0cG?DR=T(X-E&YbnfGN%={YXkbKt;LYA$P+xi0Qn#ItdB@c`~gaYTMN zm2l8{)<&yE)@6H3HCj3=HW&1>%m(+tb;lo|f$=`F?{X^0dY02BtODWm$t<<1Y(Wxh z*YqN3he@Tu^w26!rR&Ax^N15aK`Ghah+|^yo@dFm+F(ZYzSb#iLKTo)>MZ_u!@aLx zXIt)^yJhvcR&2|5p`AcUp^rriU7V8rmG9W0nXcf?ScP>_`%5TFuX+C@wy3A=qFQxP z*F}nQ{D)AMUCa_G1|B=MIF`p2x;WmR&aMo7&hoIp&8b$y7_aJwi8<+E^YwEcP_Ve=&8>~Z%EtCVW#tcFNeDZq;CJG3l)NL*&$p=Lli#BvVpiI zzH>Y(G>sls-lH|g&}P}5!CT(ikRrL92e)lVmA5mcmpHAyLoiu1D1Nz*wEc1qsG;8u z4ypH9#l?H>#|DWskO=o)CYW~HOUG^GGbGlxrPbPQsF&(RH(6Rer=+f?W;w4q%)rd7 zD=S+b^F6nk9nkt)>?%vEFDqGbqk%Vhc>Q&?)n!f|2BxU2q}srkZ|3&H(iB!!uPCc? z(j@A9(f0WjTuqwUmCMLgzD+q-lvP*PaAjF6OfI`|&A0f2SX!O$Us_RLSuzY}`j7ks zNIs^1+GeW1#jXYom~h-^Ds87Tc@@Wyg~Ziz5? zv_1k8sZ&gT5`JiYa`M8YHS{T5T31tDEjdblhDRpPm~p+`z;-#N?8!51@@QC74>5N~ z`;TdN6Q)g_c6yVq3AagM;caQmDPkG%sxM@;ubRC1F%Ar>^}j^cpes1vUi(|lV2A5ipBwWg!nS1u+v(Ao2Nca(i=M~Jl%v0#eoi+2ENTkF`UNh%;JeNj7qjf7P zo_Fc2!h%SQz)Kkgp4@q!1(9G~Hwm9UZ+h;mX!RoDxzlGC9U3nEXkKw);jHPGMKY57 zrJaikrhkjphZF9ZURdNQo;TO)i6n{8b*^VlB(UnR&9iTV57s?k^MUT^to3CDm15jF4vu+*CbFEpOk+Ow_iPf&gOGm{u zuFgn}^UG^Iuj9?Di`OH~tDC(iN3G2pjeDzUtwk9VHm8%T3ZMe=HLW#o%u^?*E9T!k>-ofBO~H7DeS=5{m*-h+*8; z=-6`@Rt7%8>eE_FRA!o8R1QpG>z{A_QUFNxi$TC@Dw z0?2Lj;b#hEh5ngf@upz$7IQ@PM=S`~gv(1Ys$_tRVw0OEW4|)gO2i+CAT%oqio-Y! z^lyiHsXsD>T#Z@c{4L0t~y93AHy)#d3H2FJCKr@M_@O+vD0aA385j^g`q)yK}@pKl?J9rQ0KWp^u zUiIT%rWZ0(aYv}{1qnl-e$JhTdtbT=miPp$+3pnqS_u$n7#~m-==kB@Uke;QptKtz zEobyfv00DA*;Sxpr{1c(lYJJC(z2nQp!hZld7vZ9%vIqcuIrY4%28PM2LlrHVfht! zd<#Y4?LDxv-&v@5^irq)&0o{8Q>Hx}S7t}|be(bmDUmK?xRNTJ)>X&OMz+kz$O^r= zS%yS)1gViVSptKw*>?#yx~sSC#3CE@6lgu3RczV#FmalG_IxWw{#DEr`B!M< zpB$`$)zrOgK$5u=!rog57gR z0v1-XmmPWt?}rt;38QJEy!<}OgXZNy;blD&p9rq-vdzaw!*k!o$A=NFv4f9wvL2Gz zeEfGZ{cn6+03YA$)jO4G1nYxni*@fkI-{{&g=cdcs;rKPe=^YOy}T7Ml3}eu9FJb)<_x8{i_UjqnsX(_d(gc zV%&UJn{ZLiO2uY*t_<*EHEYS45bAFHD6Y=s8`X!&j(*X1G)WOEmU!{%XWX~&#S&2Q zCV_OBU*IYX?@4mQhC2HFZ*`fCpk)wU_mQ+_UC|+3#>n|^^^Xf+?@*Y5A!%BiUwdhV zCPJM~ZTGXOgO2WOe(gn1hJW!o&7RK!8Ro>(+@Ib{6i*LY+kB6P!zOq`Qt;-au6(zu zWiX#PHJG0YfELFe$&Oh7QVf9mN3j5!&lx`iAk_e5MgY2IrpsdV`X?(`tDor(y=BZ< zi++aHtV<6czXrXSZr8Ph{scAoV+^_1;LgDrlWr^N^akMBOwL33NowPzR4zY7t=GS) zY8}6=`PAnZWLBHo3>iU z-y}P}#C*bwkKjV5$-&`^l|46zvSCF-4N<)J~aanDWB$H&Oo#OoA$Ota9bEKF^Yj&8V zJCQ*8WCkZR>>Nf7%RxNn^QY?suG*I;itMS8jI3&nc1SXM^*dTIY>B-<#@PWTzV95! zFKYB1S%k!BMsRu6l6MoWz%+3%FAm?YxROST=^wJ)7FYfb?KhdSPLQ&=*AU@@91`bd zVRavcv zVG-*MT_$24|L8J8!h2}^!i+PBG+_NbFzcl@vz|$?=23lDLXy2fADm>|l*0hRzYI2! zchk>W#=Dnmj<^w?HC&tw+taSX!(zS}2z}N%Ed%dd9QKj39s~5iD>yuGNPj3Y*_=%> zn==#0$EK^~^__hEfJrq-JbbP0VIX!GyPD+DerjY30z~?1gu}L&(gqQtb^Sr<+)o5-4}HG&fBnqPR#{j1W!@-0ZUOkU91-+;TD8 zmcG?!sjq&-of$u4q&^VF?Kz$3GDvYC?9%Vc^}AcY|AhC^i`{D%yQRl6o2K(opNSaGE`K?B#M~6WFcUPs66D4cJFaaD#?TVakvu zQ--A59g^P@g-=zBn96w7?=)nsK4HGwGr=3uwUC|;nrHxsC@>6J#7hk6cR|MML|(|jG%`Y+i4)P;DLj{nLqj?UoG zVexJqe=vrbTB7m#$WUz_+I=C;#MNh51`Iv9hjT-!gl9|mE*-w%4%$_{3mb*oHnhq6 z>*oC)dGFNk^dVgGB2zv@Z8EC1+)%JlAg-=MznL>g&Tiq1Q)wi|uCcsbfIilS zA=-nL*+gwSk-J8~JJ7L1B>rcu4f~N#Z!?jt;#~fliA+lsZ@cuH_C0!_-l+~ZE_tiO z(CJn4-;x~-zBF9a#lamMT#-X6>MotEzwcVISVChm#}&WX6fOSggg9l9+?+)CCB?I= zNhr?20TwwBsaQ2B1cofP!HePE-xo;5w6FA1K}Ni_s<|LUZg5uLHi(+=@Wl0C+_(`C4NF%xBW965Y!S{$B+l z?&Ru6Wb1i&sJndVC0|lG*8*~xM&KhCx0Y_-B$E7%J#q{7tb-pVY(4ne$y=M-Z%p8B z^sNI4rcLDTdiEG-H`XD4YG_efr{`dtbKiGz^HXGzy(lZWd854eGn1Rec5Rvwk@E7;sacrqe-g|<|O-_ro4&iSOO8HOAN&6K%mB|Q99qf6?96z z{z}e4&z_1-eE$s3{M#h`mtc`^l>_LM`)#0Y013}6Q0w*E9^T4%(^t8THH;0uGj$|A z>dH3`X(vq{T#!e|bCL!n&B=g z*K$St+J*5gSH!Jd7}qjtt-CWP!QyTo|GS+t6SUHzb$teUeY{y4(57XCF6NZ?&8Hf# znvCtOuC=YHvszP+Y*`q;_R9E{g>h@IjBByh#!sK?e-9O4%~X+p4RQqed3l?d(waJt zj}Um|w7N#%Li*Knkd3a4F4s+g^flGLo03+3W#AyC@|nv2^1C>NLl^ER&)xf zxapf}6yeFw<;Azo2<(+`w;lc|1pw{-ax-WdoxJ)In z#~dh^Bi9MdL%yjbh$pj9&w@gA0;O@{5>{j|hJm;lL@gq+JUAmGp7+zDBZ<`+@!Z*`e^a;+tV8`9xr?SXF8R5l3@VY~Qp*r!p$@b& z;lln<=b8=|^H*V1Gat5Hryw6)84N(@7FD?l)gZv=#i#43;>oQuiDQr8H*NYNs(n4VW|n?U|uBx4s{runoDdIhad-8L8Q* zH)urgFJD2VH=u)Jqm%wHZSjRzsk=a%eF92G-(Exhuydq8hXp4bly4 zw0T1!{_ADX?xfN-+DW?JdXHbRb~wIYZ6X%KZ!mIWSH%$kY0Tdvb+~t=#ynE5$vU=Q zxb`b(8}9wr#lp3=u;s3ac7Ng~5V{5C(CsLGT||n!*fN^2iG6M%YvT6F@3dSQr*AuU zPrT9<^0T6FuKn3B1bH^ciOrP_p`oq!Pu@0h8wZ>_^-K1^EvGiWc(W_})73Z!GI87K zOD1yrEu)&>xp@@#I^E1h&c663bT%t3q!y~WW z65)~J{t)&q*bZ}-JCrac&*eY1YK;0=OJ#R3zA8!m!+vMiCWDxpjixD~LKpiYM)6apNHa@^gI@zv zg(DiU{YU!O-IN?E2A^(q&Z#m>yM3BzO1r6_m3X0+n+btBT!WMf$VZVZ-qcyZu>I<@ zQzVNSIt#mta(@m2t2@x1+Bc$n^60>}`0Vc02SQuvuk?&S$77h!b|F}bGSZ5wio9wv zHKJ3GREt?4?YlTIkh40CQ6nw0?l5-rwEEa@Bm3dl(9?HPD9j3ZCSU4{EPO&G$g44d zxcG4gxqqpXWe;C+K|qbGJM7GU;_Jru9bev+H!jZV%aC7}6|7J1J6c}Zl*8+|a?&1N zey}Xi)q-Wv7?L*zu4l`IE@Tdd^uY{z&3>piy@Q6sq+qx$n z(Hl(Y8y(u_yu-P{5srJUHoBp#TbZ)B>rQSJ`;w9K4NAj6b1ywex+b2@Y3Vad)2%t|t%N?A+^#a?w8W(tGE%qHND< zzW`1r__9l6#1B{;5=B|udWhxTt?hH6MQ*frH68q~5{sLR@gma|B$bVRntqQ_CvGQ| z)sR-to3uGA9+pa+$a0G3F+OFZojP!ad~U(kmIDi^=SVMdZ*)^c?!~tvQe>z3c2x#V zs!~{1Xf4J!8uxMAG*Ut+=I=-@EzKl{4+2gObRiWwN8|||re8Jc*S*wH`St4_k?B?X zb+=49FcZyDED2HIH54FIh^my(oIMh>L(L&b+hva@hd}$02_laAgPv~g(%Fp0BeqCJ zfTZ#+PY+{vmuFX;)mr@KW~sa#74q*1b+&k2Yl|Oi@w(R*KVFVlLymjLl?RJ|Pqt2; z&vbb<9Z9;@;>R`%wMfq$=}D+VUUwXQo11gy>~}Kdwi(7BQ+S9QQ0Cx8M}%Wiq|1K< zNx0KXZlNAJk@-Y1y??jbuV0}rcVFw*eu$vnkw2GEwAN`*&36ks| zyo7s437B*DbaZ`u+hhu15gx*IsuP(m*vsPaQ--b2Ip;_^bJF9Ovm73=E2bjZbzg*; z5s~biVz1kI<~_79o$8I3LsRP3v-Fa9n#8=Jqs_(KxD%0~{qQH_u43DRWTCGWpD;zf z9lZndgI-{T{W%vp2{CNmW_XMBJ-1hcwmx6QMBC<|rt0pAseVcNG@sgWf z zyk(>R`x8*duUzOqL840tL|S_GhxG9mMl5yhWI0&lkK!0%lu48!IbBV$;ogs!yvA&Y znWG~I)WtgTWgQt2FyS^#Mr|!QCyHFWoHdH7^w3Kio_w#78ms?fw~Rg4+!#{}@f1TX ziXz27p-UK4e?L=-zh0NHSY}J)DW>aVEMHp7qSV5cMJa`$MM*r&4Y6KN7%EIdo~0l% znZf8h%^pL(F?G-ZBdA;uMP)LF!Hj7KecU8l7(wL%B4HghPouI2&gWc+p16t3yuf>+ z+zEanyC$hXiuRkN%U!rQTuRDu__5$1W`0fGvJ#(20MY8jU$row{K_gG`Sbw$eSeC0 zN#23Q_xsL(P6~2V*LO$tSo zb-pmn1;{P-8JfLl#ndZiGXcU%>;V9a3`pSjhGpfE_p+Gx%B3}t@N1XV%9>-bI?7OK zAXMYVP1@>XJ(CZxc-Wb#|NdD4Rx}u=Kln+#FQ?N%+Vr-j7W}U4-aHGzJq|QMsoZQXiIjvx{;tUfBIw+! z(HT&uX>^(y1p4T;1|g%TnmdQ=GNrCbj;JAvQy0Z4#nv%Lw)357Ar*^j1+(}=NF%!WpomG95 ziG0s@IiK(7Pj_gnbf$7P$Ab3t6zl|ylYlFAfOLU!uEHT;mIN4fzK#5cv$Mz{_Qf>V z1&8uo>S0RZ+?)CZzxFPde4>plNAsTc!)hN6WGD!|rl!|L&a_Rt!)b5FX5m&R5$&{G z-*LSsBMxOmB`HjIIgu&@1wqyGj~0}9IBcZ#;e3~PffTO!A&moelW84%KxUyi(tN2V za2#34n_Ibf(~b8U8Bw{wg_CZ_ROdeo8r~rt>|!Q^4@%eu`4j8aPb5QpcP)w0cnuZ9OV2d--eDdLXH=v zLbuWT8S?aT1MM?*yvSt2eL@O596r(KInjtjDIY{Fngv34G1>e>9GDP975FFh;WxN2 z)oWvQK81$57X~?aE8(m}801cL!qY^oJb9^n;)%0+6C?=$SJX6G<5`j^#=r1xHp9Y zoiWhx5VrVs;S)#_T9w2c{TM9iIiZni!%2*8^4js##j5`p(z!aZ)kB)33^_lbNs|QP z5MZQXzZq*fa!`5TP|4fygq{e6YSZaW3*>@3Oa(T>t`aDf(fC9J}b_ouYwf$AO*=9wu!h1Gegc2_SYAu8i|C zX=rz7WvZG2U~xj# zknDc@%Ptn;)W^?4X2s`|`ty1DWNl^v9%|V}EgP_xEFPMjk;IdtSC_yK>#dg*H|rFu zNg-9M8Y(8NN{6i@EYOjvHLJkNOg6f;hdHbAfWgNv-dOL2>qA#%BCgUb|3oZwVq3zP z*EhMSs?@8V|6`(c{fvyFQZF_?FFSWqysGhO7Ozq(_OUZmAG0%|RfGmQN_GFC?)Exa zk3;@S*}Lsq8d!;KaDiTp26g(0@olVV> zysGUF->NU6HxU}GuNFem{^zhC4z?SknPCTo^>K^2`thBz7ot-Y@_WU^?K<)np}fOU za+)lLndngrN=%b8)jcS?4to|HPv=Cd$RsOdhCajutuNQ5?=&VcBllV5bKNqA&Ze2u z-FKQUavj1Ug4_=Ux#Un%MxfWFV?Pnn{1=4GN}wK#)=6*s5)G?UEkqQx7O&e}Dk;0v zh93_Ot!Zda_sq%nC91bzK{4#TH%`nZgQ3NlGR73#7a3!U2U|TRtvcZ_DmG_(ptqDs zNMKtjnH7;4;teYawy%$2uFybmmAiRJ?DOP|)fo>rAmUG9=@Syc~OA zxg34eo0vvmx!iXRYt*b2>Ry%n7mrH*OYz=`+q~+CCownYB@K)Gh{z8m^1u@k$q|NE z#5f_U-|O=4P5HO0)$^$2Ftes_JBn=B5k?*zNPcf*L(w?OL4STSE+{kUDYL-l6%!XzU)H)FK=r^MeNY7!RlFvUta^#gPN(x8{ zGB3_Qdd=#LOf7-zF`5FL@mLE~#ZBFD|-C5dakd0}TmT6r)(O@~d8)8-PH9>f7Yg8LnoAwr#K6Y6E&92_ne zsLNR- znaK9$*xdBG$mV#tTiuXo*j^573VO`Yno(_JIPRonuyE^zsw+jJojgj*Zt0oZA8>jm zJy44jU0Wnao3y9i3`KAK8%-AJgRhcC3xGlOgyr6-60Fdfk7*?|uBCOTa!xk--Z zB4Jsw65*@zQfQH@GP^ul_?CzA(#mN+w-K0qu5xw>7>OyeQxDc3JSux_JSsLAon?m! z{z# zog~3|RDGg4duPm0(y5-Kr6Qzyl#d9hz9c`JRIiK_Ql&H2%Z>qinfVyd6Il+@sR);F zuchOSiBylv4~Q7;sT!xUM4k*VQjXs6keF?ce?$6-`W^dWLiL%#)YE>anYz{UfJlFF zJ@z^w_81Cld)g;@Ho@%WcvG+}tquPdy#ThBrAn7&=1g+D2Gd9;8XXJ9@tDXwZbViJ zq?A%RXocWN(&!XzZ5h8BZtL?N@;!}j(JiuOeZN1&p7r1DtM#D`P1ac3m7ej~+SVpz zj2s>)Xsn|MSFDpwP1F4wrpUB@|I&!T?Qn{+M|CWw+@BK|uvT9o`VBn*7Kyt?Kw0?P zuWo%=Hg5SQOYiweYGRyu5ib^T+N(sEOJT=pI){0x5D4NUqf(mm26Bl5q>*jp$kb#Zcot)g{pF+7HNi% zqpywWr@x{Ufs5NAt+h&w3;MXM@PEY5SzzTt5bU-QJX;Wi2LgDlba;Tm7pm8e&^6#S zlp}K*#gdiiHxl>9R9Re6k3Iq-JtjniO!Cu8ie5n!`@|91d8xi}YEWxq2$(%9`MJxd5Ze)cBSkjmdg1HA@G?L}?%^~3VM-)sVrI@%l3$2{aTd~37h0nFv!+~Pc1e{4sk5oin-Xl-sipc#5NY8Mu8zrUhEhG02_%g+RR98-pHDFm?IWzX)w4*Dh-~{T>cPx?V{_zrN4M`;bB~qr_qVs7wMCL;F z-FOfQ_l2G$QFFNiNnR$v*{6tVBOmE}q&XjG!UjZoXS@@No0g{kFew(w6;XM~vQ5jW z39Zd5QQ^E*MZ|g4Rn#;vkk*i>nqf)my8`N{`{|Di=OH;l@r#EDSgQl}%D$67JR0rs zf?{U2Z3<0G26E^LKCQo-l_-I>H3}CuCs+V*`n?g66epKV6%xxgiLYPQ* z+v`=c!4yR+(9mYBI7RaJonZ2Hb~tOOmGoVW;MBg8g}vFyV`!SL_k8IuJHtW&6@^W5 z|Adde3#C$_92j^Y1#2a(7Z^zQpGK%=arXZtsbrBJ8f(4+?Kzue6;S!aOLU6&q_CC)?UShVpr)-CM84OGhr``u7gFg`h zX=QX+s+n2#Udq?Jn6IE`$K-c(s~v0PhNjyV(i5ZK@8DfhO^T!nG)TYuqi#mG_%mq5 zd~52$Ud?9d=Un}h6q?1bH@RIUPv;1^9V(5oS+@`gAyk^W>nWX<@CFLf7VcDKk)gUF z5;IxHX!6DRuYqV{=@U{rnal>1ty^~LZGR^9`8sH4s~n<=m#R_1*BfAm^onbdPMY$O z9;qiO0?`VDv}1D9XS#ZHtfuwQvW8(+?@BAK7!jd^NES9>abBEMYBnIuzVHm|J4VWr zeR#zEh&UN!dCk?CW2rnliuq5T)YT{UW&xBMrK&ioz{*I>6k_y&AA{x|GKO^DM*i7f z3G$SmR5ip_EAreA?u;0`?Uh>mcDsm3dq@~dJ#^IDBn$VBJseK@cO>>aVl`W!&xF|h zs_FMInS^^kV9@OQAOd-X&d2U>4H{yrK=e5feQCXe7|4V<)s2*;;weg9&OZmDVzbTz z`p+R=`WO z>4e23VmzP19NzN%puCGC37mz&0xst!Mqu!Ul+H1QslaLzxO()Lpn~eSro)Y3Huej~ zu|S7j5zsfM$#63$*XezzXO`?sE-F<0q?3CPUl#*PSp8XcfCGmG${w*#d)yhZspTiq zE{BOSi^eaewDBZYmJaB52A6e^{~oVWzmQ%j$~*jdkz}tK5VDK_Yj#eqq2~>q;Ga;H z8}gVmhGT$uhHQHeYM!Q$C&@|uoHM5O{PexY9FzhL4{--?r{~`oI)k2#db72~lBJlR z&m9ozicheA%f3_;h&#!Z0Tg}k3}=7p8iI6O5idHB(yMhKFBkJ-wz@8nAamH!AStHA zLy-RG1Dbs)=q#XP4~-FYI6;jK|Hk8Xp*o5BM40JADx)#52o3j6NfyQqH0-rlc&CqR zKKxs3f*;Tzn$t$)mamqO!pv&|9UHNM$QBPe#ds8Hz4ot;#M&Tk1UG|c z#cs|(sh_Mfa{iv*JG?-GY;l~NsVby2nLA{v5?yd6T757#vnBsTUe@NG7|NfbL#DLk zPt_p|)a=a1)FM7TRS$!e-lz{pOn%W!hT5=5M%wJ>6l%~YzF&xa3)XJqCCV&{v4o+s zJ&FHyn#vyj9vDsUB5iUBqe*8K3N54(b?lR3H)yBYEE}fsGUuZO zvgqfsDYF!u6LnEx8`N3|6KC*P!WJqvH7~K!s?$eUBK+9*SdV5W3SNo3z^f1 zMFx*Ae~>;ms80S1j1pEwHkk)F2@JcYAbn4YnHMjj{#xFdY4RC-%8_BwWKGCDFo9Qe zS@YS<=atD%u)xgmm|K#QpPPS1@^hDECO`37_BO7?)Te$hhD>es{G0A=(ws>eeWpum zVl&QC>+7>g!&!n_i!AJJHDN_ql zIFZl4-zUB8Fxa$D@8QWISIL^gFpR1jKBiGw7|jYU&I%=HOMPZ=@szIoNp~PS z5Di8*5W7KGT_SxqtP16abrW@lHWXx{uF2xHSXpE_$CsGxP4yq87p%txR!*|~t|#OA zM#0J4gsQOuc6(?#>C6m=v?l+bNyd7az|Jqw&Pw0PlsNxLeh!a|RLh2c$$=Mh1e!~z z|7oFPJ&FR(dE`pj>k#m*-n7PE^jpAmh>J?-i{dd*GRGT={nIpPt##+I?3JF;yFmjGe#7)JSLH8A%$e(Qtia-2KVaG8y*nj@^80jX>zL!A(!8a zz92sQZ%&-pRS+6L2#nL`e`G7+HMID_e~HexSE%DQvu=~9zIn)aZ;ls|={7UI2ty0uQq=b{eF$lZT27w~ ztfKL7JDesOs#x?ty$4ByFBr~TrFH46jzX8Xf?NY}i91-p;zADRnaV?#q%b|d>-Yl49WN4eZ5>GkySqBcO=rPddX}{*88qL#{y3^)V ztLyN&B^}S3668FiH#JzS&kX$V*@z@FX1$ak*IG*lg)gh+g<&JQ|NdOSC9t?(w(u42 z2RPijH<2FPYZB>BHA3>|6pOw?J=D{<3NJGw8dsfV`7dE?K(L7|P5rT#wnWIO6?cnN zl|`MryQfI9Qej_b@jD=vn2*mRxQc*`U_^sc9I0}Uy> z$yFSIhE(+8J9rCuQnNj7BtZ^^mWSqCWV^8lZ5P!eRo#Vr1>fZJjI|gUyb06!-Oo8Gwc*+9{eb~$GMDo?V=yyQDoG7wc;ltwo~Sl z+iO1+5ew6^emp5Iy3d<)R2&WK$5a;Y=TZu11!Xr(M~`GmW1pwe8q;t7BO23VdPea} z@(wg`vL4~UDMksFvt_d9aEz?GSTUpzlh{>Hv={#*7}p)6O5%DHggo%tU)<;r5Dlf-V|k_f#5fM3i1#q?Z zEB3a&k$|Ff5}J)s5I<^#e=v`It`Uh`C6lSTgu_HVB(qG-Z%&RCUjl1VAGlLSp>(p; z>Sbrn*|K`dn*BD8O3KXcJNCP~cZ0MI$4NQ+EB`pK zy2tF9q1LP-y8{h-lJEugUSq?1mJdhJdyF}6PoO=CJ0uZssr&4sZHhLQ3SRz#P+BS| zUm1}vox|+b;!S~VuFdG1u)8TDw5TI`CbR5w=o*G!w{R|*pBLmZoKSH)TSKsh6>sBr zT;P+W-j^kVIeQTF97H8YC$othZsWu(0)8MNx#k?SU+ms2kRi`@eYP!1E%QAbBRi~f^6RLw zvgc#FiideaZywxL&N8%}2~4ui{Bq$`F+5M0#Z=8CYu_K6!_Dj=~pKW+= za(m0|Q*UoUG;Ei1dZhZgN_6b>$*;HEClLofVt>K6&#OL7E{M5d=T+Iro87-6UgQt# zL8scQrXY`yCNH-koBBarrt0v)um0?Cg=rpyYa&hLA8Su4lbgEd5{rH%GU z!jaL092k5>@SP+0$~=BOeM-}ZRK}i7U^9VeZ_L^sq0{J7+}b7xQ=~VyuQ-D`6r3uYS%M* zI6fA~+L508KG_5sc385`X6#a=A4?g=V-g=<3fd}#|KZ-LLwG@_Q`)NOZIB>lqQB=3 zNw!Ztuf&v^B(VRG0aP4e+DHmDDw;!V~@ zRvaB@=(aeiOef`%EZq{DVHsMYwYk*vMLm*S{clDR9iokBxEr`k*PCX-0-{(DQ(P$q0JZJ2>?n)tjxc-mVG{fN!oKeHZ^6fQp3(CmL1N{!1vi4Uyj0ZhZ1T zEB1W#97^argWWG$#B`~$>jsC)*G$XEor4%?`oj~ZKjjt_G& z9K*es7l`R|D=*rdhAFOiKI7G=`oDG!H?PN-YuUG4PDTa+;pVOA8*bK+=8$^F>nhTWshzAiXBa$Idf7kD|%Mf z%twl(&`eoa9hE&367&cOI@K~vAN;I<68!X`p#^TC#%BPEonk?1s77vH zTaePaAZ=dDg4%g>qLYrE@qML2%+pFA80jB3^yN zDj;)2rzs+)64572Qw)S|$ZXA@L>ICb*}^kk^J_9Js999p~s*bck z2<}6Sz{}hvcsoMyGdNpKN1I?K*jkXr3J7PPw(eoWXWmJ15vn>2uIsd3`lxfM-Kn1% z9-l5e9?puZ8<92AcUa%?SXa%Arn>i3qBg9PIpwn7!-_}o+3I=Fks;@Qq|sp(B-XKp z2lXNU&mR`!75rTMT$krhT3aiABv|~HQ1S1A#bI`db;S|e@<#HrKgnjW=g9wyVjJ)b zH1@^&#(eWve1GzCR^O#|zaQwEj!ge%EfqfT3FzBXsHWd0Ba0Zx;=~QZIz&j{gf7ot zD%uq#j^T)mmLjR{WvZ06-9lG>5 zXJ2EN3UfV$KrO6>UvHBwM;MA+^aF92(0h>>KRQ(|9n-X#!%vHwCGw6IC$T$ywFCg}<68Pw?vd;L8{<)fnrG&{mCa<3Ri zwk}+mfWEE_dpi*&cAa=VW;lgQ*tH`IXUR?d5T(_Vrat&P941BjSVIRP-v~hnD+T|9 z9;NvTnI0d6ag7ab1>=@5x0=INePkAO2)!f*z0qQ= zm!Z&`CI8%^t=Mqz`ZpH)l9^kJEEa$6J#1iP;(~op@~Y3AFGEa3%E~xrZWG!f;#RLd z+?;~{?zhA;^2BbEu>67(ui}dL;jmJ(_fM>X>_I{xYj;dezwbMyx8YMG-_VN(*1&vg z_9Jk+`4>^N^!llq-VdA@2{_+c$#m3NSenDTy-jKaAhsuKAjd>^r`|RVkeS%uze;CFG5PXK5x(tI;*%*mQ84hI*y=gJNPs!YQonv5)xP+EK^x8Y&8z75 zM?mbqiJ`DtJn;>xE1rwdERO2^32M0nKT1*Re)wJ~!~AT~Gu$rir*#O&&x?cI%qVt& zzs6wq!z;}Bs?Qe=4qZ91+vpUBNg?BjVZdPs#+OQ``UWb;;`<5Tj3NV2IleAY9R-V^ z+rwXBHJSQFI_OcoyWvgAa6e<8`hYH`&K9g4g))LTc;xGHmtgkh{ECv#R~ii3%F+7?o^*a zQq#w%>xMS*`tcws?LFO*updXmM047Bi?-EXS*WgTNVFc5`}rhzCc1stU|`n<}^JxJmB!da#kT{S7(Lqft8ddFpN<9F@*$^q=v<11~pOf04`D#D1QDP58t}(&q`u}j?cu1&F{0V=_cULP68%}mjZUR^!pL^~^HwH0 z*N01frcL#o;inS=lj!&B$enlHA7kv!!jRo!!(fCv<<&@Eq@#V;mEkG$vFwFz|3~u6 z&EfBh{_8*2xJ>!;o6G2@tnBf87oAajDyR=pjwntLKdRe7{CUSp%j!x_?8g)`RI8Y% z;$TYV&}!m3-e=+qLnYqF8+cuF&`Ykqo|@+^(4qe5F;Mdd+E-p~MbkL2|Qf$kvIJpHht*gX`r@dtE5u zP0fCVE4uY*jd>EGFaIu(H+?(kMe?REZkr-+`Wmb=qY`9FP-t z>N8APrl5gHL7(teHB!}s!-H}eMpdG^PTu7*jH4^5hqKq;g7lR7mgB?D2@FY~R6RCug#-!}fO-sxq&AS%gVHS0OM0m3#vcw2 zJt*{a0`8LlA?xOhE1Rt6r|@sXU@l2t$`~BloOLx})A+X`YX)J@5*BXT@;Ya2l8W;D z^}d>A`an~*DPN*`Zf!1y+iJq&DI^~H%9=*S!3<%vTK(6 z>T0TepEp}qu{pjmdss&7fJmHPU3uN|sXxBe+Cx4MRW-*JgtxEJ)2nMMN^+~qmX|&R z&_4j$6ayq%><_b&qd@aZxFX&CWwTX6aqZxJ^#!+Sw8W}#xHWS6@}(6ub@ftU3V98V zZ?>jRG>A(LkDx%mug2UxEkIY34bL|*)wfbDw+5=bscZ+Fy9AJ0KsL=ZC6P_zc*~tz zIiqq;O=;O315H*YMcs35lt%0*vW~RIn5(;IEv;Ez-$u)w4pek51-lmk`hyRo|L zfyCRa>Nqg^{ct*_IZz8KOMMkje$i~*lYg7l!4ummM_VK93&HQ8X1jB4vwF^?>UQ-7 z?PIJY+&XdDc|n<6H!fi70NZ#7*s<0a1Ez};PNn`sQwnagygZVTJQJ+MyqfBoIw9lx zRBca8y9v;7IAyCVSzcdTQb&mzRI=I&=#E1`kGIAj3aT6WNgCQm(T&#+qetTjAP7-? zbtRR{eY&w9hH9&!+Ik*APTDga1bx+$X9$9i5a0d8uw1MYt#QTmWix7)UT-T2IbSqc zXMvtP4q=6_PO`??H^S6aym6C8&FeF6G%?9x)=CeW!&{}}j#jtUv<&x-q2b-)PQs*snai`u?+dADEC4xhTT&TToMXQ{+bY3?y<6 zaE;(|4-bEh@=UZ`(wU^nXA&(>EV^0N=u69P^wyQFs4Tmo`lWzX0Dvodcv)v!F1ejv z@|Z%jJh9mRz#2EVx-_q@ti)F~x309T?xFt+Sl0lw>4M?;{1CuvtIC%8B)3_i5D|d^V9&H>YafsO*T`?2sm}pK9*0_j*Ay6}j_y;qw4_?| z*);Mfh{;EQ&ap=5c+F<_gUnvI=dl zjafjys=1-iNApR`Ed*-!AuV^lHQGQ40XM$h$Q2j#3?7F%(ij(5qvtNyV;nhc8EUrL z!AG80ploZ*+~u5(omW=RSRq-ZBNXI`g_>rK1gg4n>Giv5iZ%+8Cl=yD15s07mii^< zX^E957UCi+N%8>Va(`LfjcL|x)^?DQCzg9JwvGVIk&I=f2C?q`W{Y#PL-NEz=fJ`O z?JHa9(;Ou==%!?OVoRTHxdGIDLO?RfN1j-aT%p&DYpZoV1*nHSt^do%91A*caJU)Sv#YyrZ(%FW{XWwL-ND|k(Ck)Cb$V`0bugPf)-0xh~`*aEA6rglFJi|-h3g3 zSJV!H7yx;BVj&htXE7bmOWU=RfjqILEflgNHtT$Oex1*D0OX0y=L%_Hk*yZ2WPz_d zvAJ9+^hDCt`Gnyfq7U=~Ax|vSRf10Oasf$skGX)m$kt(!;*bh$w_5*?y>|hRvbY|& z-%U2j0)Y(*3J4N0R1nlCs7Sy@LP9_;D@nL0T2P2FB2d`XsGy6R#U!t(QM6iXE7VK< zx3-O!)(95RVDLgMC@S93Qaf=`W2G1&vfuB_yzgc~QTzYC=es=5hrZdloVm}OIp@ro zGggA1$R$3tYia2fS1nj7#D~sC{tPD~J$RK=h98-gh6O>^IG?B* z7=^o`TJr2snn3Z2E%BLks6EO<%UGXyLqI*JaC!7YCs=FT2tdu#inF z`Cr3f{wn;%0PNWL-8PAzNLy)bPG4zl<-6M#75k~Qh#fD{aypB=GQJrhRmg=Ca~Zqt zmh68yw6V&6D7(a?hvP!Q;NnFkY&Swn4*}@uB%ogGTpouk-$eU;#LL$}jrbSFZKYUU z=)|Q9u7W6Uq9AMs#O2c+2aEuwTIo~fExr5-O==A%a%WN@0_e568KOp$^-^CBzT$03 zP?AkrClw+fS%BpCj9R69{0qyUbSrK8yhWF50%RcZ@+H?K0|Ml##fyU#l0hz!Ph=h1 zCqBz7y&-IwW3E_q zxq$R1dTvrS0-BkiT^Qyn$dlB}(j-zu=O&XP%~%ceqA&s*5-nq^b2Saiiz6g^+u z^Qw&7U0l9@96C4q?C$jMB<>(ze{yY0hcT;LY3=;WP1XaEmDVnP1GTHH{!g$7`Su=7 zpEto9tz2d8VxCq&bd7;iuUWLpT0VZ2RYBUo%`2^9z9qPC0lwn#RaP}=wmrGZYJ|<+ z{fAYS7v5^l?^jvvqgGiRe50;dWzCn5Jc6*{ZH45;w;U#M2sGlJn^&QIOnTA`e}uT- z!aDH#NWZ8R?!_F4tg>?X-F+kYo8P@8(#Fg+2PlC8VzA>*{Vai>p}U(oY#l zLDG*Rohxv<_AKj2GBM_smWdrnh}Gj;g;?&Kl3)K15n^R^3bDq6A1;L~xMN+Xh(L~v zda|7?fD$X5fBAxCO^BW@11Qi5#8x3PH3Kwp(V_)Q1&HlC0VK7N53!ogX0#=0xKO8Wr%-1Oa?a~O|MI!Tq1nd%*;Rx(1)-(O7B4-LPGNu7d?yT5{=q1v;OhBEPWpuCSP(! zXSy&HC=A~w;^j+*IZJxJ5Gl%1fq0%+`H~^d7FMpX>WbizqynQ3lL{m=+Q}K6lVG6_ zXS9={Mkk;SXS9={@_qoLJ?4io+GBq>qkZnTG1@L0om{;ice2q(Vu14xVSpzygjsaF ze90M|*9pZS9*IpJ*U2U~$VprBKRJtY{{=p)wr26M>HM!~qDPL0N<9MI-N+{Pzom(S z1Ec>H-Tm}{{~b-_vA&M)BJCkZlh8v?&?m|N8rlx^SL2sH8%f{(w{+zH*ZVBJR3G3r zNS|al9_gZl(?Q62sL6jiSuLQ!!F`+a9=(24@` zU1w>>elJDU=X)rszTZPp_4{s$>NuBfaZiYZR`@oGs(*r3a?f4AjiMTmfch?q>i8c* zQ4Mr!_I(sp_79+_PWXO`YS8ynR40BfMV0ft6xCqa62A4^CYhq*j1?$3w>c6;b<%%D zQ4RT_6xC2oy?hTvb+St=eb)iVFc;{%C@PLkIdS>=({MYQ(bBaLhncv)oCuw zcTiNh-%C-Q{(ThH89$Js8j+xtzKfz7nSlB(ib@lU-*a?v)(@ko&i>&P)uLss^m#@_Un z0Y?A;ctacToL?kV7Bm$o`WvF(;462eu3gKQO&LG2pyG;!^SfxLz;`QOMuV=Mwy1RR zl7wt5pTqUkZjKC16b}*^jv*%V(kn`?UB=4@_C_!!K{RfG}9I>ytZc|KqQ3{ z(qoAzIW1VQr~onlf~9_)&6V(>#!n7YIK+~sn*ef{b`1m58DeTZo+E3{SPoTxN%Vs# z%ygN56*ZKsaiLLg)x4$GcAbBfn|mNPPR80Ia^6fcKNrHhNM2s$0Q3uqtuZ*nYNcs1>`QhezpXp${hno(+$GdzwW z8|11$Nlg|n>cg>|FUy4Jhc9kJ(Rj| zp#ZxXxWA&_=l=6`RBQb|*MBnpdr&HK644fK9`Z!XI`X@C5mUQ7@D8 zfZ?ZczoJp(=IoLlv@j`H-e2Bi$KdZv^hjOu3)^|s&S>z3`G(NiFkcN{W+}bIms^IP?+L!; zGw8jUjBq)lGb?K4T+UY!wf5qEf;2&Ty3g#WHHNQhEMa^deEm_T*-2R7CsAt&Ulrf; ze3@u0ZsT{%T$C&(N3EwPMXl_U$&*jvU&t)Dh3^r*Qf9!@%$PomQWk9*MIH7KHs?{Ajj~}{l*0a_c-Z-xDoW^dA&&4;!{~GsR1rFic z%-4SreaGip%u1uZ3+a=ce11p$TJ$Sqhm$_fI6P1WMl`Q`ILy9BpYVU14q*4gbO0Mf zOXIu#NjyjI-+Lz}2<}g)en2bDPs;ZW+)pI=rM!pmuiUSpVB|* zJj`0fxifo7E_+5tbfuNg@2DEinh!f$euw@uxL7uQ(HRvrkqkLogIGb1M7bHRS1S4R zzrrA6v*UAi**~STKPKb8JIPN*)++o+sK2+~Ig$Ua-lJB!^-fQ!x7K;Zf6K2otLiAmcwb@)n;1zl9 zqWCJg!m);k5wGY_)x=qdagDpFBW_%~R?3Y8eJ4mBAkaq(7_y0I85BG1Qp!$jLO=FSS2I=N#cW(Yd4m?a4? zfMimZ?Y&Hn8}7316jEF*%jZs7Ha=#`zJ2tkq?UnG$o|Db}}j z=AXH;BEjk$sp6a_F24MpKQ2f`5&3aPN0-KZA6y9V6jiR`u!_6qi2Atfb8_wgE z1zdYQVWRGVZkX#4@2@9toos};1J$hZ*J4CvUucJPI&DqryGgoZ^Je7+^Gj4K6{Z*I z&o_;0c;%iFwG8*ezEID|*axVz6*{(dfH-3Q$k>NfwxRZdcoixY_T`X&Im6mFER3iqk0hT3zyOMAZ>K`$8#8Y$U3+ zIm|+}dm(p$+NMVLEgxFMgNJXJ&~*~Jb6>%Llu!>bjrp8ITeDk6Ptlws6ruHT;qX7q$;^d2xBP%ZDpP zyRvX$eSp~P%A?do+EO0fv;uF6Hv7nY;vqK4a}9Y`W~q}pAv~2mRi93;_sbT5vn8v; z)9xYdzM`zKuFk%qp4#EvS9Fwir0gs5XoqiKQHpkC?JM$XM|PqTWX(L2F*Mi6WSLCO za2E~Fz;T`IRjd*+ow;<^&ON-JKCN$}U8v?fCjHA$%OknY9lcl z4lyavToaW0v#}JpD-SjaeJR(`g}P98{!w2?_u9>JL(R;8z1C%^bB|kU_En;0R0|^x zTqDJ9;OQ6v&{jh_x=}WEk9ZA!?bCAqcH%qKb;@2YRn32cTUJxwG9llqf5v3$pCO`m$8&m~mI>prc@1Xvt7eKwx=t#O z_Q*Bgy8MA7J!TE)%fh_5nvMRSn*RsgVJF)M>t^!GwR!+VYGdlBSzV`Ha+5e4p+LDk z0h1C1KIhHUuR9JnZ>EZAN}drJ4&1}+f7k*&0hFZrkN4mX>Gv$v`|Mp96e^KyU&Za> zC6dmxf~9o@7hzte>u{`{9(+DHCRPx>{-V?%&o*_5T7wGa)%C8yF?t(sor)pJNrmU$_RtlH%O(`Bq+2fYd z_RUhpr@7yn2VeCEN^&ymW2DOZ|1Kyje7fLT=dam zu|*-)tmJkl1{}TW)}QkH$jzpl;zKt0Db(AlwGouU0D z^f)H*?4t8SFJQnTm1$mx9oPJRsoQXKr*c8L^Ps+R5_dQ#UQO-x`z8u;ub?f#8dr`Ka< zpS{rS>DSlLfVJ;99mO7%YKK6y&iFD;k(sN^yx_{zCyD6K+hqmsuL$@p+ilUxaWu)@q#w!rC#k|Bb1@YG>L}^ zzynDDY}DKXCvB?EN_7>0h{=6rRWDJDzv1XIbs~(wYz?OrkujbJrajZsrO-DdfGNye z9AE`yD3cO?O#V*7KMCBhq=a&rJl0Q;SciV=E~bsxYa`3*CgL0-8D2r~T3$&`@GSe^ zkicT&4Xe@KXyeV?8Slg@+iFX4S_;@R!F0a^DFP~1fD3XopOP0C5aVIj;aS6;)%I7Y)aQ457-9SQVK0&=77RYX zZ*tEH4p4E-__5%7MVdsAC@+qN#UWM=cy{>UOlj7W_X>L0<)8<7=)SKo3z-2O`wJbr z+li(7q;00}au4sjrf>9JuG@Eu*kawj%hj2T4vY-?YXT$Pewz%0fN&?|9FpjO*)y_y0C;n$W~D_cP2PpCs^zx$W0{3JtV=_&IFcDa4rcfKKdnyv;f@vD?+NBfu|-`K-6?biJ#1%hxlNaI@Fv)J@r6 zS9ZWoSFd3e(>}(&E^Ao3onBkjD;i&s;iTjxSF=NXcr0^G@c2j4TfM9sx=LSRo9|<> zug^~+x0}f=zqasGiO=NO*4n~-Wp;X9{&;nP&@Xm6v%spv?&o_LLu#gRG8pO7mbJ>= z__2SUNyQ!jD`*szu1+V{dhaGp9JA3d;ORWWMkQot3m!#I3A))nro48X&&3LzHdv-U z0R4?g6);JQ>O0G0(aA4E*lSYw0yJuCSNWJlP9qU@nl7vr4J- zxJGZfTcPZApj@ko^e3suQMqe}!^?YxayAzcB zTQ&<#^mf>HOaD>Oy_lwi&gB*6ES}Z9(Rog!rtnMsh=reE5@@>US?p^_CO<1@UTTi~ z>>_5XHYRhfguyRL{2AhA|7pXX6HP5^A2zdi*vx#)t}Gnlu?nV!#4<9kZ3<66kQ^9V z(zU5UA0H44+UGXXYc1n6;Jc(*`z3G34_$YGL(et!k!tv(Z}V3==!IVh%jK_r3BT~U1zcHijMx=+ zw1fp(o9+zjs$OOWh*u0dY~2Zude~>4q8d6xU}W8?-x3m=lYmmglG6#4PPfucCq1Im zEtYhe4%6j{1vqulH=rgGlIZwJRG5NHRk6sjjkOW`RGS5A;K7ET`^NS6gu1cA!gwe- zQZw@$yS%$JPun36foc-!4P&K$ycr>3hK6Q%CiqNWYwrZFXF?`+D*IsluSM-2>anoM z)`tM$QI9>DsfMl0TCu*^3u{IKSU*#q_}F$RrTB(@ibq)N1$a_3;P&muodr}Pz%w#aX9_XbqV5&ry4QG3 z-g-XhyrYu$crvKad1sQ{S@ah0t3w>mgZ=nh#g z;8wF_;$d02VmJNYePwOvXAU%SdGlJ#&ThiUY2;%bUI})Y6zm$qigPTT2V_zjQv~nG zD;~FP)oaU-7x9I`kbx zB9+w$jH&+RTfAoUJg6i(+%5~I$fe@ylrEN;nO>6+F&_1+}<;5p{sS|7U6wMBt-Pf5WoYRVvw zwQi_!FTmZhDx1!9X@UtPKa3{$J+MY7s=J{#a~_@~BB`K7_d?2bDe|rji(R`>Rxmrf z{z1JD9~)k;GY<7wS?-O;Z;Y>;>a$2=XzT`w3wEo~zv{Nj8ABsq5o9JC1^bn5myBs5 z^DH+{d1Y%3iFA#=Smlvi8IzTp_d=bO%oy=@?XSe;c1qu0I-SCNz8w{8=O{!-sNb;G z*ESJG7vX*N=U-!SvH}(3;M{#h1H7RN?cU-eYU_IkWEkhw-`P&q5O#k8wmvEzBX#vU zQrA1B=(P*CB6!`_Lc#UoheXSN4GQFyW@N9QvoFW`tjnjP$asA=BhqF@De()c zRUehq>O?k@=GK*x?h}W6yO(Ko=upXAu&l$zYXJ?^j}dj#Cnp?U)fV-aLC|wp+t#Ct zKIitT&Nbsn`{? zTgy177I5fdL|JdBhb6czk=b@;221v&y23bOVJ|kv`#YeotIrwE7!OWrA=vBcc#4{E ze(2BAS6bHBiRsG@BK#Uj*wVOaHzGjTAIG?KYoU;U&BgVxFNM}KEL;hH^+nci03$#%=IdrJaIUir3O zVUu|JoZ3m=mYPJC^-=Ldx3?7TWs$YX(Z-$90JF%hY?ehPes_^wwTVS`3yVInl>R|+ zWEfr}6*$=3dNz@Is|q)bBt!%d6o(*f8=gd}<7lqi1q0}U=GHiiE{4582WjU4P#=|| z)k+X{bL;yO&!Ze2;S~pXi$s0NOh{U!g&mGe6=pxYUYE?iAdd&?g7-zFdf7oBcqeIi+5#uBH`F6C@r&R^~P<+SP~ zHU(YQ)U1wpWc_?9BXcPt4lT?Iug5%?< zrd#~a;g)3uo|ThWH%zj_Ca>g1ZCFaFLnxo$YmoDTiQL)!2ml~((-Y@U(=c{e#z@`P zz$y|FLxxsGj4w^&*!{0}#1XQDKNKOn+WA=$!!wrZxK%tr6`Nb%1)0S5$n?KKW2aA8 z!1~0~!KH?dEsr$4LOE7&qBQEZ&PH8~xz!FkvV+L@>TUdW*IH~~>&tWxH7MWkhq!u= zxxj9mrv65Gsy{mrG5f-@R#JjpjX-!DFUcn1RV@jS^pU2wblK-g+1&>xI@Brq9bNX$ z{f#?aLxo3N=G)zrnnlaD-9F zt8UwQAl_O}>V2HP`lBM|j%+Lj!U|oNcY`++l3aJbz_xgz$#uTo`nvKmZfv&wC&`Nk z7Wya3{`yv3b~m7&Q@LR3*oeF*CBIM?rrZ{_9Z_=Lle0Pl?$!ZwI|D8wAhIz)083AA z)HjPqo@B^!7&kLVY{YGPsy!Hxm0VWw;t&}l=Seq&fejUsPQO&xu&~p)1m}pi*Ic-* z4*4pBRdbVISSrk{{mTTiH8u%KVSAb*v=>`tlO*D_L{1a%?+f3JH!E0x+YSq?-CI_B z-njhWX^F6ai=hG%w4?$e?xu6(W!Sf}(}cfhQP<;jfv8FCO*$*;@f*pqP3I&f=e7B( zw^QQd9@r3F(eps#5?v$FCg`!SuDhw5H+W(qbpU>pYD#Ye)Fo236y za2Bl-!9lS$O<|EK|Abx?gX1Dld;(FXR{W^gH8g6~oqtLAbI-cTIGOsR;xoM^6_4O4 zso2~ZJ3l%07Ce%ks#KY9CbSpK04)yr74J@Yhgc`XFX3|LUW)xVV*}EJiP7JQmoHkp zbU_g|kVK0gn@-mz_^%eVg>425APbp{NOM}$lURSQjmXq7w6kkheHa<* ziFrnzYe(JT>6%rHQgz%hopD-ryA)Fw>-w{)tIPtC?U_2txa9JQY(nEMBcwG#jEFp2 zVVoT+1dXXb14@n0z`2UYrfh`}rCFVgGoXGyfElw5@2FJEnmMCP-Qxz#)B*OD6(F0J z&XT2dqltP>?Zlgq^CU5cQbJ8sZ+AZquNUefm2;qGwQvyqU+Jj`QxUxLZjzEOJ28!Y z7w>fKtr?^h$zUsG(_SuYhsa5Z=g(HnK%CG1JJOYV?=Qb+(Zo_$;$KXT->T!EPsF?P;Ifs{`d^%&n|ql|TlsH>x|0s5+(UcEX@Fb+ z0+IE_k|lZt`*w03RoO=Li8{KcM9)xtWWg6q9#)i@%0m`*LGiF6UuwF3aBYSvAV=n) zUSfYHm7b5_chudS)tAI`E`f!2c+Ohhp!jy4vw)Zc&-q@h-v7Uw=S0xGh89=||MbAl z0`oSj&sM>uv;~t?Li9XF$*PtYUut{h*vjTXpxz5T7-34+B?HVemn z;Fh5XmgHd|S4)e^9u&^%#CW_fj*M64hN%0M`htCHR}1D}v*Q#j?nDHQ z*66=_n!kUPz*>;DLeskNf{536PyH77FAO{iR3iJ~ylLO6Jpg-x$D*RuCHyn-q{L1P zKO~N?8C!xgM_)1%I=4lQ&SIs>>##CJ=Xs@2BPh#aBA&YuYdlpE&xpfgyu{M#g^FX$iGq6Akav9&j zdG7^Jkf%`SKvfFn5oCWWct`i7gf5!l;!ozKOiF{vM4QK;u!+3v5m_IgiB|AvSauU0 zkpQ7uhJ)mVU2t?^bQW4po60NC`-^To(O>-uNh8nhk9?fEbZF$`LH_CsK%bNR)#sB% zYY-&2^5cH&U;gU*0h|=Pv2`wC;jwq$Tql9@;8!Fs!yj$J!FFbt>EGiK{VK?R>B5xI z0NLr@g&%PC2IGcD?fSL{L#JA zYlR(k;anWW!&8>gqclPIzZ9ko&&Cw@Nl5?<_kb}|{Lu|=DnaN5jrybiBY`@Br}bCH z&10rK*%=uHscV}gktTz_s;}4nJ@OipbcKZS_R_E3;VI$vXxv|Y5dkjS`X!r-Bg%d7 z^aNu--uZn3>ao6P>6$kjY7U7E^g(k-#m2fcUxTRQNKh357^+pyAv2`np8Dec$af)@ zeMo^^#-`#{@)E9XulgTyKn5(Jy1+aNY;LvdnBwmxz^Bup0=(-cJ^v-L&0gk%OimB( z2Rr2BRY(nVK#mhI#|=2s2{_*k=;8#7b_0A)z)&~9rAhk`U_^8GfPp|4Mnuc4IB+Q+ zct=YDETjsN;x7Uu#Oz0?@1j-AdH~Y{D zS{2g~Hl9PUv*JQt&V|y^xcpEwBc1e-%@4TBcI~zmQGW9%WJIL}Pmic!JaDfH{ZRxt zR+&1F9S@l#Z+K@i@ly0$;(Q}hcEZ*#^!#VBEg@X3Is*c(zU%>6e#nf**B3rOV{}W^ zW@&~TjATlGw62p*QU64sCh|@P!TF>2oBY&pFbrtSU#5CU@eo+37R6FDyKn1WQr*#J zid}88XC+5g?6pFD>kFTtMCzkEQY|<}`i_o+1S_i;%(Xt}%*LF{{H!dAlNdp)&gAIB zGWj=|@cs*kFZO90+!i(JeHQd8DU@0dsO)8*pJ`w39rpJN?DKv0 z(ZgQ6VCM8nPe7f=Iv0jP(q5p+&R_nMgw3jnxl1Ftxq|1n*T_V^lMWjkM+P%EPUeH- z8(Eo9D?0#45gyl@!zC6x*J|juSvq(|mI&!IEgD~b$^^C!*$MwMouJ;kpGN>ND6Cog z9yH{M@8W;8HKew-CB^@F^M`1PSoMkQKk-lQ-}BQQiqtAjNfD3UT6q^ zBah`BH7$vi1>KoqhpkU=t7Ab0lxD(M)_()7NJm94^mHT3C%$Y0IGo5M7V3jM|E$&gMQi6UFvj*8S!g4FT{ zg*bt0TEA3q-PohJN!8}Rvw7x>3aPyvHBHO=>G*+AR$u(QuC{Av}VK$ zwNtcyfovErj&U~(mh}>|0A`#GQOi;3JdR2`I7njAXMy`pujgzW@D%5|N+ zErsn|*O7PK>&x2K-pdqH_dF~tP@BsF-S;3Ec>_3lLVQX`=s`Q;_8|I5m+d?l2lM$t zU8xEeocjBMDI@mPKCVIb(I#QTKAgY}C5U}F8P;gByCWa*R|}hHGSupHU7X{JP`bbc zXVDX9vcN+lm zmU5Zb^u&jxb=5GW7omu_x3MI2l00`9CZG(>_lufWUcW^AtnOy@dqDJB8LgvCKJUrF zvRemkB~|b~m#Kh>Kc<63t7WQ@!*)EZ7?BAY+#`%#@YI@L%dbW?gTfmg5_A&mi~dM6 zx+5KMxdGm@82jk}$pjQq^s<)%o zFkZd=71co#2b6lANjoMrbb^uJN3N@|g1w#Iu27AgpvQ~>IdX?rwiPvb1O@3VXp!vz zA*V61U7BO2qWaqzx_F&1dNMF|5t(CSyrJumt_AEXCzrX~^lt!F+fNg=z}ajYB;gm8ZXqaD4ZE_~4Lwal zFOkrK@d0X(X=>I-f+tAk!?y8ab*2k$N%(1mC#vyjE+HO)q#EOMGE)mCQ;}2L1b>(4 zgQp}V_^X6$BZLIQN+#>vWgZkv4j_;0C1d3dl}Ht*`J;^>!J;@ip#JEkI3t^TX`+H1 z>;kPGBg!!)udq(U(E~NG$c=~OuW)s2pjR@>^>xTQ$XQce-Gf=6lS%#~B& zBmZu-K1!Opn`MTIIH$*!BJhwE-cpn$KXvyFkqLaWkft@>obX1C@k4$Hc46Cwr-r;O z-W=YlCLq$D=D+zbq~fN~_2Z(}YQ9JL*73cc@NQi}D6F+dHLihR{fFj<Kt7nU~VF8(QpU!I|KtE;_)z<(;4=Nl2 zaMBsdfGY%H5A4b=eGHUu;%p^Nhi4Ej& zlD8k%6yUyKS9XJ{Umg^Wwsh@$&T%1&DEl*g}Vpvmu72c$Z}_`s<1_-;l2LhPtm zl%w8DM;@g)p^}O=BxULsQ{_aginvPj3Jm>tflq*fgxNYK&>8i+E;Ood<1+LOQL39$QET;Vg_yU#KNhiv;-P)<>txkpN34V7pJglWfd0`xpbmK@A#uG3FeQk zC8@i0vBh_B7^=`o^><1RYn2GY8&LSOb&f7EIOCTPgO6T~Qq;J~wT184DYb>WbWhb5 z?zYEqRYlq3xR|iV9@h(Lo;|J)B&IzM_0)EITsEX>ec?OwTtzl*cT0DrBGYuQh{!N( zi&#G^c8dM)`o9LK%~K~*+b;kyI41PwNe=GRB1 zF={^SQ*-OHA;_>#95PIwTWEc!tl(fh%3I3m<%$!&rDlK5U{FM2|8$;6X3Y2sv0>;o zmlvK8IX4YqIIj$|V!4weQ)Q`Ss^0Q4;6<6-z)gxYlL45i8s>KfhVj*MkSVBgXnKtC z6{`R@JxL#4LAW;FPwg3`R|J>AH{G z>V7Q*H$a~u=Hhgobqbw-`zB_E+eh;LxY#0TAMtiPO$=fT+sv+be*+N81`|?Y({m~bg>UfaOmzH-+Ynl`l{RysJm5M~`Uc5%4 z<>Xxjk5kG@Jy{(uba7iE!>aOio<{oSi}k{mhq|f_%Qy^KNah-(wyKH_{TOL``8umn z{mq5CTcC=7ifoi|utMpz+F|Qq;h@G}-9~WiOcS6UCI`fdX3)^$)sFIY$4SvuZl(p2 z>E|S~pOj2tV~QB6GIY(+j$q$?9Cg@G2X-ggm;iY?i_jOf8kTGdbtU^Xsv&NEUo0iR zwUVD(tI$S~+%3r^>%pQNB+r|uU03Si=uSz5am(O&NpdwnB3kJPpc|3VNXQnIj`YC3 zdAZb0BxoE*_0UrHlZjTX-ID~b_se+5==7G6`SO+W69c8 ztv@5T<(c_bHbpyph}JHLweRj+GYxs|x3tX-?w4FDcp;psxzj0l>^60~N5l=zA?r}7 zZ?l>~mGl&M_?jBC5>`1a$dby}y|iwaRR3gPS!`!VHpoP`LdR>ir%xqs$;%ZZIye(d zquF4&lcWVP7fMI9sA;S>iZS@iUHbha8Xs`>ID-!KN`(oo3{Vp=`A z2hP`VBD8wk*GT&CwOq*S;>r%wZ7ufb+++kqUn6^6{q%1L0Wzp& zH4dZaukY);Wh;a>XE8+Y*~?^x^@PARW>IdqLeH>|h(9LpK=3Hpo6BhL?Q>-u?_lJT zbV885ua+TbvSe89qc6%M>+1-xf<2IkL~g+uUtPmrk!wQxxbPl?Pny_cD*8d3o~y5nPm2q)c@; z7Fl6hD-(g#ohO*s_opPqy4R+&G-QCHMeH&pmMIHl!y|FEu_9@k_=u#5EWxDX$g;V0 zBs)n=C3fpBl%4=mcMTMfo&xf*URHWPfiG{XDMd%Zo5TG^^cE^m>4y)_j4aQ|WSZ6y zCyY(`hV5}RhAPmtKwS-#t)@gjS)NzuGQHJv(0Ppf5=lUtRun zyz5S3ZKi}?)+u`ESW^7)0#*{j4g~J5z65maCP$33{1TZJj~(=aWkN&C*AAb?A#}Hb zQtZE!>fPPW2OaC+FSnJSXd+^{rA(;vbkc$juOQoIbt)U4CN*au;qY1lF;GK%fiJQ- zpGC_wu|VTOM{5zGD?^*$I?fboICNU)Qb3SpsU^qCshIRwvpE%mBG!b9Y9wo8rA8v! zXrf>sO@Xw5EAG2k8u!}Qx^bdF(xSo;D_oe_k^w5TBt$3FS7;m>iF#iKLkJNhc`*-5 z%u!*uNfCu(;Ds`WE2+#VQL_`Cv=TKLkASra*pH1TTYJth9(hi*L=ARgbZ&j{#6~4o zGbPj={==l3N^EkRSI3zGBu{Tn{cSikC}%?&mxpjre2dnJ)x!? zI`al^N+=XwFXlfyp@n)>%jwu2D3b=%c>00aR#usj;2mTG`TQb@{0XDw(w-H+k$!Df zZ|E4CWG=!V9(x~$^35*PhBTFk-uBleZAye>T+4`q}`uEQAF&=GI@pB(4iH!eLZnEALTV2|?! z)VTe0{7dY)H%lwjZ`aXKF+tyJyOxK{_+xQabaS-JTseKP9 zvAMM!+~$(nt{665HpO6fT^IkI&#J9lzH{gh6s|x3={2i`uMh9{$XQ_dhHY`xhc)>v zw+8fjSO3V=dxq10`jW^l1_COsi+X8|zC7<-N*B97dST1n0*zaesW<53-95awyHjVH zsUE&=4kn9H8euc2rJDXHr}`M&rekIM+w_d4%`&zWKxG`NM_S|^+y>7+R+d|+kH%+t zv&Lg+?sc=v4L+0pI|b&;fMp4outHfOKL)Xo*N4|j4NIXweKOCO8F2Agk*_$I!Bw%~#Mm`V!aB5g18P&dtifQHmdJ3*Nr|06%n}{5 z`H+}YgeV%slRN>#>m`*H%$56%;zUO6tq~b@vpQMl^7L0;?xSd^Z%kO}d$tS?T=XOk zZ!iL{v7qNqW}@C$;L|qZ^odNPTtR<3c!Iknz>j!?N4vhqJbL##Fju#j359*Vt}yWd zwT)IZW7}bpH}aL|xEH>B(>50>^6!oa6 z5^sF+pqwH0PKwAJ@d6PK5n=Eq?Zf(2cte0$;R$t*Y@kQzEbrr&q~|r%H*epYqO#SGYGf`St_x`m%TGr|c%;zK;><74BA7mK;DgY)|a`mclPR zW%eqKP#%&k0_jR*MY|R1US=09SF4>MH?#O{iOgEmbSJapO=kO64Pl=7g2S=r;0gW6 zp0a11NF~j3x}=Z>Olj9fF-^#1LSjQSEUj-hfqx%Q;L&0b0%IDSA?hnC(+Nx=-XGP z)b_P!W#LGzo$alklx@$-bVGe^=(y}a{kWV!{iOc&0 zTUY*27?dMR9GIkwdeSy~Cl)Vfe&H31m&=!zgXpkJ)+N|2vT3*2En7M=b~zR<&vF1R zf=kR&LyXV=t7i-Y!|eMfYX!-=tSUh{?&YG9m2}h$vfO`Cuq%Iupw;q1EQQ4!$h}=P z9-2sY>C=lH;(_&Obg*S{iDfnExp9~&cY4kte@>yV4EN|2?cDaYn?-UJM}dwh=}IG? zUxnoAL{1var29pYUWMkGCz@I4R_T15n>(^8`J<0|4N%iV(k6fPy$H9Y3Jn(~RiS*t zALDBOMV(Ac?AX@7UqadLR=spA7-KLcQ_XOboI!XIz`5>pe{>6(YrjUr{-_9@JN=lij}G6!U5a-+Ztb!{R#!WfLlxRzeV4&y>WdH2q^mO? zqcsa%`0W1h$0`1ZNY+gYmWx={1XVz|s+g5ize5c`s1Je>_q zy(Hf*ntVuoQ;WvCjZ8UGBj4qc*ddAj`$j%yTC93VBOk;wCe0uHmD3H5YIx@u`F4M^ zy9Le380AWw+vCY&v`lJw*ud&Bn)-jH`Yl?2IcX~Sqknd*EyPVq=qzE7I#l~KD3Xd3 z338{JD`@|y4bCT3V0H+MD*qg=<^ufwzl0?V$c|o`>;U314KW@F;_dumGk2c(Fss|M z!j`5@?f6YE=w{IM=_y8xvDBx`f{C5Nod zKgHE0vkqCCBUq|b&7gtT(S1_2tX92N?EHm@`9fWxN~l<+v0dRxiMR;gp(YLXSgrS% zPILrT!GRTb5s<`50rwCWE&{3-6^kuK{y@kbgdn)PjaDPLJ3)e0YM>`S)y$Y*LKYLE z`pNxfF+MQ(TQZ)a)2#o@3%@yyzcIvmlJZO)zJ-v)RyrJnMwGcoegOT?IkJl+q9+oK z83?ru%+%bvT_Q#AM3_jCGZ}@gQ!BM-NbXHSlf2~UO(=gEX^j1(LrKVUbhk#T#-mT+ z&Lvm>Zom;oIZPLbY)w<6{DSu{fP@R*Fxv{w(hO5~yQo6;cu_Hn6@t_E6e7#@e$Dxt z*%eNmn;3Q=L0$rxXzQ88N-xbUmA3wq830w$dG_!Yid?VC3CQo`DtCs)L|q)7LR(ua z$TSBG&>w8N`XtB~^lynwmPN$aw}xd#B(ptBU4csPeOmhyYr7mFr^dSS*NVw;vPbk_ z%QrNlFGXjD$K+VSvAV~EP02K~Gv{o`rIUygHl$e%V2a23;7tv6)9OTH-bH~}PF?OJ z#-Ud@+n|!B_>|D8QXT-mew{g`?S1vvcC-n4LxMON*+t!`?Ayd@62;MzoqbibaYa_M-$|!$L^ho`)KVphM=zS0C$GP z{;)p=9p54MWTh`-LSLy@DBK;n*r3+POuciuFo~sm+*vH$m)SR*Neit8kN6dHROYYl zpFMbJ>GqGb^wj0k&Dq$`FLmqeh%`dyXamWP?rQ*cZ7Y67rows;{E5u76+pz=9Ge!@NzeZiU|dNSg`o_nb7F{k9NoQL@DSL|-bJ6T zmkmRZSzv)%NwxbW(y^M^cSG9J7gAIz8SF!#gNeAJB~*oB=BWIxwa*J7v=4ned&(}C z5Zbtc%J-vcSUJ%wXits02C5F_rAm80_FC#C{zzWh2!>C+Lkx$u`ndv*Y7MR|u5xhZ za=QH?EX*3O9FmzB2<7qR7$$TzmRgQ>j+xjSIQ)fo*>#_}s{!?ut6S<&Un&p2L};>G z=S%w~RQH|8$f=epo+zC+$y72iF;Z3VbmCLPP4_Z` zz*jS6ldgD-rfp7N73USIP*%BJ_Nfj~j~&IV7cq|B?H|A=7e$uSFDAjP<&o=s)(uG8 z)W&-_R5X2GyQIp|#KE+Kno8d%9U4|eR_sQ8VPn8poucdBrr(#KdS)y5vbpsiAi35n z;35+Zm`NS$3wNmn>;uiM&BVvr?80`#dI>(3GgCTCCYYv%gVUwGMRHG8m>z-5}AJ$KfT*{6JE^;XdKIQ<@~!@{fdgr2K{W3mF(Q_kRxFuiz*GT zHwB*WX5*@iqLw;R4TpynZPuLp+7^^qjoK&1NZ(L{hzVDnYX#G!^n42VK#pP13yjaE zBnr|-YP#FoOz&TPEJ7?bWJlsmPVOlSKk@w6(XR3 zWX6PZ`Gzmzs&$$)(PjP2wH3XueWM>QmVP|g%k9UhiGKW}gc(cf9G>W&vZd~VnG#*u zQ3bj;T-Q-jktQ(Px(?zvR#aV z&}Ks7t2gmi3sm%-mAggKBl9tGJr>T65>V#jXK^)qiXIQHBVplI&ml)DftAp@!Y>o+ zT-M!+ESs(5VxN1Tv?urA^-ap20G<6ZN+!L_1-5$YH+c~-l#CuDPV#8N{ zB+5l5%O;6X{m8N+ipW4V5x{?=Lo2A7;EK@=Qk2+{lV8=FQD`3BASFnc{3?%xx32Q_zlE>lJh#WeGxr^1V zi}#Ae26Usvp+8yZ(lLmb>xO%*;?N>Nd*{DJ?>~PYmkOXE-eS{{-R~awwSYKkA?^^& z6?V*4X9yrcHxP$Cj+53y@WM*(tt;&p4}aV>m=^vx6_MhrlgLWFEA+j$pqQ&FTw!fd zYbEg%>=nw>C{-YHS}1$h-?g^4dn07yGfCTFITe>peCi((%O8tiOTagdS);jec&NUp?y}rxloJ*+Z z&H^0LCv+C})kP`i96=*3>Zb^$xtEyt)CRHG&6Y2De*s%=?Y4$!*Y9zdSoN~)gm$Q{+l-_LMAG_ ziaH$@MkdhbOb#Y$huS493hLV4*{W6m33`pVq>0+`EfcjvjT8pKnW!>1+ew_D$XcnX z@Di_1mnnEU_}j4y!x(aW^Q!t0>xjBjq2(NZi?CV~P4ueIOaLEJ`et?9P{1^a*2iW2 z`V&-FGE-H(j&A?dP>fmBTw*3Ib5zZiwS}tl<}5Nz3O`T6(U};=@xP8tiW_X=z7Z}* z1f=l|OXMfxywiSbi#G>-WV95xM7(x~dmZh7YsfURd5F}?$O1ZThVGw`Y)5YQQCK*e z3y;J5V_U57GRW-tuJ0CnwB=evww0C&zXJzTFa{n-8*v;s9u3BV$*wm`djqc5qrIiB z_umBqJj?a|LwlE#>3`mqU8nLw-eG%Fvd>4qSN;550t>$NvK={SvBeau@YO~{km`oy z>5!VFkfAyxObCtWpTUn#P&+GgOTO=&t7%(Az?1y~oaA>6#|@;*zKN=3u9T^xiDND) zJ1U9QD4J$RC0})lc1j)9AaNF!s($)6ppMeNlT}y!o2ydv??|r{FY*8ZBg*yz4x(_A?v$vrvAJuS&SwbO0RK|o3cv(%h=W6;wM%z~ni zz7oo;?0nCnSzligI>qn@1(Vfq7e0S7$9k>~hUCS20g1SPi33R&ROA%|gU8ht1Vz|F zA3Mrh!nY!7;jdjVpKDM8vILOZJ3;QyAPxMLwV9|xKuxxxEL$9%(*yJ>vVmSwg~{r4 zq70FB|0x>vCa23{L=0FuE(5k4FzOzu0{f{<0@p1dX3uorD|*4 z0j)zI?x$%pOIOb^TUA&$>NR*!7D?gU^(d~yH{?QLMGkznJS}oy?+yHkg?#o{QKN^X z#A0n=rusYJ`rP3;^#ny1L|VL&1M$#{2_34LNbPwm^accdA0Aop-ZGa7UbcH z8@hlSx$*Y~xr~r&XR?r1pU!N1j|a&9=2q$oDP`u|yk~>y(^VzF8W-yOyB}+#cwAWD zqP`GOfySXcLnr3)x2UZIdU8zlAKT*G4`AmHFy6nxij)9ZQ;g1UDv1RPOoTQr)W!F^vFTh@C)1Un|8B zuM%AweSnIzL?7m_RbMZjb<3$wee@BWDu;XefeMywSp8$0kPF82f$Xs-C2GB;uwC@c z5HklTn`Bx)t>Ov6UlgeRq*I2b)kme#SW4yZQ<6dz!q?flE2OR>ud!k3F~KoL*PT}9 zjT^&xt8RC4!b}n-_Rv$^f<{wNbL*Z$Hqp-_g?qg5DQ*7fKImwZaZCOHI#uQTfwe$M zvqmOzSgKnrwNsPMmyP&g$>S5AymRbhnCIc=6oi&8<#F-?Y@sb(ys%_JaE{}g!)xJ} zE}pk^e)i;f*DekPm(3Y`>ZmHqTBv>5LCmVjS+3xcW;4%P?2=LHbPCgJ%fywhJG0TO z%>cV*-s540EOc6AtOyFxkI_-#Cc)fRu#c-1w4F_vsiF_rpTnJ$pRD*&6|8aaTt3?{ zcx%K9k^ONDDHhK`ueA&MQE7P>ctih*z7R^Yi|3-AFwti}W9STM+;*vSdET<|!DZ#O z%f^?ZL49GVdTR%lWw1srpIRoX56d;d^D3>G>Q}GfQ)=?xk)Wy2srF{oe8vHp5*30@ zW~ysnCw?cY=W<(qxJ1pY%$}((B{+7pf1}Wg7lJziY7rsvg5ufEHFUFn7BZR;=PiYC zxJJ`2J#^da!qP7oMwYo*c&)plT%d#!)o*eHP1P4R2P(%@4pb{CggvZ}1gO&OR1H&S z8t)BI=mhtw?5=hthh`AECAyeeh>8dqX}3)Hq3TSN*U0+l`MLpVPQox1Q!oGPAdA+o zBla*)r5wEn|CtD0b%+R*)~rxBQwHT$ILS5Ctw1?2$I5DK#w3Z!U>#&bSUrwEF`XyV ze7E7<>&hH_|L}>(yjovo(};a&to}AMl*hxjP;PU1yheVJK**03>aj2UGk&vzX^LiA z&5)mCz5Ig1F5u-iUjL}OIDYV@U=P0Dd^vo>Vx#TpZD>jke?bT*0J_8TG&MXP^e&3ZAMtcZA&`rt3eDR zUm1o5_VO;+u=ak<<+fCNS+NO6w?<1_I2Pw#QCo!9#+Lg4lS_ckA4SHwM`9HZ@( z!l`rth_c--7|&&-%CQOZ0P9r8I$5%ZwY#~K>0YwqAfpFgAHGxhM#_6`TI^HS<_UOX z=OlbXKHoC%i9)70iJQ0u@S@CoOhTiZ)wM|8E>c)&U8HKS zCDLQsyH`tKvEwOjEzuZl+;Ys}=zi_3%fD!JVLX)W#Aq{I9`x-=6xgc++tt*Y1ap&1 zn_3Mc?%Z9D(pwW`58|JRr6biP0t%>b8ZY4sFG^Djtm`0{4$4UXXj zF^{QZd)^Y?3T&8BP&?ARkq{*Iwz?^D4%ES=a!4-h%IipsyQ|xXju*C13q_p3DxX*u z8Jbij*hdXcwf;pD7&mn^d(CW}?0ve@wMSes12r|%z{f;gu5D`MptYQRU)oGrwFK(U zJWh9G?{F1LjDFpX+;cFhj6TxDLt7zW(06m>?5wHUDIGl5%2Fs(t3g6ys_1gI4b3R+ zdw^BTaoJElHrBr%2C!<92 zAOkX+rX9iyX; zE0u8Q_^4GO4-^3t78r@;0cxj^U^l0_FOoIyWt+@(4#BgXMt6JOYpK7GHZM*3E!e#> zzE&Ut>H=aMXD0EamvC-!v?IRo(HLf*+=Zz0RTirNB{0_AxMAY8Fh$BU*dyc*HZ~IQ zW8}TOT9R}ooT!c^q5EbwS2x?#z06&ECt9}|9FlItGjoR8!^lYpYwr()F!sX#Irkgl@`89eO3dVNj<_?x?r-0=btj40T&YL)OV!^bjq=d%+)vG~3YTfS^_5MkSiy+dO$$Btlm~9KIAZVY6+mXHik|{T# zWLWip1A`YJ8F5!cvr1}5m!t>O#AX!FSIH2Gy!Oq3jI!J(91qrVpX95G^Jq~;Rt-oQ z`%ZG`T_je@hKDyiAjz-;-dkHV7)|3? zK~X*#q^VE+*hE?-Q%hYb-1aJ&cWSP7%FIw@IIBg7)S?cK&|+fNg~p#dg!wMpVYEZu zh`Rerc)hNZKPrmgYe$)}@{9V0RZ=mhmTUVT_TbunL4JMV&cNCyWCa>?0O~Pn3634D zz4xynrlNsAY7RISMO#rX*|GKsGE}9gi`Y|kFqM68ge^4y>%pq(?5}y1GC_bkR!^{O z@Xa8wko=dhLZGLaI-j{^Z+ptJUaZ#DQdbW~DZC)p%tEz%%-8Xjh_sD|S@XrLKmk2F zgSsW`Dhy+~z1B6!oPt2?U?LO#R~`Ouo#<79IPsF$YT7J{F3w%4qd}U2qubbsApsVSK9|0JS}?D*6$1n2fb6n3gPCjz61EkdiiVlqbntGK`t^Sxy5L24pfX^ z#atUnXW1H=if}G?3A&lG|ES}owr#Z|b1L`DPyui7(-1dz7~&2ZL}c?(X9e~#+wn7oF~7~N1c@qUg%W(h700$ zQagB>>5#&Q98x$>Lxb*H_AKW;TtaG&Wce1dHgfF%SjV* z!u}=Xw%pj(w3|LlLhd1S+jAf=Bb`G=I|zgTwZ(}IR%}%d<}XxcpuQ+m4#7o_ju&1K z?q>7XrR^%Vl7SrYw2hM4J{5eFIN}kS&fyKd)81-6i|)4=b~Z`{wF&#GTre%EFWhAK z3uI@2^%Jn2I#b@zPIv5*l&A*?KMeF4f*hh)<3{1*j6#BFW$n3D+HG{TcviGlKT&Om z1?xJR4`*o22b3ao*z2m>)i6pNz_!~HyzygUFuJ>a(0U#f!K&bPiKHveW5(JSx7){Y zU$(u(f9oH~)L)&K#?oj%=Eh2Y^?#VXk@jBxn?EEBBRp1J)Y?IX-af+DYJi$J;;&xD2(~WJzO((+SfU{QXn*y!)T?zW z!DHoW+KuD=)ncW3tf;Krc)Y**V?3u|soV-KjBMH!Q5pW5;*wE+Qk)_RXa4HX0EwvH z{_0d5tz7r#_L`&~=&vsG0%fdkf3Bg@{M9EB*2-a%b;{{F`Q%ro4(_AN_HEicR57}9`r8zNpBxbA+2jPT!;FLcvj;nQy8I(&u+chM4-lpbhr9i zd}{v=bl?}e;Y_NpD21IjvrOHr)0F7+$fZd?LBq9gv7j`_^kf})hDofJ%dZ%=&1@Zr zQxH;xw2*4)*?K%vuuScPtDfC@kT#bo^Jcl2@%4I++mw$8xaKAyGiW107LVA_q6M&Z zIxk08Q0Mgum27>AOax+t3*o5wX^2LFxJyHr%)}I10MC;SM-(8?_J;WavhN#7u0 zWvWb9@M2xTiQ)!6(j;+W%3rrdeRVa7eBspZ#M!c97t|pJ$a-8dI_A+6WXu|Shl+vq^0L{X(|9x zgMfs<2l&du zGF8|6b=N3{@8Nsx3Jb%6BuirjK@h_7^BLn7Xh;3>KeUsvq6g<8af!tFW#%Rj%*^0hmssx8E;O`}#kKe@8W*T6U2$IEDw4 z*6wfKn$X~Su!}bbp>*O5*XHG{-`qI8fx1V#TN`=Sm1b<=9&&<+CZPT%+Cq~KG`S%Wu7$+~zfXb@LGP85gn1C|A>tCb=?i1`>s)v`RvbS^RF=RWfEmWX-54r>zLk?!_t6~?{@I!*jAxwl8(`ntI zGfi5ptax5E-YIBW--2EuWr)!Qy}>HznNkKMntRLOwaUQ8TbJQ>${>~D?__(BlWa?L zk&-C^nSni#@wUz(Ih=%vJqe0(*;Z-LEOis=+-Tn|kXjbsb5#*CU_+Q`^UjvW3{pnw zKd86Nd+3KU1ZVpip#jN4;vd zJaQzLS@vGfmuj5~2xYD7@U|qm7ha=Qbrt(Z-o)e(a2@TU)?V>>nD|yPgl3DT4+lNu z(1%XK*NP}V`d=kKrq1i?-yDVb(b+1A8NP93upTPV%JmSXwKr=oUoN>?4q~N{t3yDQ z4kH&KB7X%;OZz}(M}&y9=wN77^J$rl(xjXuWl)=7w=AJT)b_&EWQp9JB@8~mf3BmA zv>bg3vnvs{M9W#JPLPA?iW^uAOdJB|{OcMGA z^4ym#K31T{6FWUlxCcb1+84mjv%s~Wx1(dSfDMA8+`n6GHO!f|Dw6LVzzu;aTqdKF zWY+ic8=%wsA6zgZQNvi2scOl{{KX8ysm*9i=D!H?aJ9KiHis9mC;Ty3-K*ZG5h(C! zF#cs`X)&W0&(r+44im0xj0o4*S;@KHE!GA`6f()*Eaj$-B;kKLCU~#wG+&8TjGeH) z*~NIntlN)0{74)BwW^ij3^N$Ir|2?G)?Py)ukpG~O(=2u8 z@4-L`t#uno4FP#A_yfP!5ipJB5wp_%IJkS1<{fkVWp79_12xbZ@UsT6&iJ{VwI_oA zEanxp$*3=I&k)4J@bs#?X(eroAHYbo#P z>Nk7sy?g)E=jqf@xuR1)TT;K#ifdXoF_Wzq2CU>3k)fHKUf_niz92E(>++AZE?sYv z{Mo4LOus>-g#;4r(x8f^8ATP~LAF@673rGurFV*BeO4rDXN+g$U~$;a_lNmW*)r!}1QT8h)6a))RG}PYqCeMLH2F5V>DUwIVurUE~AMYaudeoDx(%VqMid zqcfaP6cT9l3d1Z?&Ef4oXByax`AyQ-C&uV%J0d*KJD{bXkqYsxif~zd9)KPHv}>yt zabhNumOFsX-?4yeye=4BUA#?C8%M@z1WtIe7~AUhp=33D>#tTBYTszxklE@c?U%9@ zzb5VH&t$6qiIN$8J#1c@ZgJhxi?v~WZn<#hm;9e$FpNB zIoEr3u)FWzaLpZ!2a(l?4i z=j9acx0>^~1XOue)dFfoMt2#-f*&ma^WAEeSsV1S_lIJBM2-q%Wq8ahIU|*=63p74Pu( zx9}e|BNvqfcfJXjTnhGutCM|1_z_tH!PU#T;!0ZmOB^Jg4UXB*I>#7D4?aIxXLBWf zJJ4ss%H677=Jo&6B(`Sk5L$5`xeqH=@0V1^jxsJz7^>FEklL7IqOwA#(|>?RWp8ls3 zl^7YMnt$f{VEz4Tjj(sT+=B^S1G6EOJMCAXsnc!VrGhhsbhIwqG+ts{S-Y?A{DlFm zc^s!{K5@(U8{#XhX$K&9$Y(s(7ZGdlsxuokX62wxHE9pS@~|HXmoWsB?;n_$LSyQ~ zZa71qq>py9Iuw47;)!-Ec?m+U#y?TF-Gf(mdQFb+rr@$1)b%jx24c=gUzXzsfg=Qv zB7L2iqwd(w-BnG_slZOR!Lk4|TjyxnMdRNtN+P;M5Kif`SuC&Q5-`GIsb(RxVdRCPF`#x??@`RIxJ(u+3LwCz8C@3x76x~B2 zC-;prqPAA$1Pgd7D?v;9lV{7skD{-rx^iV*f?O?I7+N4ejvd^wMr}Ipiz1dgn*4&^vmL&WM_$|4V!=LCLFw&o;=4 z!QP`qUC6YA79YW73VvRElGrvQb-q>F+&@_Oc&?(2=X4BVrvA2oh5sUP(zA|k1i3_! zn^yl2xoK^H=|o+3{&5IVE?;{UXUX{at84^W4`x8E8(S5+0FCx-xYBQ%@1Ni# zHL7n?xg-TEYl@iq>>{svkP^{&_pZ&Jy@vINMUtcE!Dd~6ghFtD$D7$p`Ep|iC*-s- z?(NqLG6F~bj!CY8FAmBc@w~OfUXwjLblZH28s}A;f5~<~e@q-D8_Usf$7n2~zOfe$ zEoY*gO4WlQg1b|#-6DH3OC>%!48v=K(!}Ui7)-CXs1Z0ilJZqHN$U-noD9#A7ssr1 z#MG+p|06n>QXyn+4xhXg3YCWjUt9J5V z4l+6Yfqj5o%VRQ8<;tl(_b4i!Oq85uzXhYKr#lugy{l8Wg6Bm@AX_4k=De=hdEI%Y zzsr0H76fAKBL-Ex3&agW%g`AA63#8mHgpOOP5R9%!CmJD-#uLWDpc{Vgcpv*h6*~( z?xf$W=!~6rblf}7glS9&1oW&@Q%WmTqkj}96X>>zeKmd8@?^7R+#dSq4V7p?fI5Eg z>55LlI-bLqh@KLgDE<>=upU%lR-5P?&48Pc$g&0p*a==ST7j1DK>84WOlBZ`kp6G@e;sLykI7HyuNOhU zE$tVBp`pK8Z6rm#SM9E%!5L00?e#us`+D)@3L~#&!Z;_ zO7Ht+1oy|bX3RE3afFanki37F!fCd-q}2zptJvFR^OLmtB^*dffLAsHs*(?o%jxM; z<|ox|(^1Tf`616H_9-FH7KUcXvsEQSw#9h1+B6nYwINTV36J31kY`(cK|rkYRV7%d z-XEx6(IoHq)idzKTZBb3m{X!>LS9SIep;P*Vtv68&LAZme(G4WYCbMYw}L@mGR8*+ zcUlWUGWr0$@WIFmpmG^IjH+=Sd2jT{IChWqo+hu_^m9;8{ZiTtdd4czsvpt>wc-`> z>@{nbYsS3aRWcuKu#%m7!|FJDeH#6AvN)h#l`MkIahG@+ zF7a$|+OIVvjz5gAFy%=NBnXR z)E6{Jf!ipsx?lRo(}pC}5Rg)v8LJAqIhwfyy?byu#j2^|LJM0o#6P)G@7s#yq-f7IrH*I7j^Z=`~9`okxQZU4b;Ir12F^P8(9ulj% znN&Ik;hgDJnb;jVK(FuJ=3n(D*Ggh(Iop6?c~nC-vwKwelf2`@mZu^s{d3>&+7Elf z{;0e<%sE$eR%k!_7IXMxLwG{;ox!@V&JHYF3^5*%^((0)xNHh6o4dg785QU!BAO#y zl6Q-B(%Qscg25F0@92y$4iT`qLD+_7_ZdWwiZVlHQ4OiOs1g8g~jSL~doynz# zaObJjcsweq1<=x6P*Yqz@k;CV3Q-~ihl;CuLgd&~)_f*`AxlHrkUDHKBRT~Wn!j=|VK{CqJXZek z4o7dC`rRyO4T~8UR$7ZmIOaz#qiKB{wRj`D){@EeHb_*4(k6usXB4xX@QzWEh74O^DCWFI!Sv zJ>jWZW5?eEMx5dHEcIpjs>^F)jkE{uGcxFjv_IC$?SuRR@*Q9#cq$4PlouCu)!%1K z03s&}Lb!!v4fhQdTnXM;Sia@efRP5s>?jaP$YmtlT%q&cy0uo4j{Ii-y4O7!`;8dM zw|P(Ge#)j|I8HeLm8Ku}Kr`H)< zuBkJYXVn>{#P#04%E-Y~Vefvf)|fD}&d6!0HG23qev7oOuQLJ}#JQo)=uWFMdN65a zbw-gG((sX1mxr`4%X8|Cu~X}e@pDkRLwM;;b;cpg*vWOq4!-W@TAJ#O@SbopC7b_3 ztr4Gp!;->9#f%lHBWx@f#+x}d`_c4q!G+TfQIBhR2G}wr{zPxNvh5J~o zQAl_*g`P{H_yuTqBhg5>zG7i%Wp$18-drFsC!$jrVz}j|Hm~fSVgb23#t84DY|$V? zjrdH&?TV`#$;Bt7xT8-g0!hYT1n_En)rDmh$lOuZdw>$-By*I(m~0HnsxDlF@Lo-2 zbG-><}{Ss=NUMY_aZf*HOi_Lf-Hmefv<3`waI zk0po2MCX%YoOVOS!s_BBRIIQ(tE{F989@PNDGs~oN(WfJ!;PWdLXkMkE3LdMhdR1) zSIZky;Uj%pDsYC8;4NF|^H&$o{;#01oTR2@_a;S3fisPP-pVR}Rc>*GU$R?4Dr0u_ zZSN6AqPM!TsYf5tCy>2-Ikq zznSG_3-4&_VjdAH#%V->IL8lUmuQl4pNK7=$7-R6w6}grEn&Pa=yDHj%Isk;2Xs8P#P`QnwhPhZO$2eJ3U0hRRgh7%3h=~RoE7|4w3afomy=hc083-}a zP?z*VQ9g%ruo%dVoK_hX- zZ)IKhMV*n%*Z5PdvEI)&NEusejU)Hg8Pis>Ug6$|$-j^FhO&CebMlLIM&c8!BgAv< zWv$@x;L{qD8?S7fYNR#(DO^ST)tGgdCd@v}Y|`(3vDQe;&-B;8isLP;C@!aOrTr56 zcuET=LZuAuU2zPfVbZqVc9y<(|E{mQhj3~4Dq{cN((dv3Rx3(duwHA2v{N%}dVO!} zee)~V>)EdajB^JV;Zi!tnTfO|>-9jrp3Aaysx^JcSFY(pd)M@)xH@AP8Tq0zlHN=* z22Cjj0o_nhQCuxRrvcOu1tg_m#nwPKLjhNBLYZI+KwG1Lr0BzJpjl-_zS4cv>voV? z6$^6H)ZVpFkY4M@n?MF?b4eL2>CJAqk&szfCCg{e6tE`+n#7Xs#qfh%F<7bNGAqj~Pf608*@vW& zb@6eK=5pr#CXA#aW(2AD$|q(?_%8@=`%Uj^FKsi@7|QlSP;YKw1*BfJ0B(_le$WdD z91FEupz_Lm#it^l&i*>&)2Oc|pGJQ*`E*Vn@@X{PAjTP|@;%oWob4|!pHW;TBwtZB zJ7 zJYQv%K%9(<8yBJCc0evPOWiR}-AN)aUI>BA!ipKiHS7mYMeAJ_q4h=>b;e%O5EGrw z<;Lln*0Z(*#YI+nr=kq6=ua6QfKF+oiejRZouKJChKvw><4>^G0U#zC=o=1@5Phej zF|X7>UqNF|)IeWBV@`5_zKq7a%Ap@A`>ANmZ#pn!D8+83USgu_cD1y;N48=Dv6oOW z(GY1yJP=FEd|7mH8-Ze?0lsAnxw&F$u}@IV4HYGory^&si4dw^M$V>3puUWpog78Z zO2NAsE@Gm~b*xH5vA7hks(F9_mv&)d|3MvHYLKAT| z6Wo0u4>lq5JILmVHVD)l$z4J$HyviC=#P*ibw>q)nUSov@x%)^3Ly{ok{m%F641js z7A!oRM#DnX19y=eq{{Js_cOAhs`w`*7AaY}Dc}Q7R*U7anA|QM3t(a$(q$kuGA1=L zAvH4TpIXzed4)$y?AdiVnkV<|h(AyPDkBWy$=jvgYS=F%EML5%;8yUdy*X>xmLw78YJJ(>pkB9Y-6X zOi}K(d>S_ZO)rrt{1K%TA#_{Hp6ukw>_0=djX_cB_B~;h)6G>Jwvc&{Hv3U)Z72T`C8yzVK%vxlG!4t%Yfn@joYa; z0%qQjh|-;b<_n@KV+?1uyR?iq_nk^OPtBlCEBiI6f$g|O9NiSOVU@mr#P%n#$-(Ed zOG%SEs=%{s>E&JnOmC!58guU;o-cGIeOE49?J(axwV$lKvo^f48hPL3gWR2lmXAr{ zoAUN&Z_OR!{!#jg730lGV-C8;9P*8EtN3>6Bd;L3R!%kf52WkRGy*-oa$Gj5L~0IOZCj;lZ& zspr~#_XvF%s^^T#(-&?$oC{ycHyJSIhWRAK%RF4$R6Is=Wu{Sag^wGI`c*Dwl!CBtxljSF|YzPD0hG2;g#hY?(ixDE6+E4 zW!&}1_}~^gzBT)N1F4HYsyW%5_KT*an*z^^GCjjLg@f`7{-wE(t`0mW*`W|vbI~Mm z3`gKa-{*Gt47sH*wSBre3@(WtTjw&Kj**ld=4Wf3%|g469P69&l2`wP!z;sg9-}#j z*~--i7wQ!1!8PQUUX zWRyi*(;(gM8?r`!%{IjuqcC*x9)zjql3dofZ$l@pjBbIGFK`nrxN90XQ_}wO#s6%_ zUnYUT%NKt+ic=cw{cyo>6~)S>6|0dA05AvYcFt>&f>bPOokMi?-jCW#(mY zyTHB6YLc|V%&J6@lM=FAZsrt+bE@QH{Se+c;-OU*6j&ZZ);^?*s_*kA>$aOQ7QT&h z?FsBV!=9x6A)B)}jToHi1)p_Tj!)Yz&`yS$=%Aw~&JR3mbvDoKM!KXguni#^2V^8I z2L%}!6jhHu%~aa zf1O;3RFiXLnrj8xBmUhJnaCXz+dm|Q&G}rfnED#~yRb*8>sdNFMu^%$)?BZ}5xkK;hc%7&NnGJ$<*4c9{YJ>iVNvJ4LMxtT%>!oc2pN;Z>^iO*WTY4p#$o2VJR1~$ei%6xz#dM7~!{=V( z%}|S&#I$3&cY@Cy@=j1(`iPsd3gf*uO>^E)RvCB;!+jpy6O?KYOH!MeIXRq{COm#l zpU$MEB7OQ1GE19BaP||*_HKVhPY2~+%9?tKWv|EHTF1GAG2p2IbleMcyrvGYzknDmoaKG9v6HJectI4+C$mq~(vGD%F_SK^P^Lr7JTN{)V(fQpB zJJ!H#S47=GYT#Km)ZmCS1J4RbjDP5=5?45UZ+O*oH}@n)uw+b+HQq?DMSXCHWUL9q zAk-7d!YAYxNi^9>OLRo%KSvBHNe59$DbU3JQ&^$)Oc8k|&9_dQi!LOKPq7nxs|U)C zJ*4(4roOCJC4GW#v zqhXImU?118FAA*i%)I`mD6#hzDudD{^%>MpOZzNll74x}A{wnRw`WRE3MHN#;~&Hb z#FZ@lFSc&6X@8GCp&pIvJ?c?(%#BMigZYX2c6x!v8F+1DNBa%eyw>(nt&|Yek~t80|XrlPw1M5 zq?rlZ_QkN!L(A~d<BV24(~`4~TDAm;q`4Kyb~!djeEYl@?w zYaD|DJUx?}{f=dJpdVq|u5omy@Bq)?B|bhkW@$&pxHf>CH~Bhl&@o`u%wWij138e? z6_V5hC*Wc>Z1DW($T>4~iZ^DB+bfB9GvvSXyq_g_{pL%w^N&AbFkBj;U<1?>NUP@1 zuRrV}_@A~&?=Oh}A!p5FTFugZ8+cY)33*TRWsUn@>y&BZ@aq7t*F>4F@9RbsNuh9D z2D|~0TssM9X}?_Zg0ld9T9-+1OwC!F%VW67cppIg{u$nn ze<#L8SSQAKqt+ObpINzNNo7S=Sz&o4mt9iUgub3q9btcnHxewU8kgpNm;ePWMwSnL z0r?KFkANq0u8~HV7$;nSVvWH$#pPA@#iyKp0%dSzy5Va1~2tg>oi>cdP5nm^2)n%{pG&0 zyyEiWg}#)^64*kDD{44k&nhb^fnlb?msDBeE%cS7te)DaY-S=p205pAEv~Vp$|}k;g$g^w@T>FzaU1b~aAv!XbNMvNSQG^y z84lz!MFZSWQB=HClA#5{IY34iXOJ=IhKe#DSc}P;!XTInkQfKZ#c9}J4u~~Us3`)X zkx(%X1bN8~qYdHFS<%RHTNe!z7-Gcbm-)(zQ;w}RHW4N!DviKUIrHY4AcC+O01y)m zlq9G6*_Gv7Sy(tma34n&VKLEw$;L1+n?@|)lU~>jz=?^59cCoLCU$pKu>}^Ol3M@~ z6AgBn9CAm^5aYGpaW9QfWV^HMZ$959{CAMv|KzyePoK)Tk74ACJ;AfIcp;aogY)wW z?*s|UiX>%Y%=UUn;kPnwd&exd^KzD=-*D;5pQ#?d8)a|y{@9yU`>OA<&9klDaz;6P z>`CTuajI8~AdU}oq*|c6)Go`NYy+brqUa|Av3R(gQ$ZGneuPubJBzT4%NjExma|Gx z?PL7l)6ZhNXAyFx1LBVYu@4M^U@NVb-6MyF$wGXv-w;woKX^4Uyy`?Uo#xLWnJXab zHy?nu4$Ypdzh;K0$;(q$64@NCLqzdD`qMng-kEt381>wpLTf%M5VjUn4z_yt$KQM} zYJYs*lXB)UA!cT20HQ!WvQrK_GVCpF5s>}1w4|fv*$J5088jt&c8TO1a*QTD`;g-t zz=XQuUCbBF)6$3MKr{JA2A3kLe!4ka_swZ$M%shwTYJJ`xPo8Ma$vGqUN)J7#Iu~2 zedIL;eK_&HpM#9Z6JFo>TaUxDOB>qqN2Mz;TsLOJ6C_Wzl? zI5!#icb$?Yb0iTuDYTsTS#Qglt@;4w`-iEHruE+}+4;|~x*s1#q zWaa!q)9>a-a>UcEQs@{F6KPf@mkDEgr@Cjne0hr!X+4NBr`YTWd9Zns*Dk5L?)h-| zuPaUn*sr6(bRW+Qzos{2ws6Nb01m$U*Ci+P#|0Kol)ei*64L5^ ziUh5Xj*Yt*Umm4C7$?wa0{sq@yG>Zj*~^WQvzKY%yd2J6@S73w%Ma&yd(XC3q?q#( zO+Rnpvd3R_To3OIYg|`FkL#K0R>{{H*Ry0$=gsUL)Cz*r9@HIY2aiwppRwv*_b)hd z^bc8#vZ`M)HdN1Xse*{4Sckd2V}1&q)q5rcgFM$U`U#7N56N}Q?9GWR#i8uEo09pi zscU3DI}kM*V)>rzB0;CEbpif6XFi!E+i!x%k|RIn$yIiyX7+M5k5tU;*{VRk_C?HZ zDTh5*{{4ucAaJ)(qi#I}&Dd*o!w&jn z>TNMetKT61VE6Q-+CO43YuwDFxcO{?g53#8_x~0@^wu>|(QiW`Tp*MJ_62;!oPimL z>A}yOnMg^HeCJ%(zr0E6&v-{J&YU{gtDd0AJ1V7&%!a$)VwlVkM`u`-i({HNI>PF9 zaa@O56f5eiQ#Ku6V{}lNR=aZC(Sc(*Uft`njqG z3Af(1vBTHvaF*@fa9AD4!Vcf4!&!l?aOO7`8cfPdA^Plt9uMM1b5YU}nP1-^OpZTI zuuMyPJ6Nlu3sl3ozjH1)eCzRWUTHUtE{hBjDWyaK`5?3I0=ZBpenZGq$SO}!nNQR6 zxJiSvYgsEuoBNq7%$8?%OWfDhd1$Lcj-s`rC;ZfM^)gQv$*R|C7#TR5wbTERBra*& zbb|2X2X}AKiVJu=&yrGRzpu}-?taUuqM2z8#Cp#L$~v2JsPtFCs$Le6}BQbi83m)peeX(fV`P^TPIcL`zL9Fu8@D56=b#|JpAA* z_+>6tPfA#uncHM~wy7IFK%#V6x#2%OaP58;O)y#08mb%e?CuzBW|pe}&^S1aQ+{pT zyT`LHl@be5Lxf@HVOeV10>{q1D+u&lp&+FC*CMntX=;y~ug&f>r)~ za!326t(6sNt!pB!#Ui#dEo5braMvK6%|n8xteiS^PBH$&9SN(7_sP?c9GaSWKD8D6 zE$>eFFZjgslG{I8#K&oXtBxSC>gjq$y571CPp4)Ah%l+AOVxA1iqO<2nYVES>XhD3 zs~?)o0fThep%iv7mS(MlVD7#QuKJyYHDf}^v#~yRgPiop#nj9+Eo%SG`hpD%)}N8* zC!YUu`3A1KX>Nf0De}U(_tM*+c=pBk;)6HMjp=X&7tUn`qrZzHGbuoyQ0DDIZK?T3 zWIFv2)Y#D>!gzA(b2$NwVL_pCPv~*4_3|c_dIbf^S~4*OX&-c6(IWUJ&;pQtLlF{u zN&CM^ikTX_${CNI+uW2`qyL$=Bcq?|LraU+gOqp&G8yh?;|_Mmt~^aVm&RP<=P6|@ z1LmUd8|DT5j-;BRBSmuP);aW+gt-3|4BVk#d7$km zzs3b@p)6c*6qzT%1J(-+_d=#ySWX_fp;Vt_FcZ(zmOjG-MF8PcC;ZX^bIK?MS4Maa zva|ToCS13H+!Ez^OjP+bFIBtVr5xu8BxiZSU5rm%1Ax6ttth&Nk*d^D6q)PaBwkDV zdKT4QJDe`e|JE_vYR^>UHzUAqL`Gy`UunUh7rJHCQd?97?7)B^-| z423$Edw58+9}PV2(3hg4O%%CE!p>aqT^3w6+3;N~s*&Ru(WS*?A!({ZI+yXJ%X#B& zb?WgRw~e9gkUg9!p=V z8Z<~N7)mk!e1rLV(?<45YMl1+$J|suwcKm|*}1fu#K@3+h0~YU(3cG2?MrU}ceJRH z2-aCz0-(%g{dLzhkT5;Y-??Z1K^tkWSq*SxHzdq;sHG?vy zh;n0Y1dtv-t3IbN;*+;{lBM6JRo#QkxK~XnqfKI;nM4lkmO`Gnnxg!mp0;T~r&9Z|c0f zR?9c#lyU5FF5&RAuO?13Kl{FM93@2Yvp0`t;P;bn+D1Bo%W5t8rYq<&MBel8s6@UxFyz?p3dd+swSXCB`%oabWNis9>} z-id{^#`ql0kAZ8X42k)<2s^+?%Te4C_8ii7@UlmF>ehX2HHRb40gr5!!EdW}Z)H&T z63L?xPL-a829fLV?cWabpp<~*GhpRGDX}wWcu?xd9dZloJH6hs+pGRiLbtY=*`+GD zf(s+-I&>_F^(Bq1bNR^5FEE=_A5@c{(O10|zJ;Lq$U?Xq-*a%yrma}7@wolxmIS|@ z=^v?n7ROr$va%@Q+|X+bJWWyedyf)9D6Ww#4lV6>9T4Q8w*<^kLyV?#ES=I?k7ol| zM98?ek2slv7=|sDDkiON(@C?fj0Bpb?T5C{;QhH&mZI-1v~^Aul-?T!#B(PP6Jph; zA}XLqU9DqFIv0{PukfnpTDUfUY7yXE2QH3LapWGsc77s@zq*C=TG}6fgBtzI3GEos zet5rLLcD4u;pzi|)m7BmfhgTed~}UV+%k##u{mTt?{l!j(dx(A**B>*1VkddPXuYf zH$bc{?N>>hF4$i#vEUkDgYK3?WcNHQu`$U_@f8PxIkLpXD z1q5*cte(<@gqgWNoX;5ZG(ga_dNvJik|INlwtBWeCW(N3tEUmj zb!M;&1f}XU^g3kgTRlw<^o~|fvjx4o)w35H3+ldBPn#3IztwZV3P059IZSHnpBYG7 zHML3e?0{g1^vh%T)O*%hEBI{(nFpRDmg5%f=u{W#uT3LO#?jJ#Co{3*MY>NWpkCuC zsWD`bNJsUq`4`#t6uuYMeDM!&9ao62ISBvC`XcE}ueAKde)CP1ovo|ES?I#za?2fu z`}ze4@9~v*;^s=D`fl4T@HZ@~sH`rw!X*zbSTt+`_UV=Rm5y6>*W_<`V3+h{PRorF zA8T&QN-B?lH-zS`56#;Unzykel>6D5g5#V)$VBhybOxq5L?1*AWG-aRGeX+}&*O|B2t@cBhaQ?H{jh2v^xOZ#!5gve8JV^aPI}R^) z&&2VBb{vwBE7gy+auWNG!|XpipVgEGzis&E$m>*_G-n|c z&5H)}iIR+5Mfa!DXiSGq`ksCx+aS~QVnvo5O&8e}xz_%}T1)CRp_l5%2*8<0+)nwOJ@L5ev zMke*}w@*CC>XvBEJCO97138~AX^TCe$*>2u(}Harj>R^|@;R!W$dqxgFScNRY{4P8 z931~$_&c@y;C^5nZHGjH<#Chh zZB>IX{Ib8IP~U)l?>GxI;v64t_=S{my@U-Xj0Ty@qkate`CA$C--LH8^)Zs^fWZ~GOs^Pe-FW7{wJ6~|`QPGs4A*;su zx5WBY$iG{Th|qfx`)9o@pd{_6N-8jnah~1drouC{TU2Fel^IetpdIeWPduNweDSTG zmH{2f*x7AjH#*p`w8Y_di+fkB4jZ8D>_qVq1)1teN;9s-?hdq)OgzZME28ap%Iw?Y zY1|>BE_xCs1)l^XSOeM&P?7cFnw9a4312S_8rggEvekp8aTx-xr&EBsTYu*0tFb=@`#} zSWjDwXKAbGpCNu#PU8dat8e>|v)>D(yOS!T7K(wBl@BMVS0{fCkg6dJSGy#?9P z(thU4EH522kh8+{;&?5*52WB|!Q(bjDmeNU5b_B#H~AJbHxc8$WwB9W=AKZpG;nyE z=2X%INJ)FMiWI=Mki zU_vkRGX6iog&sCwwQI%D+Jh?wJq4ONs%`5t;yW2_|#OrV1@JHoN zq;!)wUlr#xoM<;MLX$Kc^kY!4Io41cXM;ww#*D``!9c^R zv(OzPllGaxRL~qQSIer0r1|4J$??bq+4dxhC2c$p*>Q*&<9Ug@UcjCPgAKFDVH z5w-v|Hr{$~Jh2PjhQxf))?g2H848AsX*WTGi6xR7lXVXj2W z*P^3vNay7jRxc{{x$UQ&YnGEJ_o9;M%?lR8g)-_WiUu0)ll&YQ+3P(faHqlKqaTO9 zexXQ#3ggFyZuZ54g8ji8_5<&>;2q5b7DGj>J3gE)0i5&%Gqijt^JFkGVeVm5d9UPP(H=(P!co( za5)GFFLcAdQzYzC?1{ts`$8Fm`mjq?{hRh2o{ut~FLdWpSDmqmuItnLn}8qWMvsbS z$Y^M2l=y@5rR0`EpVf%D+oJAHm&lko0GvBHpHl@-;lk2eD=UOyYM~M1c8PspzKDs5 z&7k>WhgxH>s<>LeZlRk2^lS7;W<`}%(*cyPj8y$4a%2EBG-Q!R3}+UVMTN-E*1%pO z>q`Kfd2hpf2}?j=UsR+Fuso%FOC{gbr$MICm;Evbp9H`m#@0U0pvp&~{{SQBQPH{{eA_sm zItvcLv+Il_7=?WrW-G=3-i1lTL{H%jUy=6?!qdpRjjB3%FaO`jdq@=e@GOOI>px#! z_bkFE_sjoOb?>2E(RF_ecmKM#;TOQ1kw2vnxo`%EJqj%Z)3D_$%Cn#F49c^Qc5&L{ z%gQrhH2RD%(icv7@^MRF`1m^IIZd}q-?B{l-T=k|`%@#lQ8uh!tVLE4D#G+^gr0+=LSKEVi9 zr_CkbFZ*q<%e9p9JMFZFbo#f`|8BX4^(&V&T6e#)jbROp{w3os!ejgmt998#m)0Rn z|FUI#104(TtBidZ;rHvQM8pbHj{i_+xnuFZv#_Q?@<{0CDdpHe9`Fl9qumBslfUej z@)_sd0Bju^{L=DGHdh&q6YGpBzHL`gR=&%>S!W#O{Co}e9?XtOxKo101j0uR=Zo0_ zOcCyK>;cS1>|6MD^KIlig77B34I%(V1jFpnhd!TFY2ut@513e_yB7v3RDM_l0@EGP+uci0Qa9oE7U zRm6tGV?ev@bZTNGaziS9F-bBYY-M1gRxD0>STAo4YY312ZR_XWh&vU4{RaYH+TYt4 zgL|)}?d|cnkv>?5J2_u6?9ILgydilcd?7$eGNCOHJtAGkC_FiG3n!DDZu3Z42j&(o zwJ0coNsNMV;9?9nFq#SzkmM*3Ar9m>z!;cYR?(YGN)(K=zx+^@2aHaJvR9!W$~_ob z0j*LNQ5x5uC^h0OR%oFpfU+D$TwZBei4QJ9`Jn&FS8T-0h8@^fj1m$fW{zVekNuKI zk`-I1aw{L#7xMYKNsd7omwRA7blxe*=$Kf-T(ckoPg2Z=h9ZeSRN{L@vz3*|fd0j? z5`hb}dc6fNb3)kNE%^BU@VG1eux~rG{K=bx0!dp9(z8}uW8$x0xUjg&=TP_!4K9>Z zP(H~|b}pxAuCJG$SJFz#w-j`d;3+CQP%F-}mYZnusi8sQ56KtpWs%rl=s9=1wUhet zsy7_6>jhHz8ujYG3ZA?@C!Da_N*2qn?whZd=GW8gvLP*o`{jQu`pM}E8a@O zNq!W%VBBZ+;(kZ#%PDFW6Tx$l1beY##4CbRBR;*D*zp2~ZIHZ^EgUwhw79tZ6q%j` z;TVH-gskFnU!jxYN!qgCMxQ(r+1KCK%>RTgR7>td)8SH=d1^F2-AbfFGO=7CTjuICB}zYE@mM+4a{on`Fy+Kq-f)N0MmtW z7tzbbb;cy@+kiQM8MBz32Y%(iPR@lgox+apJ1B{oMH+L_D0mBL-VAkmN1f5kclmX7 zMkn7yFKJ^&5k6u%+!mNdOao^93@Ba9CeC=)lrqXt9NS5qe55y?`s~LX0xk{zrPlz1 zNyfhV2GW@WrTuLvXTtYSBOm--0(TSTr+kqEum(A#`Lf?p!p8tGjeUUZjZU@y5c+-{ zwfpbT_l>}RUHZNW*e|2+Pksc|(2$HSyI6hR6e02Dq%{%mfn`h3Dl2M{6UvroL7Din zB_gyphyczeGZA}pmo3S=zPzfm5D@(ZB&V!eoOw4D`U-K%homw~%PNX%iiw*CCR*e# zF2~chMG@tj$e6RGC_Q?;#P<#<>%e@i&@a<3+CYTb$G19;VcTTIk3wgOB z_kufT6qeO+vbo^Syef{+doyvxlg=P39Z%6BYh$CyR|4V}6zlE7f@0Y|EGV|N4-1O> zZ69(3bZ~DPJoAlDL&N;2wEJvL=IXTXkV3{UxFa9dU_N=3B|bjc<%PYc#GL;E8e^xe z*RVLLs|o+ePKX-AZgg{^ zciQ=#g?k-t7pP1`V*ASuMe83uQqF9J_v-8FA8lq#o{V4X|Cs*KO0a;Rx&*;ED>w_e z7Ywoz%!a$a{?Q#K^8uEM_|Dl2c-a$9&7U%ot~k zH&Vdc*<1_68mU~`xng2s;$jBGoECG1kv!mX_C!JBK4Z0kEM)Ak*t3k$2FeH7gbj%q z8neu}y7BVHxW@R#ghs*qL%{v%jY;S|9o9Iq@%+ZK8qWsvk6|siu<@eCiyNxQe#@15(KD?6^;Pdcv;T_>; z!`s3B&xAh%_cw+A5dMAmcj0Z}@(0*sHh>v1$=~A)2ou0;!tBNN=zOSGa#j+#hkqh;fllBIA41lhkbs_8(AA z%$7%Jv+t8HW(W2|n7MaxuEMuj{E2h;DayQoI+M;u+N+#)@>0eT_>Fyx}l4_S?W% z>^JNh;9EBZGksjoX3_i_NH9lh@h>vHtHRnLF! zzCuU&T;K!8?=zNDqUKf^6LR*Vxj&|%_Mkz^!Iox8VF(Z_Q4)_Y6Y(6BGqysrHhyI9-#K5BpOZ_#coZHbz!F)ajY zM`E;NY_uaK+A$*9ks9q7Wjor}|CKD@)mYbl53B>0Z9~NNGi>`(lX=<#GYRuJ)%!K( zcbMlef5N9YTNECB6+Zs|3;iiNUcSsU9%o$! zhC3OJmSs{p_*&XdctiRJNE@FFXQ!Rsx2?S?ecj`6%UW1P{Qnzh|1WEI33J-sfg5c% zb(HRy={kPGgTS6AfGg`S%j2;4hvWQ z!fIOsij=>_l4K8kZsdBKE`^tUU|}q zfN=ocw^0LD8QVrei(((how5(rQIK|8X7R5gZi_5*?8N4d;pXYo{)ZnN3#Tu2C9Q8p zbHKoGEU#3~bT4<_Yz8l(iWlkC9`*S{aA6+M%=SFKx_P2THAl@B=N;nUZbwe5t4W5Z zny?P;=mQ7=gklTS(=_%RvpclRRgYZk8)Uo$ACw{@)hkNjc&nCzbPUwY`%fG>CX$gN z#Kahp{_Hkycgs`LXYtfD48eQUdx(E**V(k2hz;H3Z^!fJRy<2S6U;Ob334|j&du=; z(Hfh7O)N95<62b(%JHg1DuD{>i_l6Sx;78OEJ}%npp#Xe&vi8bItckw^$(ztiw^r; zwV(K3HTP)M1`Xzou27E4ywM%Xaho?LhS1`CV{#}b*}O3&l#^oKI3koY!n`pxl#^=S zI3|=c#=LQCC}*sBd{cwH}VY4Rur=$gA_faa-2DNT#k$04dysrRbgGO4tXth~c` z`VVN({WMkfFGV<2s7k?idFGocOUG3ok(3N6SxU zgM7m}Mn^)AfHXRW?#a}OO-XASNjN;d9hbEKnrRfgb|Xm{z663VBiIpNM=#8`mm^p5 z(I$-sEV;#r&3ZjW$!vT{)6sB;tEA~;l+n64K53A)(M;P|>~@OMM{^C`VYd-$94!Bd zk#dY|zmRf7<=eW9eDAjMwZ^Gh_CsM#esmv2m9*8OzHaezG~dqs5kmVpX*iSA7VN$9 zmwKIZ^iBK#1cW^DaABEKUb>&*$+OXEq0BTbj;rYglun|G)Dojdo}h=>m7P|y^R2M@ zE-`Mu4JLhEcbUr!h|kbsy~1tyhnkr{y%ScYKruT+;r7cQFT}maF!}Tll=La%K$QQK zm>KugL+R0pF_E>h+{Dh@^<5b~m?C{=xa9ZcvG@M8h9MF??FVhkU zn9>~BwkAzQgsUr5ld+wN!6;D&pTeV!Iq^simX^SZFpqSq0utzLQnN*5O3~w?a`D%S zII=U8{}JrAewR7eZT&8%0b;eSG?vp5rNT!}M+hIB+Vg$g5m%e7YrXkv^72jZ>`>n9 zwcheIdF3-jpXahH)OkLB8}_;N%jRmk=*`T`kTK<^aYZ(h)SW)FI_&+hdW)2Azs>G1 ztuth=`N9t-?i#mCl!s^wPawycFOY=PO7t)VcX#oA@#Ha*jr2q!xQjQY|u+@M8)C{h3(VQMmf}GX{hk#osS zjCz+sP-|qg!p2&U-8nK3tXkPsEw}QxPbLp6i7%YIoy(r z7hHW0cD0*Ac-3iE{Ywj!oB1^dVrw44msXR}T2Q!vUUnzqu`9D=h}L^PrQyQ#$^m4s z3DkYE2`Dahk=WQ6jiS8^@JbQO?AR) zonIb01|Ibd%kZD;c)k55WZke}cNihfHmeQKJgCXL2oB&Kj@+J!FETnNBVT5Y49!#K z99L*wClY7qG+{|YU_Ef{4tixk()t(HSy+H3XWxMMi&OTG`d-K$xu`)6l6&>;n$E6<$&5ujdQF-AiS9pT@i)0{g zFrNv;CR&y5OUzRniK(|I?Ka&8%4Wq_{UX(GQO&@G;zcn{yg5Wjp{L?hB7Uf{kp~wL zc$*^2yCgdh@E@-Mox}@P@5TS#hT+E!Gc>S;VMYCSy`t~N%LLn ztGcb8H2jxs z-b&5JC0NT^ToaBJBR4mS-&9wWXVSV5RO)7-0KNME+BH9-D(Eng7ryIcWj^4fc~S7% zX5f$y&m95|p^N?g40jnfJlplhE# z+vU3n3b$Aq`daA}=KH^BD;*k|?XtQgWlYHHwybA{cqnO>E96avP`NxhT9Xsa?1j;B zf=gZKKtXiS&HT|FpMmV)*>)zZ*}Sok!Y4809bry&c^e0)zsMkJGm|WER5-cJE~gb1 z(*^OLWZshMyWV`=s{YS@N@CIwfu)O4@+gU@1sCc@O0pn!1A!{=n_cFamdB51v5s>s zd+cVa{ru~W6!cMqFnPD)Vpp{1JKFPOTHcwowR>o2w()1t95bAvdea^(+4=XdQr~4) zKUdwLCxfm;M(QEqmcx=1R1(Y64sEsD&TdM%6L=VARH^5;o_FzFkHqrBSO7S*rVH=Na|mpokwMJ6}na? zLWI`*PQ5I;Nvm1&QBqVtCCgmuHr?Ys;{m2r^Pa?UpM@sNQ%h!!dz?Eo&3aymhnQ|n z{ZWToNTJlwjRYo|Ue~xk)xNm$0`n#F?&NXD>{#B!ab8!5i6pNw5pB*`iPjv-9vjLY z4>yNea#`SVc z^ZT`|Cb9(E^AF8#x(!>=6gfw4xb-@J7%U>&9rP)N^rmp)s|BTn<7D4aJ*e%sGI*S zE2W)WO#2`L?WEodt1C~Ml)MY0b$N}@$s{8>qEbJOP6jz-vnoycSMEI2GEOfb6h`75 zY|fHb7aqP(mb3?Gtad0Ks0o1&uAE@_$3~5@SARA5Ow7b=RpdOs2>^P(S1Ot{$uwZKy4~3u#_!l84=tES6%3Kjl zm-KK8#9d%1d$t)is_@^SQM?SdeCFj)?XoFNzw7Ckj!Ud?O=_}son^0&GhF5n$+KkV z&airfvBXwLmQsieJ#LQXt?`5>9&GiTU|dT6An)JHvLg1t(g}3_FL26eYQEeVO*&BT z=f!_|U;oyO2{9S~G50mzQRZ-&z1l-9{%1Js)aL^2Ws}gq4Os<~>P_O1#NgO%O=h_U z(Ww7Y3p&GP2`#I+L|}NFZh3oCLIt0g-VvdKV;#e6uSD&Yj8}o;X%Hdge3v+x#|K1N zVu~}RhOvD8{8N3%6)#kz^R&Vm&j}|+W?;n$!#7Xj`OxK?rY?O`9&|!;XG1FOlb)C6 zPk;#6F%rsBnoe}|TmuoNdqsVIDJw;)Gw~CxC8G_+oE?KV3$F93w^H?CsdN>iL=S{( z_u(*nw{4cMZiT$YSSg18{6^YAdz|)2o_H}XU+sHqBWJK<_P5zXaML5wI$62X+fu<#b?=Y7#nC)qdz3WBvziFDxvaD*aJCe40&n{TYXkI}t{PY+zgG z+^V0|BOM`tgXvIJ&Nkt#)f{!jnz86}eD$ScVV#{mGm2F^UjfI&%I1XaPpoPtl||=yq0!T!2YQZEG_(G1@3<} zQuZsq(XZ^mZe#fzxqL(S3Wfd~jY&;J6~={ zv~#JI1)Nb$@h6ir-0+E$!!9#V4kBt`y)b3Lsx} zj~H?)sLwBh@~q#*`n2&FjbpxM73x+gROVbBX`Am=e`mBYIy2{5^F>Bxdd+N}HfvFG z7#XUWO`RG42h5t;?6}URAy%HH-+dam>Zbev*@^bl{Ck15=fKQa&VgqxQ(RP!GyAw+ zP*1F4%G|~7Mn6}!hQO%9Oud=XXM-Hnn8PO{ucxjG>Sf}5JZ2_CU79o5C%Da-DY9Fb z$z~x@RvgiPuJ2d2GX$+Na9$VWGJ25j!W(_p-RS#H$DQfZ-M;@7n(nTB@lJR8^yHe@ z(DY=B{7by8C4G9LFF!P$w?`!~MFLZz0+W4L3v@PjE_WuRzaYWxsNfXe2!YQ|>QV! zXXfa$KF&IaKQ;svM@htHZr=$i%S_g~u$NdqMfSOVVCizb>LsIXQ^u&_yD@m3;q#yY z&ub=dXwvHGMCscRiEai2LVdguWk{YQV2@VMQNong)7!L-BB)=j=VC`_PgSppB71~x zB23=U8iOY(t5JMg+GQQ+P`xK(*4?SxSzlO_I`+bvOGM93M9b|3$oSef6)h?O9fj0u ztf*Sd9HF)j6Dkk=c#(=Dh4 zYHu7`W2G1Yncw%E`#h7NzRN#9{V;j%zMXsSxo5lC81JWM@*elgT)90um)gXM;N2j$ zbXz;yHv?=b&s@kT^9mdy8aSES1&*Kub5;K(aX34Dcw~;$IwSqKUvRgU`Ouab)S2}u zvO1B)Qb{5qb9buSo1@D8%a$9^S#B}qni9%ctL{I1)RZnwxosU^BbT_?v0AmDJg2&- zY*cxdjP_h&d(i!XWEHPMOw|+I0Tr0s{iN5Nvo-w3yZUqUxbNjuxg?ihn07c;P&zx!f$@zLcr! zaK^-(1^vrbOeI_Vvd+{Qk~(FfvqEf;%nRInx!32)jwH2d%)H?%aC?FeTf6wz)1$6) zGF1DkbMhQg)h~^?OL}vcbUg-SJd)mn8Ed>xTG8Svo9c8~I)_#4l<70bomXb`d z)T{xmmHh|Stnjsl=XK-a*AkxMZwXK3-!%SB_qQY=L1FNOZ{Xi7Uu|pnj{VsO_Z?a8 zOC{Hm(vp;3`*Y%>4Dz^*k9jy*2ZmW5S&FyT%4V7F@w`I*9&S4H1tsv8*Gw+zW=7mL? zG-fU!V4fx5jHxqE>EGGrYzH)>r*NyOy2gFfqt#r^y61QmA`@*Yp9;$yKS^@ixpxzu zjEA@|P_|$Q7b)*bY8LBm?;$dA*#UJ5D@q?WA{I9nzoU;0^OkV!hBve39J;OV36D{Z z-1GK$ZpW|xQ2&8lCvA#MsWH=zh2G@thx7Q$+;n%I7ob@@CrjE$;%bDZi>;_6?^1qWa^V@LcnQ zJ9OT{bC-QBlI7&h)GQkt@g=yU%e|H(Gnx|nQE{PvE)%&iGBfQ&hA@tT zyUlSURQ@IYoDTvhx%Uc$9L=uk;8(~C9R~=fHaT{3(5W8`*jRSKIM!UZ8r{&fQN@MY zafhvz`wP(Z0O**QZ=Ng;fe8m~c!n1~-G;|{;Y)0|%nOgS;ZnkaEZjb+ z{sowEjai=7VXbZR2g4Y(dNF5---ia6JfcBYgwlkVGvu&CL0 zJcv;TkjUruteMHD$7>Q$()&mA_+WEXL<5fvQgUv7*@E(T-?Bxc<3$L~%feOhAY6>H z@VMC2i(hD}mWPGDgPjpV>eQmq&Df)My~J;PsjU$ zMpmpOPX3~6&w+FxcG7{gpJxLqt5PcvH~2EA@G_A5c^t8>%fx&y@<2K$Me?M` zxYP<^LQ0X*?k7b&ri~ExnbdN+EyL1p`XiNq;s8&JmqnDyLRYfj6Gns2+?7sz z?>;j61&TTII`h0pmYVXTbLDxk?zB(ptmuomRy9oyJ)>bG7TgyrTw9$ zQ=|lxB+&PK){LbDI|Uu0*HbH{$G9ZzEy-+{jaf68syx-xsT!TSCctNYRTT;b1oNxL!IYAF3%nDcYE;k}F5HPxh0dHn>GKii zWxwXSssjJ%AA{^fMGdz{QCzutYQunp!zxWGyhQwb95$fJN z9-?|tB~xdS4)A~JA3bP#_Oa{>o%3~Hub%Z-{IS1{Tv;%o(yQUNKN*XAma^R zl~In3h{@NC@|~!kCXeondjQEZMZchb>z{T%m@}QpuJDY35aueX9 ztD&t_l-qPC(4sINegT3`xA_Ibdv6BlJ~FO8nX?Ou9)YSwN#QCMu87^o?SCAf@}6Qa z;*1^bRF{*-J!_L&`U_gNcT2(LBg@{4_j7vf14nRiQ`~U+{osbpAXqSi-Ui+<^Da>Y zU!?4*?+=w#HbqD(ES?q}l#b!oPl=VvvGa1){9zuK$?NfV&B^i7x?^NVwVDLXX_t<> zmvrgI%Hffzv11z}Q7GrR8jfN+!lUKXUNC`MAf6I5n>-G80W-dvp zq-$K2rH2KNq!uh@MfzyRn_h<*B#*#Uj69@W7yiT~-c(GlE0pTrgEONo_mLjM zS0OBOWiFcn{GQ8v$$7TqRiX%vA&Iw>s!ii zust3jb9V~;h3-LzEoohSd-9`3- zqhwf}09H^~e=8FU*@6X$g*Cnys_F2Nh-^y8t$nC`a(BH!KZZx9#ZGRFOp`loteBHO zFWy`0m+OtGr*_tSrH~7;lN$AJi`HTXahhbBCYgq>l)7UB8};v(YLm;68KSkVTv-;G z7wemf%xfv1Zma1fseLrHseHQIuj$gS>0hczu3_c_SU>`cH%OivzLZCXXVk(nowd=@}5uwoae6R^> zp7hslcqMBR-x4g8yIb1LH`htrCrIWE^UtRtG&e1t;ZzTC)@j_7V8ekWXp5HZT$=Cv zdqP=D>ZFTks_S?RAPi;kQ?xTcx(NIcdX@?1A#hf!Hz9`fFK}N9zi{ z&{IPiUWg3RB0~rDuD@VWdpbrEe=Ee}Nf}D{jUuO}M+awjVDg?@HV99jbpFy{cyV=3YX;woC*ecFi9hN9l3MyVD6Ncoie!>K(p;mL2gm)ztP4RK;h9l*%i<)_o zAZ=D5rfja*jhr3r)O~3GeH1Z|=Fz<_;jj6HgA!+DmOe?FT~sM;Urcr5_>3z3FMlV_ z!(@lqBSo=q=*PrB{02T0{&=+$S;`Podb3`?s(9~$7*j+S5=$~ZP`0KYD36rKkBORM z7DXh>F8fgVI?X7Ny0!fEMmbTt(N~vtlX7rNnj%xo&9a^r#|ba_?e)Ui17#jp_8x3h zNaWfLFJ#T%<}kCzbI@^553CCV_vHOOSTi-I(1H!TAmO3(bT)H+zFTguz_NTM?57=n zhbwVPES%y)-N=qVwEPY7XkcO>)X-uBG7q8Uf3yLai&JOIT!fbY(#y;kmRpTZovTAP z$SBVNJVdI|rfjPUfFzU3USi934v zVqnqheQ_6y{vYH09@g!WKiE`$JxrGQIehH&O(0&y-o<`2O$r$Hy4t}@nB1V4^G{`873}wTMGOwpY@pYygTmY{7L4kXs9(r5fzPI#EP9C8X70$(S|mq{%y zDMIb5X!qEG?}Q8A`|G;{N`8`>>&2b@PPmA;>q>$@XTh7dxS;dh#TS zO>z&d9113@Osv?)^c#!F}iars#w0*(eokZL)lfL$?eSt z6PKqMb8{QeJYqQI@n+OUDjrnDapz+dP_$GWVA0nP*PeP;u8PE55pTr ziM5A->?rO1DG>1fN&NJh1k+r$4*)h=M^Mgh6Pc4+JpB6K)^*K)U)MOTtLjU2u};Y? zqN~@)to@to3W#f%7#^YO)w?Fya$WP1J;Du}l_?}N+6$Ro2lEBF)^U<>7Aw}=s8Kvx z7_CcA@<;%#xF*n-3mW; zl<7-bNv?KUHO)v?$+6hWA(fO??9upr{MKmlOfOj~sMsqtOtC3pQXIM7OD(koa`ZzJ zU6xoK%0q|p!R9N!0XEOrH5A$wT9_*W$DqlY-Cwgb8gaa{ZsWGPadv;VI|l{r-NHLm zB3ri>NtcjCl%Q4bQ3yd;)1--ab2C!X<_!aRcHS31BW>f(zQh7t3v@M>)W5atP?ra$|beiC$wV&ntGQ*pBcn8!KE;r}j z3sF|F8;crVphDDdZOl&~g9!Xv%(wq3*Qze*Y4Zj;yHIJ{B9JQY@XhX**Om5~i>RwP zzO8x5UV(8bpEkYOBaIb@+B&53$m{{|B;+r=rThyhsD8xHbP%0aw zq)0#22<^qKqE)(IIKH<rjUpIR6I zK%1h$#>iwopkz{!seDXnUO2irt|Pd94(2F(BOIC?=zKAg<_;}uFgN7Yru}m3W?@gI z-#Ngqr&H8odS5Su^ZlUkOgm40b)qhdyY_V2u5~y+llkDz+s}Cw!4cRIUCtM8=sFn~ zSG*I8C=qZK*LNi(FJg6kj81^II6~mD4`nS>{WYyf zzhVQH9qA^2iZpe#a$;BlYLlyBX9;8ftkYVsh zUZziSM2WAykk~*829^x4fQ*Hbu{#+HHDh6_d!{eZ0bhM@jSeg+&}r!I5t4fDwO6Om z#fFEDaz_-6E?9jaDwKnS#UCC)A0Xkq6I}20&`unXC_KZb4UbFd`@URAQ%T`E%}9HQ zBAi%%KM{FIU=;l|mCt}eU%<=flzEDj%pjY?&&|rq5%`=eJ+wA%Rn+G6OjVUTV!S>Y zV!o7673S-u5;4yF1ZD!jCGf>qmTlRGD3*m1g+CGI#V%!k_4_@n9c%a)JCn08xi-Su z`Vh6gM94`~Q=j2=C_G7AI zCs)NTtBUo@T<*N&DQoOB?_nI*CI$kD`k8(?E^9aZGi$E9?r^s4d&JfzCrtKd>T8p= zlaFL>(>p|B;Xq$(u6`yL4)DcpM0-WAL}jA}#3wg5$W%qlxPP-%cx|!WMws(?inp<1 ze=Rg{ZK~pcm7fSG*n4kg2KZ>;NMT{piR$1aXKVl>t;MYP2m1_Oq7c>la>RnW6e!J_ z!q_!cv2Indb2DFeDrW;=0nDztZ5%xVygN2``cX(Ywm7lJJZ)GQ*;HAJGp1iN4{gg7nYy&sEp^izVcO zCMKpiU5>$8-Pu~5-A5DQ%AldKR-o4xJB6!6C;D~okvmAquG-G8xYiN;>&^+A?=48^ zjss&R7R76qEv@n;aNf{k+0t?HQGbDz6kIFHP?mcTsYN%0y_fN=4HbF~sb)kQ za6R>KcD2#w-qhw^WCvOxEoUBiyH(B?ai3_(r_UUMWDXG0*?uS>34&4p!!%3?T*dr5vI zmSj#{1`_2i!&lZr_%2P(N&7E|Si6xeF(E6PI1Ji(i3QffY|y?F|Xb!=m_% zWs5wJU1UM_T#@FQp9&X(*|TtX+b@Ab@l_BIx^D;f-x{Fx)J{OH(F~!DXcXHFPmn>0 z58F@OU+-%_zjA-=)!y!Ldu!>Nt~=|rFKmnt9+r(^H_sbc6Q(r*ElYPLw-^Q2qoGu2 zR#R(aJ50`tm5D~y=L{9$-N#q6J!2&S`6m>K<&}(vU@gLW`Jef6kx{75WX)Sq2vQ5r z)7d_*Kuy~fc~fo+Iy)6oF11_iE7N7=kMEMMa*|;n4VhW@aQF%P z=I;-2aN!9@(FYvbtLRs9QK2s`K6><#R}mUAAtKAsV~Rg!3da_kX^<*@O($@m5(Zws zIrwF;jJX!G3j_37X+2q8I`F7*)jl}lrkpIjTt1Pd*E`{u6A{9%S6Ow{lAu#vg;IN_ zj}sBH@fhOj3pB3Si3lM`u5prU9BS~AO9)AFjgwr&SsnG**&bna>Va@H5I^BSd4GTW zxa7hjU#wShVKM$@n82CRT{-yjnIrj6IqC%m$|nY5CkUGv3tQom2QGdgllO%GNxxYD z2rw_oto@3=oK1;E(|xhilXobe4m%}$oc$f44Zc%`IFWe-8wrZ$s?0C@ngTZn9}Fj}cBi`&8O=|5x%ts@vEOVLr&)xDn14u7C7dv4YMu(~qOg=r+RvFk z1O*GHU+JiEuq5_Cw*^a&$)8Z=bT5UxuNj?R9VkUcQCXg!UyA5|rB=I#j$Q#9oPF%- zXyxdz4y&c+T}wogq;jJkQ8NyVGA%O%YD2m}N0A#n#d)PVHDbt0H0JQk*^8(*iUGu= zU}{uQsWs{sA)5@=bww7f`!?FOR)8(igNMXY1Bo2KCIKq%?iB=ksbNKh{(YRxO; z!}9s*c7DOHQ`WA)FoYv7XGJnF7)ObbTMf7V*P3Jr&GC7*a5Sj zj(0zu?Q(MIxc5yE?u$9&&x&J}=C#nlNhciN5p_A(fS;+baWzF~Y?7@k0?}}Uo6MTW zsc4lhXRCSd2`p;>8GLoT2Z-?1YR-KOGYFJ(Tg{#)4`;J$l;&TfE7>YgJ``0$R>M5- zKo?`OFwKjK#O=_;>9#jPo>o)F^E-p@F!l*_rP$UF+5j|&&Sr9R@Lk>^KfHUuuD|Sg zU_qYqUL{di$3Ju(QCS)Sx^%0{{Gx(+RnEe3P@oN)mUds0-?ogJ%G#F()&w%Q3vOw6 zoo|2UTV)6No0qxS`4Ag^=*rS|L^wgjG=)D&#YzSf7)+p81UW+p3?VS!CrEmR5*SLL zInhsf&|3kl#_`E;@{U= z;31@wd-9SUdGT(^zkQD8DM5c#EI;{D9!n=`a>pP=R>FgGl=V81!vJvTPMdjL-=q`F zueBTKuCn4u13K>)x@GX30p1cDd@#a7y57BYj2AXh zVW3;e$1){$fQe1tau~;3Ozl!!--r#;(YJ`q2<`?}YB}{yCOlSBDE}Y=2iU5F2pkYG zH~&qDK%BDWT7V7{#(a^8J&TlC$V7dRHGdrIGTDn#6@|F1DDuVU${g;@ng>WyEIcl; z=tf_x5+bbC^rG3+qSQYA%7bhmG1!wu;c-oLK4wuDrEEXGJCf zqR;DCa?4;BCM?Zi&rU807YX>s`r>!d*-jGyCpnau?5ZLBPMdD$7IPn&^q{O!=Y7TW zg_+HASgqi@t`Q@@flAlt&Lp$BycMg^gf>~xMv0j1ezzFgS!|j{RImpP8hgiR0RB**#ZeD z&9Sbj{+MZOd_)Y1B>b6ydA+D|#)?oeSl2))>fqvA2-heXF^)5IM!h zgqH3J#G-HUTWTUD4*wmbevY&K8bYPYjR%9annsFHR(F?R-f@~S{-$%M%(MBtQrePb zpmaA=duFHg($8O%;}#p@R^tE!7Gx|R1UapxNRn&sm(GNiL(IuSsw=A7sZ)d@=wvMX zwyeMEWS}pRkjz4lKqf#lAdmPZ5&>sshd2`*BF;o}nRc4*|A3^Gvg!@5FAkMOJC^k1 z21uFBcOMiNqi?w723RMgB+R4)qv(zf)C1){A9?P zZ>JrSxjQIiM6_KA{TT^F`udDp1i(k0-ou--DI;-9_{KwI1+0RE6+-8b8=-eaTlaC>0aJi~K4QP&T zvN3|4plG+ID)u-P+mHr|Sf0%cwfgSElXHT;f&`ADpWISuymxR427W%=9Djd@XW_nvN;%%Id`eCOybf!S2nP?7cd|) zjQN4VevTpPbyrfj$4+^nn}1Y*RTZapiwh|ric3hi-TZdJH9vX+^vxEf1fBxPo$M$G z-T4@!&6YHrIe&%k75{sn^0__Ij66v~>i&V5eMFYHa~+Od z@PA*2{^ZTAL*CW04t83_4`B!Zawd%{i<;1K0f&oUZ;BpD9_$vnQ|?6Bl6%Kcx`lt& z^KSwF?&RMO`S(lyNi|VZio+o7_&H1wsuV}X`C;2!2{@N-ck>i+mFx`q;nxg2I{f7d zI2gL#SB>D0U0U6KAsA$elMCB1uHs4uyO&vOSAD?#_FEY^XM5jhMY4G%&+w@;;>XG- zE-)oxzZujdTU}<|tiW0q_aULXL;}Zxb$BjBG?_^=XOlzJ)Mv!!NxO-qXds^Q;E4DN z-|1r_IBMXdYMmQ@9xK22K*nEb+bo+GTr!AHyY~AzSvsT>+mVj7 znyX+ViW(-TgY*x7y*o|RA+iE@BORfJTcB3lBrPmDoUr9US zC+ZDTE6vzC<1e_A4UrP?i4OvqY-LnnY0%x=Qox|wCnS&f7M}x$Vy8Kch{VDfJ|b#J;HbHYp))n1<*TSt&wBCT`~$&Z9+gmig{2ZeTMKUWwo4SBNKeD{o)*QI z-tX*3zIn)g1k6wDM>lh~{U|Ug{Xo4sAs=+4c0()vZ_B&rKHNd=a`gA1!F1bd76;Nz zMxtIqkt<0d^bG!WCAWTsWSs-t19%j{J(ugbbSpW5)*u>u6iKeVfzwSqWJsWDa#5y+ zFEg1qLB*%u=8efJ^XgINng0ngmeVPRjL#&u)^o$;kE9l81hDe{(e%~W-Bp`SNPFqp zY@_`3nvWlYUUw0c8{iH?{>6EHcG3qtEjVC19 zbEG-yxpncWREEwE<@TFD+{vZ>g>_dz7@29Xhse1%Hxp;mG08NWzNXv$9hTMdFpRE? z=m=V}MEbCVK7a?7pTL}W!~CpFhbhS|kYl2h)9-c}t}5jaTczWdA(`|=GG3xh}>Qa8*ebSK* z>F*`E{#Hqxy`nwORDp*2+FoBkf@t|aoizjKCf$C;n?LN&CW+QIuBPMvX?R`S4#>UF z+AX}=tggj+h~VFx_R5j0UisW~)PP2E54G(@ZF>WnS13LIhPmet++9}O!xDh5?j(@u z)x6?98(c^*wP1)==CZ&7yEgjnaBZ}h>s$tpU!#B({@lV1B-4-E^1p^^dpY~vJ1{t* z(H7G{Myh?)PEL#YyKt?sd898n-T~(phxVhgX!sfHhB~8oCNKN6UaWtgH+gWVQPg^~ zbf=S)^)VkO58A?@{6;PHLM%UjV$f-nWGqTFuvvLM(TUE#Q%HKzc6>#SM8z(H)Qz*m z)k~Za)G#6&#kV5-jlh_(@%OD0GvvjgXG8_bsvPNWP=ArDmRc)Rku3YLX@0|C6Wxwto{tCc-Lfr*Sv`P5%oN4ZCNy%i?uz;x_D+LIuKHoSn*V4a-?D zy15#SR5OtYLM_K1>%DBOMCUCV3%vNnW);N>%gx3&cD%by4kk7{#QGs?)36`o{&JCE zRgO-D5z|$r!g!1thtnsgeh3<%g@E~j3or`_4}f92VkJfSYz>!SI__Kho3S`h4WC`- zTPi!xJT3DX9wD2!T$d&l9@>1b49_Vr&tC$QSOdc0{e(lBv!*#b&h#IBsPooc!MG*; zHjV}Ce?V`!!$Ie&oY7)3{d($N`Lc8M=2CC2n(vKCtgu3Ua338^E?Mb|FF4S!h}iPj z9A{PlGt%^E-xvsv4Bi*d@TlZ?Gkw?s_U4ARz}() z>r0+1$pgHY+jN3cl_IQpW(X_gcPi`5)qjB*w{;z1k-V(gg&~q?U?9d(T50p8T@Jwa znBnFI8L4^iDp{Bj;N#3c?X|$g`Qd?BAq*9@-|zsw`tuL%&~Op|P;CweB2IpU<>oVp z=J!iL$_nYIqDGH5*0`qyd##tp561Z6cE#@LJfM=-F36}Ll*SkCbA>`TV0DJOo zNf)cLsRIEexi~c2TIGV!(M=jK>zOCfru&{ut;Sp1JvdjF$?e(FOM{ggCZ$}?{u#ZJ0teuWQ$w1-eRKk3WILoQ%8-4SFZ+!jR_U$P- z!$o4?+aep4g;)|=8~HAb;q_OEe%$QLsm+ys^F0FcPSI=-%@5^!s~q~~oiwmE>GzbB zvsHPZf|zMS`aIEIThs{$FK0yS9;9AZrYScS0j!7_^MW1=-OQ!m>4IS%A*)b%;x*&* zblZGzaz-d}aEd+x$IR$it@Ov8I;C%z@+GWHd!=K;EMVz-iwA_=;7;@WVv@C0HfI4d z{r8JE<<1c#Il3c54GDU0$Fo|#KB@5@UvrYS$K%-+5_uPfQ4ue47?D;JVc;Bn>rEuO zdhFLQ>$z-KNw&0^fIbu##D)Wl`&P;`3Pfem{4p2(kv9q^#Q&w;S}8Vc%p;qJMG|wI zcHsqHFPEdu#j1uo-HJyG@n( z?8QSjzkLUzT|!S;<$rY66T5-$ri5HLhgTdzT1qH-sBxu42!NiCM8pE9&cq4K1X;|8 zH##}anUmWt9E#Ai;?Pj>DbV;`DQhm`bTZ5MVd3lpUEtex=RHJqsDaoE?%Ak;0C@kB zBTcOV?;RpXTD(Vcy&Tw_^&q$x*uIKvU0lY@Nyql(Iwqlaav@A9ov`Rm=k9Iqi%@6d zZ-AvAV{4vn8^#Hd`IncRIiYRA#Yer^RNRNGtz?p(dpf5EDWWJ>fEKaUofIg=8bna! zVaHdBRY?D@v5^QzJ5mvA8X;M;^E$Hg_T%i40SdoZSas$Xt*XX#jVO@D3dOoNJ_-f6 zKx~9s`d*}vD6fJD7~u5!6BI%DNN(D1J~-W4F7}jFNSkL~lP4%dpep+Q9K!`*>D2Un zF4If*32d0g@t=qj3CJ_JIr5}a?9${0!4IPHYA;G4hU6jN;!~n*PmM8;E(Bci02g>A z@d8q_@%J6QIRRtm$y+w@vjoyQiwUW1Vp8lSLAYItRqWFPH)n??2cJls-Z+C{>@qvI zP}vh8ff*G&$Lr0Eg*?w^V3h65Nv$ArH7eaXNsYB!$Pf(;dH_<3F zNG^9Wn!S8@x=YNl-XUEgFSPt`(#5i5c2T@UeAWZvt`a$KTn#bravQ_)^qYkZ{|q%e zr&*oWZruEt?(XvoyLE@em9GJrY0fu;QK8b7wfdg*`KClo1K=cj>)&)L>zwlbregc& zEI&ESh0k%)tWe?NQ@cOUn$ld#KQ`r3c9J5+2bYbMr%B`8QVR+*r)ux0V~V51U&K)& z8Sgn%DYuaPWJh8Aq^^4T|9S>ygMFs(7M9gsX9d=re_#BL8Q?HLfCQT^SqTBoWt(LH z!1SJ%6+~g1TKxsx$B)aI0d@hS&+Kq!a36>lH&;9kaeml1r^)g)%NTeGEn4?mM{u^w zQc9|i0Xb!anIsns(Yk08aH%%$ZlwDa?X4C2Va?cMM42NCgTm68JjRuKYTQoqqnL1z zz*mLJ8NX0e8{?&-)EGO%3i(jr5NRHNu-7dw$M^OxHb@KmlS}sdV}Z(RoxoqGa|VW>_06H~CcW5uD?b8y2&v(Png3$OpO& zpz(no9tOD@y68r2XeZRZ<+~`6QxC_vRIA* z`)r3C0-=WQO2+W$d~O`pPHk*Ct^r)*!?&&j5sXF|IBJTRSWs~ddb0^0FjUvkQBx?> zEojfW+j-u+^K$^Li`$B*`PHBDg${dpolFng^gED1j_6lM%~q0X)%Wxm>GWM=1-t5b zAQ+}Y@~gNYbk{z<%Vj=gsB)HIL$Ywbj%xkGGG*2b5q*CK_Y~o-gMEmw9js`Bt}P{)LXxRZIaaE%i#uQIRA(0M4P&v!9my3gJ0A_}i9mdYk+Wkp}E ztX$^QtL-XxQkQpDc4e-zzpu~tjmct67iu_3u>6*Rx`TBust%@lsI${vWA2rvV4EVo z#=OqdK6vz`*J$4^7A|2$$DDmk`mR$1d|PPlz!uE3S}Qu_7P2uk5U$HGl}HiqGj+)1r764B%|udsoTAa-yO--E-FUM!}XUGAYrJ66*p zwMGVGh{-bdUGZV^V}=PZyt0mY6JVGPyIsRBa7-qgqoPOJ)n9x`x#En&6hVS=*yX$3 zKF?wYjLu~DSCvC_z6{1z`A|ErJ7L{iV{WEF3u1P^LKNtn-lLdNNW%`@aRteGl%CH+ z-`bCp;sf3SqfnrAPlZS3D(Wa(4vZYHb`8ljrpWEhr+u%gZ1lOHGv^kwf?x9K(oGB4~G(y8?NjOi# zUHfFLCCq=-3q`AZf3%@}qj@6RMod8=Je#%D0q_MvEdn<~Ctg;p40@ep| zGqgV3G?<4;pnKPR1BmFn6Ewox)*NEF=;nCh=p3U<#G=0l!{nZPa^q?>;S)rUF`9?^ zeyh0xcn6$Y%@Sxba-QJkGaBU+`wSe@1rJnW zJ<+#$LUD6V5gTfmD06X(NlxhRmutU*rI6-=5#>MDQ5a=uvxeP}+y8_gMWvJDv(p7` z%V}0S`U|!uizsK$dXLVp43sJ7BGj;x2C#z*)+Wu7&~hkSoO8=9l#Wd2(e^iZ6p}eP$|k2DIMl|qtoV#?S2wS{YaEYD%f%Oj0dyQ7R<++~Ef?ddwg zt4nl*edhSR;gpYvn3tZ)_ql^YsTZ3SR2Y#+Y>v}%{og(Y@6*)ati_65Adrx&yxOP*Ys_gzST?TJeI@Q5;(&5Z8Z}o$rbvQ zb;B&?zv4Lo^u?!Q>-wZt)K@f@$iN|zd`fG3oL{C+?ER!Znp~$jPsO0sdPag+5*1)| zj`XaSS<5daT;E9g-Zp|Ik^ZST6%RjuKSe|oMLf6!YhGF;UDML|za~u=ODa$tsv~F# zl=$iAzqR$_Yb@S3w~B%<|f_AE@uTSDt;Se6XhlbJcRAr zpFV=*wNH*5IT_J@cgf?dTu7bP9eof*bC$)!iOw2LI)Jo7=)}seBfEqTETy{I@x}|A zmvrz-^T#sy8v65V$TK)cz{;GSb9&a8NBLq@9(|WxQ#fK!;KcY>a zl~}K8%Us{Z;Yd4ToouAU+0NkelONtigJ?gA-BydUN{X7b1YHsjF5eZ%Q!VqVF)7EU zPJ{4wEnY#8E+kmVk3GyX{Abc^Y+j@O6$PpIZ2HgHl#;V4KG4-o-h86SfKsZc>}oEb zCH=T8xl!hyYow=;QAbWUAE*fJ_!jJ+2LNP<_^irv0R?l!S1DZ)1o0N?FX^_A26Z9` z)>!FS(J^!H>2hTivw*F%2yF(PBCp~tF~YGH?Rfa)A8^7^V{5sxmVhX|WQ%X=LQmrgcjO8eQ2yxD z*+#&*XLo^VPhm+=`cxp17H;m3p0^d#LQ($q+T0i*{Z>^z5o2y16!xzOCM*N|! zsp}3pO*U0R2}(_2x|nXeaUiSlA>csFOs*{++gaX%C@cX1y)8>0JHDx~Bs_+3du!|HO_@{OLU5kiZBKorBleMUX6|VzN7Y4(6|c z61*7~#rro;2~fRABA?BgVf45*xs+>6o+z@pFP{l6PxUP4x5sGM+i#_{#CD=lz2d{y&X}wRvC1lJmc|SI4o3U!9Mx>#~{t z{V(G&!@8{bHSmTOZ=j?WZ{Ue9EK8R;Z~m$|*S+n^ZCWZ0$(G5z zhyV>c{b$oN5&v6@Yb`l+!0ct!JRGnY<^f@cAp@n-@^u)dG{#o~K8Z|JYsoPpDJ z(GAeY8SPmdqWC@r`CUg0PiO@PsaQtD6e0YoC8UZyRDZ)GdYyLU%3dp>kGgX_%% zD+o`Ys@7Q$s6`SBqbqp?SHA3WF0Bf~1H>*n-&J|5*S)np4OB<`pn~*ZHd$^{?v(lZEvY!7@eUipBVK()=*>Ru3 z#S*N-FC;ke9fBR#CbPc9r!5~)5N>d4-ALZ#o~52gR5|;hBS57#dG*oBUG4z2>rQ{1wLG2PFs?3pVh~z_U2VJJ z&2TwPn?Jvw&DO3P`8c2dqOQ z@9R5tY|`dh6dY@L7>H~^w{W$15!hu;qCwEqxs%N$d^uZC@qHV~2GTqhj_e;}h>7OK zK*5msvZJ19>i(ook#uvLl-7EA--Vv17uDCCy%^k2F zGDYg6Kv*!u)Dh#_`{~@7jVw5eYo2lcKIZ=YmHjKUgmtvg?koy6g#&{F^9zn_jTL8x zCzlrbVne}TV+zeg6h$&;D@Q>dPHi#Q{WK@qYcXZ)E>{W`&qoa9K6mw1u-XOY|G9xu zLR<`>E0&(HefbbsgnDpvAXo7A9FIB!N|0S#Ae#xR(8gn-DMcpLFS(QrdaPJ-s`2$L zB(RIh?PC|OQQVBUiDO_Fg(VloAI+MpZLSl!*M**m2G$-g+`f79^c?FZ?TQ;w+`uUx zU2qai9hcRi-HqzG8){g?q6mnG8bnS|9eQR$VBPulTGsNU)D~)3Ny5e1&@+{RWXDNM zkBglz9=*;SS)=EWd^x@#1mOwyjZ&Os@IeEo=^#(t^Sw*!KgmHnY>#+bgMk@==lr)A>@mYKzR8Ue@KstP|wLtYY`YEHRei#jMcs2km-1 z#8w6e4)%8Wwucn~4VXg#A)^X;MGoZQ5;GtClJjKhS3a;c!&X$*TCrm=RX11c$SEXU zN}bKoEiCuBoYKwlEv}YP*E}nznGE;dBgj+V#j^{QEJk5S+VWwQ2D>L*PGtdq zcy#7MZ6$s#;DPHGd<$6FbiO!y6j8POrF~cL?ipIGm=OOF%|u=nnO~vesv2jW_`WH0 z8Erp!mWG7aCTpyehA1(GmTx55S$Urf8SY2vd~U+`6F@M$K57NSi-&QfI4d=Fl=o&r z3vVX0PbfQrCctKdsHaaRFS%@4F?jeXr9F+dSv@@0X^I$_~<;nM#3eoeF5Iqyk zR9cWtZ*h>|iP&*-`Y`=z^8!PPn9oNs{Fem zj;dACVCs0qBZ?4*3dZ~SZ{=Wi&qL0Ba6JfPII{&O8M|kFcXyp;y^X9QW{eMjmf#tJ zP3}XKod{&cgSN{e|Ds=K+R6$&{IcWcIeJU3c8irJvkvzKK1AAJ9}WBKDlx9WJFW_< z|H;7Sh#X%eKrFS4BVs-x7FNCBX!xSAEoEYMOn0wa1KHaBRYB}{m-1s&$8aK-eG=!D zr4qkzD0M2_zW$h!j2Nk!x$GxLp!htUx)9Y)1A-!EDWl5>f%HT2D&6S8Suc|W6IdCE zC?UEp?=q&GcBMR=DO1|b;Kj<-cD;vcJ^GWai{w~_=AMh9|I-0uk2@ntOV`Se3622V z;V*+t>_(sMZS*{%x+t4esj|r^=Qym#>$|acQ^z^g0SwLY)D;<>!f}d3B-0i4l6Lx{wY2vmBgr_vG;A_MhsiTNo1d>$w_T{y+|X zcLBZr_{Ah0$8KUJ&Yr_`bjsrusQ0rmBIYKR)jcB43hHg8Y~@^LC03l$zY4i!0=eeb zN>cJJjS!vkE{*(cNhq1B*@`6FbCRt|WA#ZH@=FeRqelL!l&I^AH)7>)?sDs9O!xy5 zbXMeeW>Etss!Dott5SRp*ttj!tOth^x~>&BhM5A!sa_#rE#6LmIYruYvZu@rF5Uyv2x z41L@?JBP0l_I2!nM*S-&NwL84?J+F<@e8E<;CTg>ADmoFUUT65F8ts!Y7&0%3q+>d z{&Gz2G<3CeT{|}P3%4-uE>+T>A+T}IXho4nX%GJ9(C{Dchxoulk8@bq#F<``y;8p< zfswj(+(ihz5MO!h%sCxiG=W8Z)0(6y4OAuk*>dh;fUYi>a{M8k9;zm7Kbz z8aWPNZsG2h+%h6Zcj~4%vvD@KnmIBwtx95l(rTs+I)HwbS&gw<27o`i2Gyh7Sm`d&wT4(x`-g;s1 z4e_Bhz8w$__&&H-zR>r6ApL;hw$EHk7tl^gE@{uk`zebU5^|p?t`LjMXqsquX0~fe zuP{kvQ-gTedM9%X8g&Jd6{S`osP>shWCW`0y*6vUNpnErzywZiVm%TY1yH#osXA@Y z88f7;;xKo#C8Fqt&S2Th_W1od9{Y{-Un(qRNa?me_7EsRg@b8!sYT1LlP3_6i6uVv z^KwYm`s)x$iu;nyCK~j7t;%8a$I0%|Z~-Ta?+L&1A@I^F^nOT=cQ@)@jp86cPG|<- zW34B3?%`*8q6*{?qP*hSza8L423XfiTqJ;p6YNU1LtSU1LDa|J&xw`foR#-nJTB#* z86mS&s29532P|Pg;#@x}*lTT~b)WUKdo|Idf3EwV{>UW&=DSlF6PJp&_H=5**>|d6 zCV+)QKXJC`#ucTG)wp9tymD(V%~$BmEKD88VHPdK<BFAIGk83UQyC!7=XsJ)`R`?kH@PMQP2n3)>$a7SHuaU? z;oIXwBssZcldnGHR6JT*@rzPk53Hbfo03bO_0^wbBl9bsB_3O{Oi%LrG68<`ZA*Ou zN5q3itROS~aerp)<9V4e+R8RBj?vHL$FC?}dx~T#@Us=Uk+7Wj| zYXxdM9Ab6}jPS)`YTqva5+wd9+Tknul;qTy9ke4~EH>?g$N4L^`YX0%EB0O+YT$uN z+#~Ms)%VANOn$|7x?YxtHLVv&pqZh*L~nGO1I4f zOJ~;R5UWq$+k|zeItU(sXvgNQ)DUGAqMFDZ832Cn;Hlr?|!Fp9)JMrD>lzrC`xVjq=& zCgdhNCh8*jS5-OVx0jCJTKb&B8^x^1E39XIyDp%HNdP@Ixrr+SE3*3w19RRD+GMITB&q=wwe7Y;*tkRFSBhHa2=A^qt zi%FXE#U@_7DY0G#>5CQQtJk{3dKp$O=zMxWhv1Lp`3vl4UM!#2p6z!amVXYKg_Y)EeK>ykv_I^3?4lq=OM#>_cfYzPEYF4mZ^uN@#hIOsES~AYZnVD8_*K_sLRe zq@BvnNbUsmyRlscdn>iFM8fwI4}!ebch1Zmxge8#&P+iAHkEny&)ofKi_bTZx3=aK zKe+YPCj1R#xBDx0=Q6PX{+W9uQ;}rq+a=Q;GKHSjhS=<(r|*`vI3{rKF1S55;$a(M zi0DNlJ|;#=$grG1!`fUv84?Az62a`qq%(gLz9+N2hrn+B>73hyf7~Tg2UFIax~>bd zBVx{!Igr^_xmWPlqIT=mIhi_hNW{NEkjQ>2J#4Q2NT%;Ril*C6I06#*K$K=Iip=?V z0rFfWUv+Oj*|pC?5Iua~XQ~CN_E|0FM@VU1`>YmotI*IQRq2b!S_I~cfKpC}gK$-` z9Ljl0Z!y0`O6uuq0_(`{J;#+bpB-`?SBk80+d?(m|Lvzd+BKT-iD$|iWKLV)^*9S? z&h!3wE9Ji6{SkY{>KgMc66C^Nc8OSV$x9z*`ZNlzka|q=Kcv2qcwc-eUv@i3jksFm zT>}aUhe`XU3~6~lFtps7i!K_FVtrtYC!ZEZX#hru>l?}SscqKm6R7+N z@Q%5HT!dfQl$%ozJb$p2%5qckXEJbnDNM(;^fb^=x~<}nz_c~PwfCHT)pF+UvkZ~= zb6&mj;EZDX(aDPTyd-vE-qa+d*G{Crla$%PpPu>cPni(jXLux=?N!7O*=Ow$_?py; z%(ww?;?CO-@(SjL&gd9sG-Cd%smmr@Ov^a2Gvz+H8b5H5ZnnE-T*!z=1?+1;-Sst~ zTPI*;uJGgGS7=Pd-GwbF|_)NDDR8&{H<(?`O6s`Ulvfd|Au+k zp4?nO%DzQxeb%PMOwc?XZl0}f9@PIePj1D&OH-(CT4&(1vOJ2@b|3pJlbNNfrDvYn z8~xkW9CY3iNzf${}YU zUt?d^*!^>gWFwcu8_Q`R5k0 zhUqs~C3Orb9RpeH@~{E7e9D^cafv~zpT$|C6qYAlWamNcZe!dwo0v;mTq_(2(SwF@ z6%;LW9=!u$zgz-)s>Pi0ux!6VwqLcK(ue)B<1A?YS&lz*)}2gGI9QMj7v@p7)d&{c z=$S)UTSKG~W2?04`BXh8JhcqYV#I70#B7zm=rv>!=O0=eIeJ^-#uQv~F^Xw>?4|tLr=qf$N@NJ8YMw z{rxAO!Rqcz8I?=9hZHL=BVWW^Nu9j(Efir-S#CwQ1m$KHF@uQ8!Nd&O)YwPAD_D3V z=W6C7MQ@Bn7GH(l4DomB_aJs=YQe(PqIukFQ#@mWK$~YnIqxqN-KguR;&Fdtc#f+u zuFtxzkpB8>T=io-EbJC*m&C}dM6f~_%ZyK(&TxQ#fI4lC!0xB>-RERYTS7#DZu|#cywAMm#;dZS zdD$jhAoCeJhv^%~ESinvv4d%;oZ}N?S7h}O2j*@gST8HI?v zc}Bo2qZJkxrzFh0z8e%PNZf(<{9~QSfU;4u<2;;BDEHj?N^eyj|0nK&ss<1YnZtZ{ z&U6|bKseEePf++R90h~E!`Ym!#t%SYfl5_+ns>VSd|?s}XtT0^BRu91>((@VN@ma+ zzxf;KR<;9>ya)8CTH~#SCJPXKhBE?PD6eT{xHco`;K648{>BMJw@j^># zTu(T2+{G^-Zu#w_%Y5cgU&QJ)AIqbB|6@JkHMMI!qWs*oo;6ZWZ>`5(m*m)L$qZnV zMT&=CQxq>sF6=L^N%71O3Q+b6)E;VdUU#FaT{!?^TNzVb0+uz^iuzoSBU^EN6DY~( z>ua(v^}-}Dtamf96$%e1n>rh9itqqu-t4jk#qmmg=e(SGYhrU%#V@6555LsEK()lDa2pLml@$g6y{GJvQfw>>y?{aFLes}5S%;^l3*5}Q}@71f) zL}a#%xSLa62UlscIh6wL1y7K_$QO86Km`ilXBrdrMck$u_#)vGksR!5sw`sEqBz(m zGf)?jq$0t<9hpA5kc7o231RU%&|Tpl|R^Be`V4iEp!T|->Ch6f<#Q(rp!S%lgRf6SU*ZHHh2vO6=ATITB|7j z#QPp>71g+(SF8%`_)uf)>`HkurMq)0vG(m1*WbJx(N^sW1$q(tM42ODb%vIYmDv(9 zdO-4yx!CxWdEw%wNkxq-?`IM1@<3VKAalLTt{%aO5^Rqe5VNZA4-_m`UJOzZLst17 zP&%~`uD$)7hy3!ed6xRY4&SzUZOh=I*ts^Msl3R>Ig@4&A5|2G`G44O8*=+tHmYv% z#b22-HbQbLnXUYea`we+b1NmwI+lD@&*pXZY-%BfXZs?#fuV|(jpRlR7bWYwG~G-% z5myc4g;NYh(>@G<&OOd`J&axU-%$|-PV7Rt=j%bmZzydUe00fC+k?*m)QFx@cpz|@ ziVRGHQ8Rg94|(n_{;9yK$F4N*%9fac4_j`5X|t3%;N6OOCFCl~vF0^R2jj>0*z?uy z$5~QhJlW!s-oeKs6fNsmEIql=#_?{H#vwT3{WFFP&WhCeGQi(X^ReAazt2^_Mt1=3 z%y3`6=QAbEpcSU}mjkE2d*Bq=A?ks{n9N)^pSN$Ocn8e2|KNZbzu&qT=0suT9{C$P zuHrD(S>RH3fCvy%5tJc`n&;ytqhI~G9`LmvFTx{m!!jbzGrI$58b=L)hGQF#3vq!N zLfb^9M$INh-#+VV4O3H#)-f(lVDr7K+<2LjtMBE5ZVUoEWv{M$&D*QAW)}U1 z%2cG>)yMKV61)A0e9Fnwzd;1bd*vIr`+%9q9q>P*E~)zk`krn}ekfa-T2*uTY$+hy zuh_X}SgLA>bIpiU)ljX4Cu9$&A|qPMhn?N76{x5`WafO(^+YCXC(J?rkSO$zT5$9O z$2&Dcz$8x1P^toJRSrp24s~i~v*g3MSUQzOX4d-@ahYVJ_;zWeLw{Eqi4DzU%cIMI zq`xqoyed$b&X&(jXRGEW7tZx9?J1aQuK8Af#>P4e*ehx(3#<1x-bL%c?KyGDg&jVg z8st4i5u!nFhYQtb9S+5FY3Nb9Y1qWDMAInh-7!0`0TNCRPZaSW8i(&}4&SIuHVhhZ zOWxt}8Q4D3iRO9o5^QB4i$YT&xDm-^aFW@rnZrWD*oc=TLR_;eZe;^Pa?Fl$$e|OR z&DosF1@mJAOAvlTflFhH3=AGQ^&=NOASkcV}EI#eOf;*Yc`l^8GiN#tEFW=Wfe z2~3}64)o>vd&Jo<{Bw_Mia!1!OHg4h84%N3-9y{i-J)E9FheW}Ld*Xt*g8Cn!SqVa z3z!gK*Y=vm%~pMqhF{P{*adh3b%kW^r=<{=kX2Ft{5Bq$gwL-va>o z>^qE>>24Q6V2jyFP;%*O4co;;7wLM%9&;)EuW62NZ(g!ThA^vn{2rCG49oIr-5v_Q z{7vc+t6JTdKl%@$>e;DLLAE!YZj&v?2?uTr4DJ?3B%ZZiM+%@u7?`Mgq|F(<87LOq zY4Z`Mj&czx)08+2qoc9nQztyz+(gAy@j1?-*-aInHjY7N^{Gp8oR^u5fuk;nxgT8) zYHT%0=89tADP0_sBq0^9%bX)w>5|WU$ICjkGb?D(XQtY$onuPxr|i6da&C|Y^8TNk zo*ACroXy|Fl24(s8>62NkA50IQJ$B`Hr*X?P$flhr=xrG|55iY@KF|5{Vt+thFTi&W|EY_k@ zi!3H+X^TpgDpp!)J27h0$}2%5`}>}Go@XzCfbakRfB&D)FCT`TXXec9%*>f{&YYQ{ zyv~dbB}ZqSEOrQGN(YLT#+>WsS#*(g1IP4@c!59I=p9d0V7KymUP)I^fdqJLI9J*PX0F4F2&;2Rq(;+m3`0ps2 zT~YWC=#jqq_;r?z&Ps`jNs_LU$a;TblJDr1rcAxZym+IV7hd)m{(`BqEB1ESnR+aU z7k~)tvz}ogFSU<=*?NW2x^Er?3U%H?SGH(Cn)nOxOiq&!9O>d11fA# zm>=n2GJB;H6Q{XOtA2N;>6V}2zuyTuChL|?)|FqG&aK~O!vhK{4;t0sK#)p6;@g6Uvnq1-oxNiC(ltn;qlY_lhXY2sa>*vl37(( zI!2zI=lka;bC)k2pzH5S_7_PLfEIhN1YUdPOWiHK&hK$ZioU8qbRjZ4D8yvQ@~CMA zu`-Ef$qNIkt|nx*9nw8&lKHNTM6IRKu$M>L4UTlPbV0oEB>Te-AGpEj>}klHf{X&f2duTO*Wh4t6SHj+sotg_U??Zz1cO6l!x^t zUM3gJnR01WcS3D?c3aBBe=#d9fa6mh-p7}n=?}>?!OT?R9uyM-vv~oY6xDXOzK<~A z(S@Y0)=#4d8q$F4&Qc8L^?U!Hs6#gU-83u463kh;3~T)?M- ztC1Bk0#p?Xbf*BlD?p4?OGeOd%2)_z(N~RIq&xIGCl$JE&f=%R8IF~3ZB6CAC2TJD zllQdo$N>93)G>iM`eM=kqjGZ)kkqchkWl8eha0V8?hw7RPMgM?&!lV?`QPGZ^m^A- z1eXYb)AU}iJ=z+fM2Nv;y^ymQbA|?4EGI47_c*BGVjeaPy44;=BPnF{a*u)AYBv~a zMknS)z?P}onY&=^3})p}veRKx2vfTuDxfaBcSAj(v&^HQDu=g{Z4=#lFK9M(OlY=K z_>ncd;t0)CYd(gTLS~Wv6@?;pc}`O|rQ0L>A9BfjxJ@M$-uEMnCbt`uN!=f3>6hP4 zbd%hmuvo+B{r-}}X2Ecck~a%%%y(e*A-V6c#5RB{K?$kfq@O3|obK!7sa=gMxN9x1 z-u|x0tKcX@*zhj34q;BDQ>vn+(=N629uSSWm1i5F&8~`=@*s%$YV7C8Krz9v6xscf zS>aOF3)9OoXD?6R{X|Ajb5W{3y+A)|&bhfLGn`&HXU^qVSQN!xJO=xu5tSBaQf@gIvENAwR$Ad zy>LGtbAw1K)`BuP7(=?DM_DphJouD_1dTrXM4xq}gN86mznmVST=hA0H`vko7h&nc zk&Q$O-mf_X>4ElyHcrs#%|{9RW5Vxz9r0Q( z`BW03Cw!MVu+*Lw*$j!V(w8wu>jijJ4(6XpoUD)Ir^^^CQL z<=cH&`VI+;5v>gu0!>`AYTo4hqeJ1jJX<7J)jo^S&hK3TQ!QK{0f0#LsI?E9;T4ha zf;2VgF&J62G!M2gD05ITabY4pgf$qlZuQGY!vuIqK-0YJf;7)<&2ZyEHIaL9nx`F> zy?bUB>-b?PaKg?@^I_E$HwlYZaoHj!Z=*^MkJ=^QA7fUDdG09QG1K2&+N$Vx&@(cD z%A|(!yF=5Cr07Q+!lS&JI-8>V3lE7l`YD!x$YskW?3UCLJT&@m7{LsHh2k(n$kb^76p^J5o=y*r+ley@$xKelugp>|_ z8m@PEe8*NBJUJRXxkLI)XA=|d=)6C`h0c&WE^}r^&;i{%uIMj0vY{l|yX!0Lb1@_A zej{}6*}iv-&f!#0MD9WKjLjpX^vF-f6nVhtcu!K?>K>$v{3CJX#g3A0KJpkJJ?ba@ z&4!2DeaCX%W_Gg8Cm0%uyz5d=-(}MdIpfKk6}df1O6IZ&R>3GK`Oi8asIKBg8(UUp zS!5p2GleE({}NMXhFxW8buzjAJbgzS()dIc;uB_7m|H&5{jT)vJIc%hy=9Etcis?v zgO>NB^j~q%d=g}3=Z?p?2UUXueWD12!jiQ$=m9|iS~jxxY{tGelRs;kiZbW+zuWVY z!nHT9>4^6t;fFX~P8Md|_H8gCk}J z+jRz@zocu+(~`kYbp~B?)fa~(133bsGgvAa>^eXgJo=0Oz?`8wPIe>9q(#oQoK&Mj zj9z&JI;H*!JQ{ zy^fUrHD$U)`A-W&l0_}tN{4XS(hoW5fjLYY1^{=POlAzEAqz8&7_Ef!WMnuD-5yU_ zn(?kQIRU}-`SK~FMm`xONIR3#O^FYFgtF#;O1;40&;AH5+tm~Nbj~%gl`h5GV@#Tx zu8aTupjA6to{GxDv zYSlp@UC+Nw1v>4;ogpWBB3Xg&N#iD!IP>;J-`X-5AuVgPsT;P*fKGYn$AYl^2&?uU zk@jh|4EKKxYo0SAu=@p8U(SKPC68Ep?wP}BDu=IqgeGNy$z>Z{smEVuOXYRfte<{dDRX?Gi5PmzTQpnry$a>F_b#Qu)I`#^kBXcy9U^&WZ z^8}DT=+ny|2u@2X{)HAH{9=gO`98x722*I5aL*<`$m)|UT9q|JWHr(eqBD`;aJPI; zK5}%e4OTYTk@Y88Y0QTjta4`y%Ps0d(?;y6f7<1q$jm3hnOfy*ju8Hypv>qhNf6&c zHE80G{|>%k1kZ{P%)u-iTv*mKNv$#ih2jme0u`IUp?TrD|B||3QlbXxx>xL%x+5>J znXT(CBD_?dvo)p+R8sM8_Bd>;!H(C2`DVch^rrQFuc2<+E6CIqV2?+`!-=U&TB)(t zWnZq}zY+Efo-Rv*dHIH$yoboP7(2*wVBWf9c#cQ2%`vJjp|WYR7H$f&LO|Y&q#$P+c_qMF)2N5MnS*}W z+z%_`p+eCzFEA6y#+hEkOgo0~{!g6DtlaVT-i|c|FJ$GEhX(99IE)GgWF+oO;8a#n z{S-e*G(GC(q3Ij4Fx)=iFIp(H^WvZYng(POctlLXhDunMzivUrP^g>Sr#)d221mJb z*`oI6YC95tZZSFk28uM#v>?&8Dr4U?Gx|4YQ;7b7P_^8-DEAk*)K3>uGA7uyK29;**$z7<=W{Yu*M^tC3 zh=VLpvJ?{>dKOe;02PB1*`(lxr(No^zghM~LZUf3ys-ZBl=W{&eG5ztS+1!iYEy#_ zX=(8X43r2BAj`7sujYfQnID36i|ndAKC-*lT&_(G z260;%8_b#d+9FgO>S6)ir{_ht8onJyQt7+Zl zR)f_CXDr*)ALtyYzt*;@SNMSUhooo!Mbu?}PdDP6XzKO(b=m5PqLHReX`p+X)O4Yk z{bGYvB*v?<_izHnyU!ZS<4-HE=nSxky;aUHuD|6yYScsD&X5+)`4b`BO{rCpt6R_->u zgUxPfQ|D7VF&8Nzv-zX;jhd5{UDC5!(0MnZ2~u|n#DAXn+f)|=9MVKkyGoXzcJ&HB z%Ef=3llb~69#C){-p3^3wO9Vof84LQFLgt z^LVsTeSD155+z;Q0;EUX0eg^7 zmzm0Cr@A+s%C%PK=h8FN(o1c(_>Jw_=uMb3<4Bm@NVqYc%-3F!vi?z#Ra9om`UC5L()E9_FPOfv^_@q|C>#vi~Lap+q z3(CNCN0tO}0&3+#(w%93s9R7SH<#=nrF!&*V{|YZ<~#f@SD<94-&KsvY4wugZJ|)d zUn$LkUI8>-t@G?rPYM6aeUH57Ar<1b6nJv+t?okV`WZg;*o|_ziM|}Bz@e%Zk=?g+ zc1NV}b0xcJT`)f~WEO<8t2Q$k%9AeBc)eUAeQXJJ%n4^c4IdWXf))LrGyzWR`!8wD z#ctlogZqXp;c_mAbxUT+Zmm#Hk`T_6?9}U&!@K=aJct!yEAL%-JHA9I(iJb?9$oP#SQt$_JTKk2x+^WE{%J|I#t~?@UN3tms7sfpN3*JqhdLf4 zUP}p!Ag3K`%rewhsMtHc!G~D7s;}l@O+0Ofi5_X*{24MEcfc0E}C>R%-Jx0tBQtDqPP(}wA^twh$r@-4gu$>@#cKCBq zGLBa@fA})gu?0XGIp39B*i_&ywgGxbH%Sh9q1l5WY_s0^CLgVNJ2a|5Yu*lt60SgN z-VW;{^lDVeAyd<)c<41o6W3g_9inki)Q0%X8cd=FQpJ_&%^tnO-l>my`c_^oO!`~; znYeMGqA_81xIc5YIe)wQ)$fHJ9=1pLYi7A+mlQrn^g5j^{3IRQrY_z2WvH#d5!YVe z#6Hv5lE*P3-}rdXz%4HAbF3b1g~fT!wZqn?+dkl?YPf-`?VGU*2I-7t+r>I;^ehEN zOi2UgVIypI|0}v8TCZkVqdfQ9urh91NaC#`PmJbUX z%!@Jd&YY&)WMKito~mp4tuc}Bm3-w`X;3X?ea-H250Oi))X(N(@tinYy~(f1 zhfy~IRQ^Gp4)=n`!fyqD2bI@o}?POc7v#0 zC%5aN#>-8E?Mrv5GD_ghVBJQ|4@5m!Fb}*}7+$E_q*>vk1JjS%)ZBODemTYE$*5RB zm^x!7jOKxgGWyNZ>OPct`?wL;^QU;9&{e9|`nHpprlp39OR9k0sFP4wpVp0=pzI zJrbBFfkz~8TqN*H3G9}@oJio=64*mvcrukxAf&;iz9cHx@hWtglMgTwG`plIf7aNm zd8=*8^yyQJ@~cW0RhCbgmTyd%Hf`+HSL98d3e1!hD<+q_bE~V|PNyx>X-^tHqozCz8G&6rO(t`eRh3oWBjxKn!r=u} zWn!dW;qW4N?Xs$+#OSywb5CwDO|z#-{-tJi&I51RP^frmb?i~ zi5iG6l8N`rgp~Eq;z6}FSd)ccG1NPLNCcvAC}uk&nK*Q%b|NrPq7NQ15WeO1OwUxu_FwPUKi2KARfIozfLy(CdbUKGvO z6XM^pPXaz9V9Az;@Ve9|(|Gi2lZ1ZNE}{AbTJ?^Ewn*qd3AHPcWX-i>Q#CDQ?(_6p z5t4B}7qRpR-?rdOd!~zqTYU;33vnA8Ni5J~U)x?oyz2Rb2yi`S{_m0h7G2WiXpdZK zCM7RPWy??1fshddBAI^yV$;XLSyIBFZFSdj3MNlkI|AwoHq1NkK@Gf zaW^NPh6{$deM8Lu_ep48gM`X`%>H<*e>n2`H+cFNyk|BTFShXCmIa>yIlbptsA98J zJDv7u6;W!%<9rvXVFWxOhvmX#U@_s~L6x>)0|19NkKbU&uUR!am0npH!PfiBl{FR1 zF1lj;TrPXyPb_F;rq@;bxpoJ0?~wTT$vRw`MEX#L9w#((RiENr(^T?+`wl z#!KIh>aqJa)UOH5dB$(w%?~<{Ilh2Z*ViR&nR?^_Lp@H6_5I{PpP^bJ-z$^&_VOLB&MUe)^Q`K$$k_V^h01TO z^;>8Cx~*T2^?SGVdynM}E-RN)Z81Kp(r7c8r-bNAC;r@M4YRb6?lJ1TwF z@dl&+fa2oX^783qP?6qfjM?WUhcJv`6xuMQi|?9LTI)Q!(bzn&!MG*@bD800O5Mhg z_hvWfnv;X%Vk8;;CRXwyx$}#9qm=la*EbjoCNxMChsF#e+2}v9YH>w%ZQW!28Vqn4 zTJZDO90nOlld5aM(41vu?uzjbKVWbjLueIHkK-gCaf6M1x$erbc`~){qa1O%Lx{sj zBa~tc1anJsJ+*aYcC6k&k_w5lfh4C?!znNmsOR^TkZmq3CQLLA|Pm7jp0VZw9=Iln?dZVs8}M6Gl*SQ)kT+bbS<>U8%ax~ z_e>*cM(wilDwqw%oiv797eh}+VP7hdZX~%%Yk|_(6{zpgAZKBuK>~G_G1!DEs;IuF zkVZ+B5K=>~s5Z&CJlk-(N|)+3&HcU4SVdk_3nF<*z0NTPxT45Bxs*P0pTw_pC}7S!VBRFF zF;eUGv8X!FNGvL^%&#gFfYAWl&E&7MCuXA!A)~d6Mp^i2{)tUug6Pq{v-eBURZw+a|;6$pLY3xOA#Fq$Gsedf@r z=kgnjkxL_E{Su?Ug}Z%S^~M-#EKX`FrHC7844zSC@w&QSx4!8$##5ZQXuwMiCx8{D zRZGi_uUMZcOd$m)8o!9%98sLtJSj0Nd{ z(GX*q@**?Tx~1JyX?H3R;-aC(MWARo@^hi1(deI4Oeb1`$b$1(C0ZV!d!tr~mX;de z1`mmXE2yr8xsfwFlK<$39xxpIwrmD2A-OnjSzS$K>Aku3x+TlOCZe2WwUeqnRb_RF zWwlclS6B5ftDRc9sJwD;8H;2k%cL0_F1kfY{gR6Xc1Dd5vpVAva~VsBR4~Cb^0b53n(jV#zL zE#7|AYj|;Sb#>(=kK0{cHBm3|5cRv#M>QIcGh<>f*b2OJXmMUKw6v~#N>z==oqsn~ zG8|6mPZeaLfANe(%fUeWz!KJRQ)Ejtf8vtz;3Fqil@%{rQ9htpKExN7G_kU>`W|y$ z^`Asl%1f*0(~LywHi*7jv8XzK*k8jYPJ*BentGmJdo`U&N#byH5LrI>H$ zkYb3C&JvN)tYUocD)v$ECeqXQq_>H)9(vOEEmD-brntJs>8_bn4Z>C=x@)GEFLC#G z*UVbBv?7{sIVkzSw+Jz`IVM?P4iKK`t|Po|fV<8_d)**+T|s53+xiL*oXq~TWl*tj zRKVM)?k~g&cAbIjsiO`=Ss|J{PoW=H_MgI(Us_%})G>?R=vOTN8;R1rcb7+y?3MgA zt`P61tYFr!93TsJsiVb??uW&%*TM>6P-xVOCE3v_FZCGazhKaI4@J zMzR!s+=#G6d@E^2KTX_E8;n$bH{VA29u^fJaIQw<@LJ+$!esLO7_RsM@~5sY%G?oX zFp_`9FXwgQ()-II;Mty!%jTc__xY#p^@B)3_YaA)GS&@V$7^BavkTqSNLZU?S- zZlke>QVZub8V7JaxPxHDNscG>yWqM1iNVqx!Urcsh>T(Ei0TvRsjUMeedNXeJsjN1 zY5VB81+jfp!J>Nuy!DRT8&BFtQ-S~A>?5PPA>2n#QwQmzscY!F)Af-twDqi3>4&*@ zHX1cJgVdQgbMjV{m%9Z=B7-oOSg zP|m(2kfA3?AUTvW^^Wjh^->+=lmWu6Ybqpl=C=LNPTFhNMt;+bfhOxOEf=7Z$p^!G z%Ljci|7S!o|B~6sIO#JZoV0+Xg2*h!Rdl7&je(4&D6T$_nj5{kBf&^ut&uEj&X(rL zpI5RvE6mvniVI0UvR8VC(NBw{eQ~~L_vU>2Vr$Q_Io4CLwHY>$&DNfbWgYP~Sk`k- z%d#Twm@KRCv?4c&v(YQ~$rOM>1gFX`>y3S&MqD(&`NBd@tM=5D3s<`jr~^QVi-x)& z3^lD9{RvQqfDjiAb)mFL=T%Z873wBlTr>sQ&);`S?k6vr1mY#a8oeTW`CLRBuuC)u7 zvSPBYnXUM1Qd1 zoU|-&nP`OiqP8h9)K)0YR%EVK-;GdG8DgS+LM$s<<}U9`iv{UFy%uZe>9ttHPQTiv zop!YwZmxFH98q6+;liTOkM_w~SnV#ZMQPZ@O2%b)q1~|{sGt%xn_DM&2$4Bl6q`r7 zF<_df(!EUdU;=YCFplC_n6sb{wqjIZ7SczRQCd5x6QtN&r0kv&z>}?JkmA#?W*K&F zwnC?`)eLeRR%JNSal;bZL2+mT&}06kg+cL7is|E?Uf-sGL!wH~2I* zPPRCTCdyJXi70dtc@_7{>wKf1mYLj?bp`Qzdc|J=YgW3*0_>EP=Q$ z-Pu((lW_{&+0{18DRgIJPs@mmvl)?9RCgg&6&GFIYmAf`Rkm=g+0;B#U;DtJxM;|0 zjX@exsOyxfCDna#F4u*5l2dUmH-DgqS0`p6jxFu>r6|FZ1&iHEAh)-Q1ssh!bTFXpMBZA=nzDoa1bZ@g#9>MpKyRx zeRtwIV=vBqf1{CeukZ)*Cq;|e54D@fd)6k?p{Q~Ns`sD7i_%cePMxY;_j3;zgYzZV z*~`lB$*--gu5CF&+JrQUGWV*Yb~rQ|Xe>!y`fd7>(Sj!rh(qW@$c&i>m=+#y`f*U%*#u0)3trSGwI34Q5%4zB*+ z?@2Jyelz?x+d}EY&Bc|i*IERtt6N&Sz(>NF#je`w zrJ^TitMX*s|1nU>HH)IUzh==1-QTkQoLJm1$cX(eE zVHx7GA>0)k%XV?^E#H$VYtHz(?CqIR?5$L2I~A(vRb+bXF6;Mae6UA4MVNHFzct?$kZAX+3Y+8GnRE)W=tzk zWFxaL)=4W+POJ2}EjZMwJhS`WP<#ygqtVmiH=9l;5&q-Zp4BvZp#VD^lmV_*X`K18OYi z8*H4G$Ip5s!s8$OZtpRcMGgaWWn?E=%3wc7>L#C3g%fPb4)>~uaZ#k6Qm-!JW#pbt z_Go?7tk>wr(J1~9LzZx{buE%-|EK^d^Ms0k%bKXNTky9=eM?<_#J9BYbaS(2K%`$~ zU$v>loSPprFCW97hl`z?GFk={&#A7xYhrDAsgw)e>`$qd%*vP!&A2!gPsP2y^Z1rr zTNw$bqF<*Qg9oXrJq8Dct5nw_<$+VXj&)L>oP)h82~JlLo{*|-GNOzt^qiCb#1^C9 zvQh-6#AT%-JB7zAX~lXY`cLdTqW_YKhccaZY&=IF*m9}#M~sOG|BFZ|u;%&}i&?j)C`jqwzG~$$w>+9Jd44iV<`TVPkecXn#c;UTid; z#O1t4-ZMohbuc^iaq-jHy-P7B z8>4oNX}#b0S4j4WpACH+Y7PA&^z+dBp@X4kLT`os9C|vmoAMNH=kKWx`8-D*_Wz0e zpGOX(oG1U%XzV>e|NWU?{F@FD_aX4KW99Ft8_xY2dElIc_T$QM`zALTdveyZ)!k%d;)ZD&E0~EGG*!|r z=6l4}DWdTZcB+Et0q#YXL5>q&ZbkXxyOQt-3oN>}Wc)?tHKnzs?&{is60z)VvCWK7 z1~HmC)!!!Kg-%cHu0Jhq4_@08#_eu!c{1D@*ENN4I|kg?xE)7$Z`@WAX5)4=IE}{b zgTzJS_T(mEEiK)>+IM7hq`jN*{|(m!_1uY+-Fwe*=lqC$&%u`)jI=K1;B5`szDMYh z@%Kh!D>gYNv+t>4+>e=!%?+*xC-yxV6B`U~4r6D^iS|9oA2F9sV&5}*o@w7xachHd z5Vwgkckt`|Cw%Isl#e@zGycWgG?H5fwhnDgYW)}U`%j_$%=7;awTC)GWu4RH;xwhI1t4J`;J@1~{%HNgMXDvXu`SHZ&!EiRs98mP*4T`$8@ zr%3=yYlrrm7_m^rM7VrODf{V?B4Vc6GV*HjV^NCAZ<4#pwuiM$kHc9y6HPnXXd)}4 zj)|6PF;0@d4mf#R+Q@jEQhu zKfO9d^{rzZ`C)@RX?poRX1{&StOlHm6O>oA2{D<9JmA?b7YgT5PozVE{|_hM<6EgqC7*9uYV6& zzlZtFG=|5g8SF#~Y+MO!3AUwiL*phJ1;|&|wkEbFBVi3_jsK0~H`lZpY14OXL#h(l z>MLZc=aH@+bT%3LsdEo5b#Rk$18zHEEBP(PJ%-zdd;F>0S-j7nDk(;?IVU2P zGpT@;MS-0O7&g8pm{v&k#UHYnWbubRlhzwG)O#F8^e!BKUasiy4&}gOb!ByJU%N@M zXAGtFCp$KoW*wW9c(E0#q)VeYlHo?ONt~D6C$}4jA=fy-cJzw@^Ri{cX&!+nix_lm zg>&d_1^Dv-Ia}sQ=im?GZUawakd;a6cFKT4~_6?OX?;joZUKR5z2_9{IaFx z=JC?HZlL6>Tx%4RKy%nopr%xD`c_~D0<${`MsmW^OJHVrU^)etCu5M=KeEn+3dE)4 zvK69b*N04u^RTGnoJwEj<(Vg%m)of`NgXyXmtMMo>=!1+WbZxS7=T5d*+Bv$rc~*@ zdh!C>Bu|EU9stk9X2J=?L|Jl7TW?M>gf{hRM3&(Y#YyGsKI0(Kdt%db^3Y*R5%U?= zb9#g^K+!!7C|STy*)@7|F;d`s9|dp;@$wy{3@3Xs~OIq0wMw^;|4HtpJLP2ENWn zwSi&CI88 z6&DSDQw+*5&F7d8pd(om#6^SNtR=ifmAe1?GM3EIKa@=w^Bp* zCF@zb!&>eHLsnkY*dcNDJQ3YjyuI>@ax9Zb_HDy=QwTr;(;nMi&Q$9)UQ31o%YI;J zOfOi6G1NRvZEf3m;$`1QyK>~S0Hb=&)k&y8De zq)uouVvi$Z|Ck$F_SRnCEqt@#Z=|_=r>sj}UX$JjJUn!rad-$erTI;qO{E?#Wgw#BJ$Dc!S@xKan6F2TA=CL^H)57;A{9VA?$AR@^ z*1d@x0eBCe6OKekceT#)#hw#B9Mxyh^UL_x4XODk>%u5UzNRE{k-;=yp?gzd%4^k*H8U0o{PGI z^Yq<|`r^gc$%?lTc*1!!cSFf@8*g*n)pOtDBCH}0FO}$fl6||m0$wgh(>J3Xn2do> zXiS+u(|2Pk*Ety}^_wV=OTAv~B1)ZkzufTE?c&lub>UjB$n2V}9*MIq2wTA|jknw( zm+K#qo1=ae&P!flDdG}o*KD;2VD16FNS+jytJ5ktN6!_4T#;IA#_%?B7nfN-A*F|} zyxKul0I6hh4|d$)=FX|Ez=#--4*-$7$KJnJK(bAcpn0*U#-rFoEUsR$n0t_}zM`zM zl21{27~IQO!hOeI1XSQvHHsGqcx&_WFey8(|jh68w_8_NP8=iyqi(Q6Ik85s$&OuKrZf!Ky z{?9*BqWZWaR zTrO^tA+d+N%;6Hh3uLFG1wzUOziJVE1Nz^c2y#{i>Cf5bQzu$wTZ z3lE7ul9lxLC-+Ey-%3&Pu!VW|H<#Emrg<8oho>#%7E&pDA$YXQo?YRzioRACJ>D$( zax&Bp-Z-WBqu-U{yZxT7w)`X9<<~X#HTBOm@_bN_O0lZ+6r(C!rKOa>1XR53))=}&jpZ& z)&?B)H^|+hd*b~0hnwpUOS=57cIuWYGxV@)c11s{RSUVD*`*dGXoOB`u9q@g&5uYS zTau-1=SbV?<=g+O{rulne}Mmu=11g%c7|5dPMu6;VW$~rZ+=9|dqIj)e_I)KIo_RA zwOQw6wtL;((jPC?&~6pES69F0W>h$=`t2;0`t5>XS?`~K4C${!{%Hqo9HcSEO&st7 zolw2lCa%_5tR)NXhNj~V(Qya2q)Ov%+AJM+(C^vbmVbbb+fRe8kOuJ{?`&@4<5J*o zpPfS~&G`q-y1xol40eR7rOyHu?T|k6?cQs4*6oM-DimwPM%&UIQhAzf}ZQ`2Bk@ZR(wY{$mL(X zw=I8PQn+Dz_3e^#)y!39N8Y6ic!4Bxnc<_962^bNuHD+I-gBle z{ND}Pi%gUALdPxqPwj>Odl|5O;=jLz|IxZNSLoKPj>Z2ckDMC+Z`^0L>=<{f3;rKr zxQ6k+no5RSxVTR6|NRxxLJR+lEV*bpuqL(XhhyMNxxzR&E{=iZTAsw%dE^d3@^_GL zyr0E!Cvz&VlIyonRmwg#(D&ZX)E|U$a0~w*vi{~RM;UD*} zACa`ki^#^hS9)ff zBP(u+Z~H934foK%UKXf*0bennp^Yy7-{8oCS!#{ru4=$;(O{`2&{Pdn$p89oQR$;E z0tdDW8B%pTJQ{ch#QkM76fuKi|N5(E6v4qIFYgJdivAV9a<(4y7=Ag;+{P9UcfPwX0^Wf5(qFocdUu1VCuuVFXI5Q8x2{c^8&9;L{!c!6I2| z{QAQj=h9X4fiv7^&D=1}$z|k$*QHw*5Ej}vm;ZTG?~Q<0kD`T)SM%jfeb2evNB*|H zKz3%{Z1|6nW*x8QZ2*@V*TWQhNxI1ZI|Yt-!NE)(siD9{y1-$@c41|@u!a23i#3cP z*c;8zB$*lhb-rZy;g|6;di0&O>WehNgJ6jMlQz;Lw*@D(NT0Zqn)I()aTTxd$XMg~ z4c;!{JyHn3CUrdI{gM~)vVSefJp-84?JALsq?f|&(%6TyP6}+)^W&?ZGoRZ0cJMV; z@MXUs_${lio*Ba&GP`X$y%^PPx&8DTNz08$PmVEz?0enY)H$(9QmuHsp74&1epgIi zp%3%*29&_0)DwOngE-}p{E(&#<6*2X+|VFszaif3xFNv<|JS`GLl%*{Tc|7VfBlH3 zhnsXVfcv*K=s_E%uLsClUI{x4Q4MxXV*Yn_ZODfR$U|Z10~ohLUgfz#y9aqu^6Ix^@x`#ID-@xlH8q9q4=4V6!FXg+3H|bw!Zeml5G)etJ zQtG!t1Z^c1FBeOjuPXDOKcPkDx%+y6FY|8KL(F}?u(?g##H=2Em}ctt6wBW68fxx? zu+H0-Lc(<_HJ5C|jeV6oh3h?9DUB@wsI!_3_uHk-h}yu=A7f8wC}&5_yitL}L7 zK>*qkmKV;OZP$N-CZO&)8ig+jIt>$r>!prUrH&C44wnknP-v4*WzkK~Fz*-f?tb1c z5sH=N^q0l6*;~elSdE znXV2%6_=Xbccet%KL1?9J<1G&C}|q7TX^O-{UrzeC42lOyLn3kLXbPx?$P%ALsF4| zUgU+NNh_3ReIFn!N3;>|$&`(7%SVJGE~?Pna+_MK3tdN{aQ{$Em(M>8L=~S>fo-=b5i4Y zhyyK6S94*8&X3m$Lkyi>~@N{~gbT-+yIA z?tGngwdW)YccPnZ@kas8FS4*-PtQq@^6cCdP!0X;;^FzA9NtmZ^}t5G>Pz>s?g!Nl zo&@syWcgSB20`+ejXR6*&Ah0?(;-7=<9`spOFjRYbh*3#a^90Y0;KR^Lkpy*{5~)B z39pGl^K+d%1;Wz>3GyJH6DIa-h0h)UVZ>N0qmm&3!+d%O-?UOZrC2U(ry-64q=tnyzC+kM) zId(+m7=rT=dBC$BiWi+t5!3sy2rjJ&oI3eFr)Nqo%+S5C4n4=`pZ*a zNg30LZwci>_WFA+L)f>H7mIl>NamAHS-s^^h+OCb{r42H3-8N*VZtUANx6=ACX6|=YWWegKI=qdc-Oq&>-w1 z(_ut*s~exk3B7F*pBcl;r5m`d*A|ohLscv>DVrQ*4#3+A*JB`7YT-zxU}&STGs0SG zx#)uEcwv1)8)XXX_<-hHc{+Gd;9C_0eoH<7qhq0NbTLO;oWihlW+BW5O~$pu2L6J`j%RL9=*OetB1NX z@hpa3?MNllHKXN;uJI{|ujA(G*)l+rhbt*vkCK*_>=q{lxikFw*Dh%g@`G0}sk~sz z;u$4tp9DgG&KHV9;Wxqs+5$ucBx(R7zD?cJ-w@6vYRu|%q*)m-8@AFpBm4O3QT}mR zZ9Ju7_*Z2)@gy6?%i{urM#AbsBemMJ*vG2>YZ-6QMAjdKfS~%0c#v?UGFFCvQ2*F+ zEL0y_bq4VpC4LW0)eveW)v@OVG;YF1L8tpV7^gGZeBzIz)+Xp*ks>-rkcEpZ%t(Wr z?C75$hlz|L!&CTUEmsK55Bj!CWq54fyIlein8v=@8P8K90eTk%o`~6tL0$M=M!hih zsMjY^@%)|*-k*>ugyv?Mx7)a^($eYV_h>Tm&g_V~v2#Jk)AOwNWC8|rR!tj!oSV== z8SfA7^xP;}d>)$x6lzm+7H4%Vi_K!7WbvB?=3I`F86f5Hp3BDW+z@1K{L_?U`d!D1 zAl9ymvBLZ4*{6>1wi*1-C-Fwp4`c?5P!^#D56p?81tmPty=Ch{J^ktO-NNqwz6jk^ zgC75F^5mA47;JSFS$%LuP3EJS5#<}!}AHny0^$vq3 zcZTPEYi^nA>0nqW2GlQ1KG1pt3@Kk~r*5Qf!WXzSj+kIUwF_l<{mxYb&Bt8!(N0lM zxX&Z%w?F=hD0iA~yNkq(z<^ggl0h=jg|tG5kl-coQQ$!KQPcl7B zHuaydK)$M;|A*F|y{cBA0jm$WC)lN26=;;y*}4?Zg@IS~+BvLP6QrcTpD5`eO6nBa z(XM<_s0I}h!!SFS?EJbVWO{*}=~*$ECYdSJyE8&o=SkoFJJ6l|9jSiGrXWPhT;X9p z3K>-wTc5%UWOuC^9Q7IKny%Fh<^Q3j?I8DC(g{|dYTl-`hDlI7C2G`TjJ9rHDC9}gs)l51jzEohf;1HPK!>L0n!(v~ot~j%-|HM){~p4XIh*D> zZ>5*CU#)wTrD-)8r`R+vG5br;jS2;Li%gTAm%q=`FNwpV>lymC zx{jO}Q~AlE5+_f8AJ*xedVaITi^3^z0Og&ja!L;H8C331ko0Da{RHlh1;m_9fg0!Z z`p;L5ETAdE1vi1UaMeP9b;jkRoyy5pZpY;5p7+QpfmfP=yaPy6`j|K0ocuC}X38X@ z0N(owsv-f=L}1k9v7bwKsgVR_=a2E6k^TM0@p=a9Pxua<5Kg5xd=q`g#aRIt}Q zlUez&O#H^z)xTs1NZxZFmHk5ra$jii=--;52~e7*Izvcr@#yyvuCo$cA~TWHnY~R= zh=xG8oA1NVGbNIJughb_H$!wg)3bjddGiWrP@N&l@O{KwM2y+Ty8>^he-R8qto~^W z46hjgTlW3wx)MXObssU!WL&MMpS9g$Ms`3bd<+lGR%sTA=N333tBT zY2khpc@U!bE9Advf+o+I>hB-Mv*HHTH+4oY9oC}n)k}P99Rob0mJd=j!v8 zr>Zwhf6DiFF{Y?9Bg!g-rAFvO8j`LQ27Z0J!*Zj3=UL{(xaBsU8FvNArZ!FY+J!8A z@&bB~f4i&%0(@o^a7o3_mf1<=LEgFJnKZ-qezD$A&rCH3ojiLRR2RNa&*5uVQ^Y4q z3&~HIxCeQJinPszc_M~^g}otlh{Se(c8=)y6$3S#Mz!DaWk@~G;-UJ%*lft1)0F>Z zICewHm#ToV;a&YDuY^i)i!O-qa3*L$8Vjli-Z&o4z2aP&1DLQ)0vPP*naO~G+FFuo zHt`~}%8&nwGnCyrrP~Z&kxQ&fv7M)N`U;9^xPtP z?~%g$CWi8R3L(J2wX2WbJ04;agmPTGTCB1Kxy&>z0f*a^LIoxHGqA?NjwHKHwk#`j z)f@;^EU4atn8Kl?`h8luEI`myH=`kAJkjzJnHc|Rz9H+!KPJ^D-j_JsLd))AJz!Ee znH&y&lX-5^88tm#nkdubH-D2+z3OIGnQnN_VUw4~Sw!(dXkegghUZgwk4z}cFoZmC zGF%hL*O6rd%3O-v@nb&;yvkg%)*e>Tuw;14zZq)#!G&6N6SGyc3AgHn#XZbxs*ybd z%@?Bc{(3w#Z@wmB(5>h^s=|4UxAW+4=8+`&AW*UJpOLgCAHbp(xLq(;3M!DY-(+0z zaP4g2gCI;Yt0xn~LJ?FqiDw_%Jq`PT3VnMY|974(b>7KvK!xR0zZQ=gbWoIsC>47& z&ycL&Llm2vP3chA^V|rJLeI@UQPsC<(L)9D9cmPI8*TYnarn{n(367#-jx&+_>(H! z1YUG-_SW$PnMwrl!RB5k60d0ECV1Cm8J=~E)(u|$;;1#RN@r2M^~r9N&$Mg9^R>Hic91c0z~U&N-D3aR2FnC zsl2NE%y_eZwcJ1z40Z3#rU=kNoA@VkmvlVUy%MzEPuXaP<;mp>_^jkJyUXKfjq4o7 z=j~Fnx0Tq)(dVsky5pta4@ef?`)Tbew0A{L)yS-O*EHwCmZ-O&HW=Ya%h~7`ir)_9 zCl3*NFuGxcsX4TSFX3M{cy9xbj^m@_>#p?Pf05z7l!y;Oj?5*z2Q;44M68H92lG9S zGz_PD&Mo?OkF>_3Rhz}xMak|v{6!-BB!}}J9p`q8j`tK0c$8%-JkqYwEr=9a6)AMF zS?Jy*tI*$)jzUu@)Rd>NO=^Pe>>B%??(~&3F^+LyU~xc;MVGabB&>pQs%Qpkh@I{d zgk+wM?RMOAYbdLJes!Z!h5I&cCGG*-gSgN0vIaO(9tn0{EIu^U!Ojfv#fxvK_%g-k z6rU4cAn4mY+UytU7TqZ+^^MfTvV*j`fWmj#Wxq{1AK`}9aN7>Q$8k>lv>}-hYII&K zK0R_X#7FlSokPW!DL$w8q^;{;V~XJS9SR8v>r8|g3MmWf_dMz4Z%oJda`#B)TDWeW zyzHVh_sxM_{@gTbD6DayDAg}acabA7%dy1w;@R4ob0DRp(}kflttjfX!xp zmmy6{x(!ykpM}(Qr0X0?*xgpx&qL}$!a$F;7QAZ`ZSTq~>}lULN7vN>PtVxbG>iQ< zJx221iHr-aO<50HAZ!s~qsS6g@a>MrBnSp(C;@HWd@2(sY({RK&1Q`KoSL17unds! zuC)D%mt}ODL08DA7y*GL`VVGw%F8kh%pg|N5M&cwc#nEiSQSd2l^Xbidi|EDmuGLG zG=XRa!mI?m(!N5P-(gBXf!EOi%GxNh-s=#RFDVNDFC7YF0kLfmoYJmNU?v=Jr&H%7 zy-@6u`iW^nn+oCucfU+f7*E=1q#si18w3k&iq~+3>fG;J!y@7#V4s=Y7_u9}=bwoW z%uZF`r}CX81hf(lLT2 zhnlGBl_lI42rCACL4AK2Pr4Q=sp}iB{!M|=^exLS#9aD>?m`$cp)*U(!WK}NCW@NQ zzzcp(+^xh>gA0B4(_13~byB&fSc9OAsvR-_>(i#@%W}-fkdn3BXr@g$N!n^FZJnL= z+>@t$3u(T0xnr%gxpvyWNkF( zPof4r5Llfov~kS6;r4>0OX)52ck!NN=$uogNq$eKY^TCcqpxNS5)m?}>Y1)h`Cs76 zW=*DUVkj{N?~6h$)gq<@ltk9na|mQQjwAtJgUqi#kWqX7o5E@ccas0MlpwPpA3Qae zys54)kREn4Pur?#|IZ=%&G}o=jiMJt$0e-v%d!w440*5-dU8vqm_pr!IZ9yKld$?u z*)$ke0jvLTE@451`ik)}-FMtrbjCN_O^J!1Z#3Uy4Y4p4!X=d|ZziMfIpk;Qc?okT*@tx%mp zgO7a^BdhzQldmrGUx2=Rs4QFU>T?tp>vyKqb0d|pEspTC4f$UR6J9F@wPn&@U7hiP zqtLJXFNJZu7N#|Yj4s4o67@R@|F*c!Gf@i35B^|JZ&n^ z{uW&;-%s1$Nx(yM^hA2euh&|!jz|{cOzPh@>7uyyxJ#(9e`Z$uL@oTaPfVxPq4tRx z@?+UQ$(aFjZ-hdBCh(rUO!LukwN7`+@jdRe&f)F(`#9|!>P*JN5%CaRv08QputZqB z{{@k8Kl?G53V6pr5}-Bf3(;l-)d~t}F4?QT$~k7Emi+@Q7tbO}t)8e?q@WTqq-8qI z@{1(G3a)7r`g|ba555U3R4x%=Crd`MUcQ-qu4Ec}!kFT$*c{H(h_wlv2Uz>!YCYH1 z`zXn`y2l6)tFF#O2DLt*@-a#cy?$W#)TVY{DV6`2(N#dv=J_=}w8jS-M6ImGO2wFF z?n{_+XRzo&Z&Mgv9Oco|`#hOk?dpImhcX-gY^9ql>8y2zp%&SLJ$^le624QjW3Ry+ zzxZuxOI0q~iC-%q+SHBs_1v6GKSQ(|#1x{vLH6>bAKJAlUY3A%ZLUEuzAS#surz#f zx~r{WfJC)5a1V;ubU5W}%Vys3IJ)n`0vC24Z4K!X6)<;uU!-omcYB!?BrJj9$D1reaw(n97VA^$#OGst`u61b_GJ1| z)D~n}_TB|qwuNN>b<-VEHpAaoQO8lax;x$SfXJfKS}ee+lOAJ3IcPy$LSxLX-%SMT zjZqUTD8au(^*5uM zb=1Q;N;*ZNoMu$5j&e&>P>G3*xr5_c9#_%Ngm7tySwJw3e9~*KiZnCo8Xa{VQA`x| z&p18FW#)=L9=*BNnrh>2!%X1N2@*-*H*MEZS7Tyu)wOzC(lpLGRr=kW8gQw$-}g%Y z?`xvdYdrsenZnpl*QIBer8nr*O{9iGX_V{v4X-&tj{$dflm1<@C2d`!vE;(sj3t5L z>Eg}a=SdIbPDPzq=nCAL7bwc1abrT?UQhRdw|~cLs%IDz`IbM{cFU$%vsT|1)7Qw+ zr;-P3<|=!&b4|pcc+J(PHFo_W7KE_|#ar%#o!JT5&py6}bvfJPT$2#+II~}pG2p(~ zuYajyGg2%F89s-ewT8vroVswSm3w8J>3#Qnr+YM;%zphvnt5g#>?zSb$Js;XW}R#z z$+ET0qWdcItP2T7T7}Gx44)wydYBYqc6Q#l$lgT70#IQY+%CLXn537P)19A0uX%wc zsoTszlQc;E2uucB%&_b?)($3Ib{yHhYtk{u&KfZLjaA9B0xc4!s&owVFMFd5U-xLg z{-wSv8IK_4oD)X&ZI=mZZvUMg*<$A|L_zF$x;#x2_NfKIrcgd#0Y(AM|T2BE9!QmYLly~9j8%Q}DJIp1g5KFf@Xs~Bd^ zsBt>CYssy1Ck&ZfBls z#wG>(F4=d%rprlkHDW9?>fbDJ-PT&uh< zNs$Idu4%i;T-K|XP)fhhg3eZd{rPi_vgpYi#}S+94h}8xzZc@~m z^8?N(Soymzu!fQ~8Y>db?z!s{8H+1um+nYu2^}fTQt4UJkw%4H9Iz+Vkhwt0x+M*D zRXEH{F4j>a1vwjKO{Wbv^Ft%eweJC{YaXg0<^|MSx)05`PZ=MK^z-d{n#0T@RKLGi zYWK%>lWSMDjZ|t)B2-Pq<-V*(~|n%K4X%ChWD2mh8LEQ{vZ895x z&UH*0!&uW#eTYD6nIfHTaiB@6sGb!< z&5<;1fTx}?33}}O7($bsW|L`VkEuU+mVrmJ7&EggtQvhUOPcf8tD4T41d%zSX{?M{ zp|SD{PTXeVb50n}b95H-$U=|P2`zd>g;wf?=&LV|%NihaQ0S-pstyTbJQM|92~2Z- z3w>viQy-y=4NJDee7g@uQKF4k2qn^oPvNg$@u6tQ9jS>>P_?f;7HZGU5M@*F4sJ)b_9-+(K(XQ1has1*m&GV{jg7SsCbDOK?(EK z&653F-oNz_e>3qkDw9}iPx8MnxA!D%J0#@LRmVa_6)hVYjiQR}{O`LzE0pqsS6*C? z9}yRHI_N~2%rFmOZfxkAE{pH2DaX$^5&d{j`CgD_slD6z5%uV=t$rq02yPf|7#s6} z*XLy&O{sa_#v;M23`tcIqvd+M05@iYNHCidn{C; zIcAD%k_ezz9R_;yj~Eo@Z6muV%C_~@)x=%_MaX4uM(sJg28;y$2|b(SOnuE7sI_bk z2RmktVH*BL%oeB~QnLPItVV3{X#4neAm@M>3Oz|*Z2n;jUfqFjK3Cq>OUz|Zlwy}hM{pUHfI>riX!q0 zQdrGwQ=T#<6igIz$dyKn9>%lb5%u(X2D%)tQEwput5469=`!*PnebVG+^pMz z8*&DX<7jnDwkyk>6(~&4eq*(>{>@d6z#G`66sFa`wk9$A_0{o#H~g+Fb!(VTGX5vr zk&Nu$rY@iaR1+CZcO+}k{nPtR)GeYwF;w+}cyp{%{{s_>2DvY3DjFnJ$^n9uL&QH0 z|B{zKMPJGYqTYv4A4sZfSBBf^cV(zQ5}@mu<{s#GrJ-i2r=Vc=|Do(n;H#>xJ^q^k z2oSl^21P{;8Wcqo1;>b{hCm$9$P7xW)>5RlrFyTTOjmEBB*$13r}n9pYWtkpVzHHi zMI@Mj`V<9~Dk`mLcf3?nr5G_K|LsUOmdBR2SBpY^s9ATt9gqivKBZC~$cDZ~EjVQny=}Bv<#?n#fN> zrbLoTz3#`@=%mW)!bO~|6RGV~_M7yXod2~Xhti~|?^!yqzZRm=fx$j%q|tsO8O6Hm z288t6xg_a=BD>LVR&amd(+cLySEK%X8<2Glpt(Q0L6hF--lO%?-M1@k0{DJ~Z};iw zXg*kfeqUgrH!RqumGoPscnRLB{DYMB zYGrLWgn`=ZemC@s4q`fzA1dZjrEHZMY~`2{Z#VbSQiPTrn~!XpXwV!BrnHV@R(!$4 zb+|Dq<`oK>L_t0k(u|I}sY5C*NUl+`d?h=Iu4K3V(`g+sYHev_%XW0KkqBIPwo}e@ zHz1LfGyQcgyWN-)Yza~gV+Oi0ClJ?UBy=;$x=evoUY+wi(9I#GCcuX^R19QIUP2hc zsYaKfR3e|DMc|;*PR6Xq{?TTLrY;_NBK!{$l3iMOIPC7*v*XMWE&4fcusmbPXso}M zb0?!`9AWb{@pP`K}KXMFHymgYTy3V0O>3^C1yGxDT`H@ql4~*@Kwm>xQ!d+liBl z^*MHAh!9RAZ5L?t2!S(ZC#Vb&BV$IoY2=6wkK9!snq)P(-K8Ek{uUNT*XMiOF?#x2o5GG0%tlS z-P>diDu%nIY<{eZpnSNt@Ecim9&XBqJJ}*yac7m9MaWS>S{%45y$R|IfbMp}5s&U1^)}ims?^j_g$6z|a!L`r6 z+qs6VhChhBp6<>VVjXOBrx4KDuP3wpk{=7Yzmx8( zo}hfBJN7Czsc!hF=MjDi>n_L-US{@Jveew`>~?iy3Yy25ssaop)DdE)VPxn63tkxy zEDR0{Y8o>n2bzN+F0(@+-c)c7qsjO%WHFaH$msR{d{;v!SM!`m_;mLM9JnkR`yJK^ zN+-_Ag3{xM8z@mS|Bcs9%^MO+?$4Mw+E;e(tyE%;GydmL^IQka*`&&T&(87~&C8eq zu-G6YSr|=@n9kI?$-YsC(3sWvexT1fK>JtSks>g##Agia#~h=P6fA4bg%W>9*%(HQ zT>RZ*0=ASn`KdL&(8DPy#}|44>1JA?P41)p8Oax?yYUoj(;v2ROjzl;lsDZyg-}Tq zg?J4U!%|lYF&3}6hXyx5J-y+sVvmvDr#wHk(pPyPfYRvNfgQn#n1>M?r@LZm;`4cS zFn8%71Midh{v+Q(%AowrYt_YtC4~NxP>7lBr+ZLeB%NBIoTmf)yf5OtK6Nz1W(JFj zzDm&(z@!X~_)aVkOT7i+_-x|P0#V6FDS0W$!~V6V_vBx9W=H8=SKR{+vxkYpaPBXTA-EuPj<8)0rhSLt}j> z3nqAFx*JHHnpNtPHth>3z|tS(T-`5m>ACTm`)O>;2mF*REQ;4`<7Guo-9nr-b(zF? z?Rvt|z^Q{s`fLRPN^%H-9Sw%6W@gvq2C2#|?&?8bbhO-VWe$wjEDp;Y*saWDVwRcf zjTu<(-YwCN+vMJ09QAw)0m}4g?t4dIN;Ix)VM(G&Z7PZKC)A8YuQUgw=yC*TrL$sK z>NSkbac_pj-4YfT_~MEw4mxI7RE&quL#gjOVZzDb^EjVj=>W54wXrijq^u;T*G(wy z4&hY{jK~?Zl;UUirI0Rzg3T+XF(@-=715uPQnRByL(g?cQRwSYzOHWTtADH%yf0bmPbp694+BjoXb&=Fiv#JT0$ zBVoqRp_Bq0xmzNG{&VwFf3`6PSRv2vFnzw^6KR{-tuSk7#8==WDEkBjs16M(UXnr{ zIDX96kC9MjG~2K*pw;sx)U~>Jnxu zi>yym_83NOsFei(9=}F(%J($|#;+KivTlIr6pkzynfxG;T{a^lOxTNlt~}b4n;aLz zWzV*Mcx84k`7SA_%3Jjd#x>@)X0Z*zbxK@t2m827lhuYH$e!BW3wkq;M=GFoVra*3 zEW(+IgpMS1y4!mQVAmY=LVFLa<$u0qyPYhzF`kaOyGX>Dp|#dekhj~4bwHQ#MWu*E z92wX9jNjosTz83~!Fqfx4N)<_DKb?chbQJ|k-`>b8+WK0m^ms`;&+KgHzp9>;*O*V zaK8CL0=vAXYj!kgCNgg#y=3AI_Xz;Qc!quM z?VB!i&koq(`dgnhuaXTEz@HkU0znh&sDNyX&S%02*1Q(4d6sbTQsw#eOSIK2Ub2{9 zwxvUm3;Kv~=c@S$BW^6mDLUe4d3+R(0?zvvjb{!@IsH^zSE23g(oXuz>o^|y*}B0nySJI z?$YDKDV#+M57tptpe`qMLit$6GKA?#MksOG>t12lXZJS@dkPfMCSk-3GSkgMtR{Fn zT7tJX2x=ura@zL zQqWJVjxg$SKFYQx@-f>Q4e221XPX;GAkKy6pI9!zqngp|t|SchRPhj87xOjUT_$|B z)%+|bdybJKpe<%lA`zM3m_tGM^}(pcEKy)>7t>rg+Ui*~4{E%5S@Nq}Vv}4sD`Q%) zVby#Kmg60S=%I{~s18vhqc2Nb#>3mt;eXTk^euJcwgxg6*R=nbvLf+lyPHoFIY{?s zE&=N7`H3kf-H4s0~-cskSy)a^jbU-IgQFrX4$+g zZKN;;We33FUZnSRmD?LCIY#Ev>x$jS5aq$7tpPqeFO*GHr3*OsrO!5<`sA~!z;B5J zeGmoZ`)!e+*TSIcBIS9;7tt`am<=kgJO?~!evw=-r%)1{A!8aUw;-6AYqf46i@dV1 z^_{Bp4b?e0lUj2&Ux2w!rHlWmyg0qKe_my4PNnM@wpV1=B%U65Ti74M_B6KqS}7vGpDqJeJxWrW zg*{g45y9Ao5EeGnjeDunpUvQ3N5Ifr*(x$tok*}NtAF$8-&T17R=C>K?l4@F?91K7 zzyKd%=rtA^_Se?s@miNY%VZzfFzzK*i1(rOlAA>S@|?<@>B^nCEL7$NIO?BM+1gRL zt7F{O%FN=McbT?b@TZcnc*4km30#+UwC!{u%%0X&H)FA64YugkU9fqFd~aUa1Y_D+So z7uq{b;XUlV5*GSWts&b5RP=P48pffy&XhJzr!!9SG3LX=@tMT;Hs6{r#MoADi~lL6 z(XQM{Nshu8vW--d1z5ti`;m*+9xRyk($U8;W{UvztNkacu5wer|ETHKpaVQYt=!~J zWSz~d>jj`v8-Qx_@*Kk*jBAwu?iXk@vS8bX`C(d^2Dc_Ape#C|8`vI8d_(0154KN1 zN;S583}VlMZA8CH4l|ghxoWmCQFh=*&}nl_JAYj@Mrn1`10?J-Rf#+#7;hcX zephmmr3>xX)bC3UpvYH!srQHTgbW@E&OlrI-&7A-Xe8qC6mBmtu{zn(><~dmwh2F` z$gfh2*u7L2pxmqm(PP8O;c@L@qTxAr z->yDUG<0=5mw+rY7Vb>>s_@+ormtmMUXe|K>x_QQD7VPv7wRy+wG$J7`8_D zy=7tS)tH%BvKw&*U@rq9VeRE1G9oQ9hrchbZKq##D~jj_oYQ8v9}&#W4{}yVhOG}Dld7e6wJRd_P)TKufwybpUEifxO%DRa+ zdX{I0KWp>G*OZX)D;(pw!{SF7_>&&cg!mRe?nz|B0#t>(6;CPz{^;3!!s@;wZ^hexdrLOo*+qJR;BKPzNER?WH@ixtH#c~Vz0;Qb-lf6 z^xU8AwOp^ivsdX`-9z?zzFzOOSBAh`*aQzPQ4>{Z=&qwKXvuP5@#44HjwBraaqxHs}O)XWkWA7Q%kl|W?7 z4Y?l}5++%?S$0B?ftvL7nu zFv0#l!P@Y1i2vLWexB+-H~G)1-ela)J2<*e-{M-Q;I;MXp_ZyPcB}t9#(x&@nSPrRs<^25I3FUHTS|%Y&DyhvZQi=*-=-(qTmb+2&i@ zEs1;sj#hn%1C(sZgUYA$R_Wiwttm;ul0af$lR&p7xhXJ2)0EI0bh_c3Y0B=lwKS}= zj6doGL)35>v%A0pne7&D3;p@OTYPY@%zDD}71v`EfCY>cp+QRF?xFL*44XLKLkm6& z2j<>^K8M8JV||W8EQi9~Pc4V%=gAalU+*pH%(P$EObT~r_)NU22d*xB<{X1~SMw}+ zKw1NbDM&F`)l5M;dI}ROG_(Ub6IFI7i$@)A8-ha_Pof7&1eI-xT^hZznC|fNVWifn z&=Hgz+_s@h;Bn5D$leZ_uX&v!a4v?+3{VlTSIRJ*Qz)*rZ&p@oo*)|Cc%SNGGc6i? zj*V$=$cM@F+Ya!o(AXyTVOTd&(tokJWsWObq2Wsw`H@pN!qSe+{$=LGG}-UZ#L9>g zyL`f7l|@HDy?689z2#Q#zk5X4(^iG|-~FdSh>D-K;(b8>!xf;B4?TR(CqP9$@;lS@ zo_LBFKm9`%{=e9ph-qy5edki}tMv@ZbSHnOn|k+Q3U$X}5FvAua8+BneoE&<+(8^R zFvabRepzH;S_QF7C93T8Z?0_P4AL7nb(plpKyLB9VVQp)ZnF;RD2A(o?^GM1sotWI zF-#2jo@z*?x;;p5Bd}xXR(`V!Y8y5BOrb1@rj+`Crj*eLjV))gJ!js^X6Yb{X_&3k z)97?#%fU+Wk;yhZ-G$C4#FOtpFN9q8h@ffMO={PfX_uQAIP7*1dh$B@lUiw2C4M-` z$&PPY=5hk%;59L=xuOtQ$YKEV85cRC#rp?~SQ{=w%-f}UbIrei60Cp~F;t}3@Kw=K zFEZywg~*%jHx-le#bh%a*m6@rH&2O2{Nq0z?v1{Yo5QHzpGN(DGTZN-qk7QOMf)ed zJ50x05`(J}ld5oW#~>V(xo%kOFN9s9uPOQ&ub*+5@3M7+C+`1<4S#LoqNp7$w=sY| z>Y$w#b#fGyxz>lDp0V&lv*B{^N*4i=L^kYPw1?H`9IAt!zW-CR!#L4~G4*qXaSSIv zz0;ly{qNPi{~xOVeWLzroklohIEK82INmPsh$CFbQdSRO6-ZS07X3gDY3B-{m-^yv zR}}T@$Yj^ZU^Bv3Jxte>T74a@iX}M1nv+^RH+nBft-c|8FG;OV+I!C%U)C_ER$m)M z6{S|+nT;yJCt~_~K64U1(l3{14t}s`#VxVJEvUYKG4H2uN>E0M`h3ECeadCM|ID>d zNEDho2J=o3reb-u{{~fx8Pr*S(pBx2~?1&4qNb77$QGtXL=8M zz6GBW>`wYnvPbM7FlwLf@_;$hLU#_%OQf2y2@9~ZdW9! z!t`_}D9qE=s51spdfp*6O>%|P#wC}!$$_bLFEQj4nVpDBsq4ucAGh4cai&5fv&z=f zy^hBS+8~NpVS2jf6lOYsS*W30)5Go7r4KRqpYG=N40YU+(a&*@hLIaB@){x)x-<-3 zVWETbeU?zZP_{y}VB)Ykd(ws50bKBkbS*cL0T>?JE321ibRUCTi29*V)hC!Rhpz=7 z;&4wkr#>P1Es7$S<}(DGFX22O!x74NWy@^-w3S{vT;G*htfWp;q7?sg9<+evO3*L#VMVh`^93D-H+}ze_{to#?|PqL7_&0<96ua*6%y8ci5BNnrH1t*{bU? zV{r=dAqgV4ZZuN6I4+XJlU05HvMau?6OgHu{h8*x_?>GTm!wx}%DKsecddg)cL!S^ zkADE3KwVP!lp8gP(L48`u`;M$Ff=ksch1kAW8j&k}Ty!vgd@T(Q zsY*QSoRVIr?;rAr-jC>vSKsyGl~tiVl7%ocy)Zu~c~W|{^*DKKu&|)c-e=K^p*-&muh>T0sYS|3r{E_e&_$hS!FcU>Rdx)0v#k{)1LCR9<+EO&X<9&p! z68kf0Kc7;Qm2x7k4uMT%a7VwYFSDwix>;eNpLos z5|_#9fOB5>cD3O!G_K$#1a(814!-z+9PvZQXWh-WbEy z`a{}RAq;F{{X$}-Ud;KNV=MkO_wyz(3JT5tyh(}zy%v1l#QtxuMY`Fpkq`dS7o2^C zI1lfuT~3{w<@#aIWU=3ZEuS}A!3DvLT`ju7F0_X7?uyb2w#F9p8u50^ABagW*aj|K z!W$gc`OFQ2v*uPoNafbLu@L#YxWqu0A3(g1-rbm!_*Qy>izW7vhtEpqdKT>I$P_@b zyCT@h;AZ7auPqf1KY@VVjkyVY`C57C7pjYPHx_jUv|+-HE4{ldTIVg676~&xpzq9p z@QKD?YjE?i1i8x?RH6FN)#H)$ST*0>Lu|sX4qC!80(R|o55LZH@dxO7kbkZ!wY(f8vurF|I4wuVI`;x#*w zunc<%^zF^ghROCUUgJP`Y1boO(}QUCta#1#UTjte;h!$;eipCUOf%Wf;x!i&Totdm zNd+p|`Mk7zrrd4!EjpTw(IW1R*T~Ht3D5G6YY67+Ri%F3>e>~rk!!28>lLqg)I##& zHFxsT@@sx%E&Oh9P4VuYY?2BMaDT__3@qlgd_dWl{P}~!px!>HI{e(jsiNsF^$NS> zC7tmh5Hd5PiKt2(!~l#VoX6GvaSxA{4-s+L&J5$jsqAQL z*5HT^8T4#+QYRFtJZq7=c)6<0-VI}7*nj-ahjrc!W5GKKrY$Bn(z0l$ldXkF( zx& zO7AF;imKhW>e*Knq@>lZ1UV?562dAVd{uHmVvZoOW+7h!*%#MEAd4p;E5m@}TOrJZ zcMMtBFAb#SJQw)vO!{ybYyc>tm0NbtEo?r!VE9`AP&q2vcGf_1x&M~5lh(3ZXi$dD z3@V0j5Es8j6zCR6;k?NYR8VET1oZQ%4#3O|%BGuS>1GD!4N)^lLYI`pz)}MZ{NUsC zOO%C2^3yUKY(vV_N~<|uvyS@t4qKu!maB&W(gJBIwPeR|?k9X*&=xELX%>pD`Q)B< zY~_b5i|=oFvHLE3i+jT&MmE&W0{vFcKBG&DLf3E~%#eHk7W3C!m5pLiJW8r;EX-F{Oti{M{(r2$ zc+Gg5c#Up(%NX9t9IX()*rQ^#c)Q2MYx;M|+?)4D4a6sRg@c?B4wC4RzXAu~6Tv~t zD@@(&DBU=QoaN{SyJ4F-ZyhDN@0*Iv&SNDTb#@+I!K0eRn?7e2KT@#(pn&5l0JY`k zpcjQr)&iKh1_zVt{so-hWWj)@Q8GVxQAobFqIi7m1?TwE`yQYH+)nAc^RUl8N7VLM3bUu{HWB?efVy`o11RJ?2`mZ|bK;V^^PxyWFvrd714+5(?Eya%o23qKHyu+gVsH9eKPEg-ia zKYYygCBMo_ubd5V#S-VI=W)x)nB5fvaubK{t|-n;Vu{K9&^pB=*PG%QvLaK*CX-0bl(?-tEG<%Xvx9y(*S44Q2UNDi*o=fXq3*8Ply9XEfX; zj$+w-G}S@O{e`2FVaP-u^2#=@tYN2R4;{`-W-cQhb7N7kHeAZB_74N3t`A(32 zAaubTojW5QC4IE#qs?k-{wm%bQK^6N+y9>vrr5+NIJ?>(^Z7xC#^D6?bf zCVt_vX_Fkj|I1w1GdcLAXL364``uxQxAQw~y2&v}bGeONw!ABBsxIZ1^1N;(_?P^o zX%)DCu;N9=KlwtIjQ8^3$*%$<7+lH;P~lR25(Cv$1z3)YVT7d4z;nx7SFykwo(z^}gm zTe^;}MI6%Px3am{Tkf^|+PDR8p{E{}ns;<8c@z~M6Anr%EchlkYz@lYL-=i~ zI9f*mkKnwPWKcMr;kGd@HfqP44Hn{+ z)2+~A;;Vy~C1N?lNUB;N{F_Wg9z(f^? zHO$b+i=coT$;a$Q?Q?=NZ|ulnToSl2$>W(kg%1A-{U8YQ*wnlkImsifo$sx(pwnkA zdxmOf_N|yWv#w&IqO>x1W(Ea4(`|8dJ#EEYB4A*W0>dW#!S9n+dr_K5AM`YKpoV(Z zQI9kybEtTtyKPZ!j5#l{`wl)yAeO{UFR~{dr8=PDFOW*NanPS>@{l+ceph}mN9^vj z?_%RG3V@x!ZXvo&RvfwvU;^X!)Bo{0jDNqkw}Yw*r@J3~+@_-kCkOvkN+T#2{}spJ zBIf*39j9q>7n6eq91v9ZXnPA_E7($+bp zfTrj?#16)@rukZ_}VSqU~=Vyvr)GZ1(PWNaqkjomosq|Bzsq;?#uG1=~cQjuFySY4WahSX$lv~ za4x27FAmsN2%M7RqRxTIw81bkJnqmKK;85UtAfJ$DV1?2ySE8%a+mYjnKaB?0{%qe z8u_bSh5K5B;s;aj;}~78D)|j=3pTpopKazEa&385)_c007fBqy*q;9MNbo|c1+8eR zB{$gz?DG+=<^GD)y1l87aclAjJjzFHG;n8|P>^JDoW_Z!=;{(u98C(h zGoz|IFo=s*#+gh<7umG;^VP40#&e(07=ozFsrq4&}qfZTx)U%CNqH%g!+MG-tASZcxM zYk>L`>^A;E_1WuetIz9f8{NunRN2qI47eZRyR%l4S%TWUzFP{MUun9nuQe+7{^4j} z%?>6~fl94*AqvE-io7CNYDxb@QuV(?`A)O;*y~$Is{h`I2ETjM9~E^XMa@MckU837 zt$hVu;&sbNqnk-($c(UmRjq&;)GoUzq>;{%x<`5*z;{2E;n=72RZ2AbooF}lFT1+Kpz3v_9=Z#z8#S(T z&_=Oa;u*ED?It|I4tRNcbS2PVgI4*qE7zdejX=fP2H1xN&?_BPEJ0nx=Rk(^H-EM5 zq(JA=KK-zBC3<1~Q^IEEq*iFe=r-;i+7al=HScVF_BNZSGeNk;cG==NuLJ+=ICZxB z4Y1vh^KDeaX}N7(YVh3|FPw!QD!qQ7-8N(Ez#lj4OCDUUZVrm?8cBoQi)6O-$@ybO zsr7BEd1z`iS})KLUh2*ez&~>;4KQPL8-sK-76m3?9%_f^mvq

1@m4KY!ZNKLylbB76&%SOns2%u1 z^m!S*mcyCz`(G{KQUs2K!MFR>`6$is0`ebVHeB0K3h>Q@?*@&s`6L6n*Dhe0_~IM# zF1Sjh5e+?M8V-sNc3*`bY(8>8n1Q57<}FdPVtY(oRf3>J5{zLqfYL0rsSi7^z{E2$ zzxrOibUTJLEkXTBb+ONTk-W~4nz61pdQR(3-PP61X}RxzD<1e7FRNbEl!V7N>T5}% zEls1>U`cU#xY;hRB691aLqdpBtt_;{@e z7){W0syUnnrRtkZEm6Mg0p&8c2LHIJp-g=TJ!PXe`pVVt`BkWPPyOu((vm8^WyXR$A>zVULu z{2JmG9gE+61ls1D*-TDx_<*`bI#0x{isG9qa-V$q`sD9?piMQOak`WydlLn>7Ea&f zXeeEW-%!h5;UKnUB*>)t_^62}l-*ACyxKl)v3%Vw6`3$iPDTf-3d8?mN$*MjW;`QG*Hgfa*$vAH~`~@Ky~CaPXaIEFZNq_A_t< ziSKV7*n823^>(0woKFFbBJjV^ablNfKm@=Yz0dj;fXuK75M7Rf9)q$mc_)CWDo9NN z>wXWIa!+^cSCro{o=4u{2y{ibHy4t&4&77$27N_I5a3D1N)Wic3qq9z1yJ21d0cEz zHLe4k$ce|R*sln`5jchqMFjxQuD)B{@Cnvg7DT19L;&J>8Vr6ImjHlOSBtfs=RUUN z-^8%_;e1vR2lit0cD9iyDjc8?F^fZ}X{@snJ`$ux{xm(Ss9~Hc_~t19-xYs>E{WCR zddwO(9v$g#m%uQ%c*FhKhU-6n7 zrb@^ePJKrxr>ttEMEBwWqQ%e9Hm{%-^4=5uMfqz6+LkTs;r25N<84<5R}t&<1OB@c zP714LTfyt4`Z|93Fe*02Aw?m_?BN85>AoP}?NXaMhHutY z*2#z%m+VgCw35ZE8m{|qJl_>(jg%dC++6Cmk+PmFX0x)K|6_)c;xiEsb+z@N@x8tq zWa{Tbk0PLS+Zprxaoa{_(n`gmaqj!~DsD@v49D9c}nd)ij5*0i3p@-tfrxhH z+TDG;c3_~>IoKWvGJqW1rb}0-|MeWUOnr(n6 zzoKCjWFZfQP3!)~3OwE%*AJOXq;dEO^*F?CgA;sU`+viSU`M^2-OZcZBZcROQM;7; z05N?;`=LA$#|}Wh%xw)OnQk*T3zG;P=%0WrD?qS@Wvk8w^+vB<7f?R9MxV{k`VOrH z(QsD~JXqi0K9TeE0X+(B43Bp=>*!G64f?f1_A-IFD0MlWaTbAgtOBOJS6U5T){et< z_Ztq^e{oU%a$(tZsMf9$<5yfxqP|vTz5=&3+Pqv{?6jsq|Avjw@Xfr19l6>_yR^Kn zno>|&Y_7Z-yW}ljeCK|Nu(iOLBR|`DG5x8dI7d%m&!Ex>G4*SVs^jEE=X66!&)m+h zcinUBtfoLe*hETmTW9I6cE0z&2U=raR!AjFBhYRm47j+nx*XRps#Jc=m??zJ;Qu5= z3m--b6O`KNbV((f-y6KI+ODm|OTL$*rfVovGti}CV3BG;x6!3USQ!VcTS#~@RT=Uo zLIbDG~HMUl_yS!07Vf$DqoEqxsWYamWUEZo8(x;|HtO*qeDd5%MQIpBy z4tZRBPwlm}?iJky&S8@5@dIjPoVBE5Gk!6rG-(!j0QfVgm1D4pxq=iJuo0e2t8G3r zz|@zbyd|XlE-5J~?S4P+N%!Gf=!TUSU&wy-NKOc*6`dMKZw38(YaIS}C&woCYp)*) z4Gw_b*@WE{z^Um~u*)D!ft6i^92+}BFw8I_D(hYQ308>fbCBZ!&XgJWYGcnd~yju1En{6IsH3iZ3Ab$0i`^ycim zZA&$D#$lBN++LD?AoefE2^>3S0@K?fCwYgXkew<=zy0&uRbGhM-#-k65rmNdzfW=g z;RwhN8_0#!?|Kf{?qh#*ECQNo_F#H{6W49qQYRL*X;1+2gn!`OmqtKUU3+n$0xz!J z3^?gL-G71`-*(HiHjrMX~T_c(w&aZWCd`h=QOC^>EbXybqlzZm2bW1fcRo>A_lpKJy29v6uz!Tg~Q+~SJg z2r{*-eOeGdMwKvUW+f*jQr~dzmVc~e7}=)TuMt3_Iok6$q+4C&1@rw`Il?nR)ATh0 zAncRRTISJMCQfPHKjf7^arsHib4_LqEw272CP6peg$-BGKBa56hwqb3#0T2PKB~6v z^t?N_j3;?t`DV!r4QA4|^`_fpJZ{IFtxRuP!kcfmlZWMo3Gvj}1fNSu;T-B4^uAEr zI)Eallnc+j@Z4N{XSIOA_sr)ZC7s()5zt}GXBOQ}+;UPsbAz_fOUh_PSm5g3=R(z& z(^K0+c_bz?St{%+?992ZYD&jqvuJNiMGKmb$c;L-ZhY7{x9eBVQDo3#=r}reeIYF- zsM>qDIi}G3x=f_jB^sG4Z(QBcX>*SAhIZ6$rSCyQ`4%&BB67v|ob^Nx!i^&RJ4Nzc zo~x16nkXzhpVh(^Wa&`8K7V?+)3vl zyA-wci*7v$i<&p`cl(=_l*sqh8t@ZguSFZ?5wDJH7GnjIms1L*E@UV2hPTy61~4-b zIuW%p;hiyMIO}%v4TN#`e1%?vZRgj@S9JS>B@eC@HQ!|54Znx~9{=52Sth)T4334q zwzD^OB5;}x&%TIhne0Hh>ZB7xm?oVA69tLb8JKh|D390ezpTgkHVhg)P#%d*hOcW8IeMP^%`;E2hft!g&n&C@&7vd^jde9N`rEpR2Jd$s z9Wjp5zf62@-_`25&>vfKErHpEZNNJ7F%{h%o15inj@k+r&hQ#`BaZB1r{N1Y)k$f( zM%-;<%cY`8Nv_u_D4ibu0Y`Q6%lE%n@n&!_TyZ?QbSWYQZGv8G#cqkBqtUontL*}p z0R<6j6WoAKA21l_l*q&je%+Ht^9(u(&fYI($)0*4N;#Lu7&Vb&i<*etF~7n5De~~k=jw|yIkB{C4!I7D6rVgE4U0WG zphpP{$6)I!uLf#EF9`hCZK3XrU!=I|WvVUz^e^TZ`sZ2=uHVby+QDO}jSYXDjX@ zb**23%n`O7q|fU)i=v0GUR@iKC*ZZ$GPa!c*O8WKc&+`m^1!g##>t&)q|#RSQ!GD5 z-jyXXQ}N4;#D+PKdA0(fQnY+|ch#ozL^(G74^ih4wN_#)WjMI738HP1yVh5_y0Ds5#O#RPh3Wt|Jcq(s48|m>dJIR z{73#mbOX*~0lDF}c;&@HcXNAXU8Ux-i@$M;jr!dti9RvKo4-!BZb;5=NLKTG|^FCY17@-I9eO@ zSV7~lsGcyrh4F>)inTKQ(tb@>hKi+z-e(&&e z&A1$?FKHVI@yhwl3|jl@pWuEF{U5!-F-nmjWaDO=eCKw2rgQp6s&H}k#kAB2>cTC% z&I*BHDWTD&H*AvHghMSFMAG4m)S9LD%gRFR;MFzzByhSZdjY7iA~w{tkNsQv8ipG0?0{1$!YF4=5ZmWCFt*@0vTh3uKjHO zIcAYKrP&2imI;S%wVr&mW~R0Q#7+j)8*H&@MKPMlIIXo?>DkYs#z_Z~eVFrS?!ydQZ7K$_pqm-+$xrk498KBBK@f?a< zpF`^hN#{q@E)WwHW+&7+Bf7O_ubrqL6(FfOZpg6lEAHis7LBN|f0Lx4miHVn=Agbo zz{1Cvo|KHN4v%9DN(+>0KX9-U2GU$}P~7df2D>iJJ<-jTH{Ku_-`bt1L0Ttklut%! z#yi9iO7za4^42Eke+_5C#MJ3F)ADx?3%rJ=#rQkc6Yv{7`Ywg`j9`Qp1R*7l>r+%^w-zEP=CRTyOHM;Z`yNbBh+ZfsE~F0)~f>|Il(6i;1Gi2mRuR`rzf)xo#d2~1{qIRUK|=E+ z!SP>yf`7heN8@m0t=p!Ge;l2u5mVftqWpnZElTZ|i9~WGea{U}s4fvm5Yu5F%gXtl zz^>_8RLpLvnI5a*2~q_n&LqNI1kt1D^JpcGS2)zOUit3s6Zf~5FO>Tu6rPbvX`A8) zQ03?@L{fCwR^4Fq+Fei>NwB?@mp+w1jQ@$+UwY-Lcy{UQ(94oHm4XT5frl?zwziILW*AZ5MBTsqNbc~{A3}3dq_VsPdN0w`aT$yGjtg{VpJ7)P z?5EGNrIW9{CsjS?Vwtw=bITM@GyVqDXycveFT(1dE>zf_u*%P07F%21XgbWOC=LV2 zdBvlvdbhybERIZZ-xFGEWd?hrVsvNr>bw=w#@0D1yExg9N2+q%rIA5Ht&60t6~1V` zu$}^YmGlGe+T_cvMMjkYdpr&NS&7`x&;1-(@ytdelDH+kEdfQXNkNt!+wJah!)sR^ z0+3zWA1Mc01Rt;P_GfNqu58vBcz85=WFAf;<1fcpxAUIi}uC>m!S^82J6!p3UKfUXq&Dw`%xI&sAq}+TQsf>U)p1 zes^GQ!-hi1m-cmR!-uv%EOu^sOi`74*y!FcJm;2}+d48JOP=YfB>|zs)WU79yO*P0 zRITs&J7K=4KKrT}LK8rHQ`7fTDyiOLJ{M|q(mHyi+Io*gc-7T_?drBxP=HYE%k273 zZVtWcwz7X?F@J8LCE1hYIu-iQsEcj`qF?ed^HH@HWcxQ>_G+l<8)|7)I9YR28QD9= z5G~!d?3nB>UA;d>6?FNwq>2X9i(1h0677F*;_kcwyJsEsZD}k&ZY9sWO8qgP;s>fP zk-iGAK`(D3riEHZr zr}&uuDcoqu2-M?htvDA}J3RipI$E)}%E#=!2ziHkBa60%L|2iYz5e|hOA(vQr;cH6 zYXQMLqoJzx_xjC>cNy{JzG#}Vuy|fz%YGDZuDe-O`zGRV1_t?HW>Sjm=o!FcBE21D ziA{($!w6oNlk@?~rytlXW6*w6DtkCFUv@V)_OIz2mTS9QE7?gFIwr2GVP>CrvUk-c zAo-XE&-pxTjg`OJXD5rP8+cbqtuY0LSkmjVshYlmL~rXK(VCah63iK<90+V2K}0Xy z_A;ML(pCi2V& z4P9T)dbcKMXlE>-USiDC;VgMYx=UisH*zFIKjY)pxIc?qzUKia9;^DX=;XwtEE(- zgHM{|^|$QVZ}IS$vY=nNo7O%&A=gbglJ-!KIHx9h*H!8Jp1$W5DWO{$mxdIiN*q4f zsjEvX=Blzpk%z72-Odv0*4>EjIglH1mqs8fCT3OpEM+4-9$kqoo+@D&k}J-kpd^x^ zyvE}ndtJ7e`Hqv? z4tMA+_s;bU<4+( zU9CeTw!19i5J}zry09feUiL#z{~B(prIQBfcYE8~MUs8PlDpdN>t}V|K0LK

{fV zc}LGR$drKNLH^1KXy<5uLA8t_Myt6fJRloCwd#*OEZ;UWCGT?~wAgs>6zusy?;Gn} z341~mY2@;wNB%V&O-v>ExLAGh6V8`Mfe0WsngyzgCeZN#Y5{<1WOeoUf z9@59No0VZZ%M<1cg7<{@-X#McZ9qr?MDG_ytWko;2UPM7D1}!ty&ouZd-C*hI?hrs zTzye+R%oo;%gV)t7V9;mx$Gqi=FY|9 z+w4NmV%04&Vn{uoicUlB>FilHkqQS3M>P)LK?i*J+QM$cFaOPBEZ*edldP?8NxM;pM#C#rGMl`Ce zD^2W}CfAZA{t}NMHulRvr9UfcKF6ke?czOK>hz zB;g7-=sy0rA>&VP=@g`A@j6C+S?SR870XZeuJcYO>Y!R-_qVXRlf!1By_~(wp6Ife zo|PU$F&jpyPjmJHBU0e{mOirA!jY)mik(O39&v8&1rw0{2Wn_F5c*{#CRk}tSC+@5 zR0K6LvfVmuejwAv@K&4>U)^yp;_$|fB1sgKtje}JenxP;x%_$arpxmT-q&ij*kau? zwJ%dsqY#X0go5zP_?z;JpF24jTiK}eJ-13G6Qtvi1+QJ>KBs2+j(`8+&k*IUH25w! z;hPSNgt`Y)q#*v4PK;5{efv$uH|Phg;+#!*a(E1P+sAl<^qcMm)RS%F-F)sdqzfcL zAH?o;j?+^*6|`~I28I_nc(_gQuz1NCY2V#(j5>zV2Xq%Drln=-6nw9ag5A(Gi<}J( z2;8Izr_6(Rc8k{2lx$Fpuo+AcAO+gIIUU||B@KRym#)fBmx;VF<9ZeL-thuOznA_J13 zN0t#=leCpbjIcde6$0u0`(7;gAhTT(dLW_857IIZOh9eXGuVc8!{z@CPXsy&myH)# zFCnK3Kz)qeS$&MXcuErs{$&WY6IyGJt31JqrC|O3TTfcM_@|x}`u|r?y1e=1?L}7F zS47|KR+man3cWZRwmGIV)b@wG*hQR9dW|6ctd=qsOE2?bUbYXX+eVbK+`h!W5#zOq@y7Q z)ud}ECh0c1sWob{CxkOb+xZs;G!wv!#af) z^vpa%)Hj($oso0PRVWf#7Nw$`nG9YHJB`_5^?|}Rwx*^_jMDid9dIaHT=3dVQXOmPfOW5 zHlJFSFjH;sSpWr3*7-cD+Wp>q{a;He{r&26aDhZa+Q)EACx{igv8*=v$0f!+4JE>Y zl8*WWG+4*#Y_{zCr8pf2{~$>z9wvTbr3!acu!NyqZQ+7~?;R0^ z;fHnU!vWfL$#~itKDp6$0 z&}Z~If=U@iqF}Dz75q(!Rzrm1>b-EHkIAt&O7b)3gN&uv8??_z9bf%F?7azCP2Kx2 zy!WXbBAJO)l*cSnW+h{pGL<2Olu83CQ|k2apgA%=LYzW|>M@l>Aw=_}c~TlQ&(k@b z^WFE_=Oli95C8AF-uL~!>v~u1v!^xO>t6S~*5122-}BgF8>E}L=;?OH?@oTkA2;6}oH%NrOmF9+ z^A<6Z2Gvr^e1ho2Un@Ben-D2ig;AM1YdK>TiX-SV?9i_T&Op=TKb+jU{2rHocy(;Mrf(q@}-Z+dnupYINk1fhxU2b_e+SeMtIbc>m_| zd*j+SmsN~49ty7^yytww|G2Iob={j{Ey3x0_z-L1*-`FyN1oAaR7zFMPS+mZZ}H(= zGq>)_sq%f()$5tFulX>y7?&O3OvpjB({L(Vr{OA~m;z_;^ zs8|B-!;<+8m!&<6XYyZ`2XE2t9dqTeuMfLt%*y0tp58^bi_i5Pz9%zb{)Eu(Uk7Q9 zSN_0V&}?XT-LfMkxml4jKjpNIe@U^CM(oCMTC)l@M?Oth5X2uX@mg?6u)ZVd{CdvKV}o<-!#!u8;3cS@ zU-YH%$jU=04r*KYc7r?GngkWiF_Cwio6@JlqN(E-+Kx63;$8XLQCzL?ysUlh(G+*J zRa=g=PvZ;-pUhFVx;*<@#fdV@pvqM-FJOY)VtD?Hce&e6Wa=Bt4xRGwxnz`@dLFz$pvrvj z)fq|le`|Z58|e8~v)Jq8mbSMaKU-kv4dMwwfpBnV$&n2{RRKA0KfhFfzcANg*j9b~W51E~HJg%#IDQfL!pT2z_ zMHM*K-5akkVNAER@20p!|U7;6Y-?xH!JygVhevP-i2WPa8 zYeF68^Wbeo4V$7LSawu#=bm$Ty{-2;*Ad$1@`mJaY9~x|P*#z5So~;Zo#DnBqn)GIuUK+6YU8?L znS<&FT%XbFfsFK{E5~KeO0s0vPfvWef51OFLrmaJvsaJ%tvNow`}Fw(Wm~O0kHdG@ ze1>ZAvu{1;d2p&0I)s1WG?lf$GYis|V0Rg%!-GOM*zL)7+rVwAF@mKcvoy+jzZ6n3 zb+tNz+L|JrPn;50+mZ zUcd)6_%jn;Pl5N39IEs40k?i(u3d+!wK+8i-Z9h_XbpV2DPZ)|{MOaY20Y~x@PUh) z{BZRi0`=j1Q;l@Nu{B8+A!69IB%;{>6RYPM>-FhmFD*_ z`5rY;<(%f*rofr}F$u-fEb<~MRGoNxC!GBf)-P~ULS@z*v-4_WA2r7vd*VI5|M^>9 zE=zb5BVU*r2Raw}Yp*k>dS6l5w)t_3N^@I?f??jvcP||~CgsY7gzOq3v43i^-ul{* z)AYE4+PX8Ib9Tw*OI%-a>h+TY1N|b)t#Wi`N%m7Zd4I^xcgM6HcPo1yFW@)Hwxmo7 zE&WbQ{&z$9u?~Oqv|^bVnU%d$TCasposwL{>n+=OCw*<~ew)MMJIh7u=@SQJCB$Q(dKGYQHMrhQXd@d+#DH2SO z2VV%-W4q@ePVMcQ{N`=e*`aJI(XR$y#S@xY3j*NQqVG*}|(zlJxzHeV6ErkdV@ z=?w||kCx$zRi3qd_ZtfE6=KW6B3joUs^Lw7Mdk3!Xou2(^Bu=7c1&GK~1k5G~i>a z>At!7{IRh7_tIAKd7tk`HZbDzOM&zjQql}whTj+j!YC+#=lH$!Ec%)Bv=a==FSx-L z?5io-Sn{?J21lbI5=5iHca3u3lS1FO86;a=SGy7xZ3D0xZA;o)4fq;`uN+Rm^I8>2 z)ir_vxjaEkAiS#{))H>f*&9)Ntumr{85_SS^cmzPtU{c1sO}Db!B>ZH{t2r<%I7;8 z-2YP=1W|sfwRJQ+*n6n%c2s*~gn{hWHi5)`JKnDaeL8<;)N2hM(2;UQ6Es>{VX5Kh z?b-D5J3<1s`42*>Uu5Mcz$=X@cx z=+%&6p72EAH!}J;H2~J2t79~(b=0RjI-Kt4Xv5Sw#xDpzazt+i^B7E%RbMH z?D#WuUv`4wu^naGe8LVE+Z>tDtu%Z7=EwUs^Jgp_z7W=(ZXAC4b^zCZ$*$)`XZ5v5 zHmlfaKDWD5t=AHgYoVW@Ufp81yW+Oi?XW9aIU9yfoqJ(NcgYPq9G5Oz5nN;OGCZ>; zpk@9Yui!9?_k-@O82Dt$hf{y)Zd)_iUuCHLan09d3Hh!W_7;s1rR|d5#5N49#5LdkiacUCmD@IDL~W-a($i2c+AJg5Q6X%S<^4TC2dE>_f3 z?6r1;dFZB&?|AgT@;Aqzd9^@oSOZM#=m&*gYKIS=o@n?b;r0a+P?`e(n?(plScVsY z?EMXB!4w9Ab^STP$Ncx+_MDOxV8QbCUX^;L2%p60s@8r6Z6W6ps5M?>gu8}8TYZPU zK(T43hUa%w`)~XSc6R36)+9kl`{0VtZ5o~h8YvxC-H%& zrR89K43hnz_`OK_InXbVEC{Y7Ifa8NDjFKw3zor$Kz?P}qyCqY38-x-TGk5Rm;_8k z2@X%9eqE3lI(r0PprF9nGD5K`BBzH6JpJ|yjmW|FWIKQy&hEE^SFl)yx5H=YX(2V> z*G=Zv<_RgrSW)AYU92c-D)Qc;0iMkF2>LlqFr&I&P+L)?!&A6akaP++Ue+Z^H;h?pDRkSOYw@V}dmlu_D3SS-mbx(=FKE{a$@c>T&je6d? zUoi8PD<}BZC4Ko|9?9~~fq$saiA9kV)XI6L@IC4NOnt**Mpfd_f|7WjJ25>c3sm^K z(`B3tcx?XP2Q|sLBK%23yl+;cxAOgit1~L%ygmH`?nPCt@;-SZJM8GGWA2O6c8|Il zS$N~t4PUeJkK2zN+wbqS=g{7;DN5HW?DIm;?YnfaL?*4U#pcWy)7uBV^rTsVcMfcR z;x9dJ)c|TTRkrhqdDzm|h6*BS7~ z+{>?YHqW-_WS+}VOc5MbNP|#P%^&I|oW^z~cwK{0dWT_!g2N9llo0&ng%X_1BbGZG zKh>rDvrG`a&4g1p|8q;emEcS%5`U$MD4 zqDgV}?4tZJ&%b&u`6Hr0b&5sTmyJ4m&p+tH?(xq#yL8hxrt2+l8fbgr z!i6EruHU|Q`^Zpjm4kZ@jdE5wSG_c(S<_&lScX*Efz(+%W8CPLI24$mg%p?SSnlk;Mh&$}7 zvJ$@&lp(gdI{2DCLnCIwtLDHCZRcd2%K2Wn{HITH_q+xj-gj4p|D(eZFrxAgGbh+@ z9hkvCIatSh`SPrxS=wG)uV9xzpC0m!pEjht50ZC^u6usG`$$3NGo-DsBUO`LM&%Vh zy&yejesSb@UzXhFm48kBM`h|6P08b9Q~t37+Imo%lYO9f((qVIx#1Q{dV1@1jdnQR z9}B*_l8c9XNNeAwkdgvxDcrIMQUHzovbYzrMDu+O7V{NQHtC8p(PvO-cat@!IFYEO$zVdmrOoVIni8hg1TYYT^$khPZ5@$O%BF9oaTRE1p3 zK9&C=Y~|p@4?*&0v)%-H+`bnb?RcLxuDcrBckKsw4}isftEg6i<@~7J-bd5mGr*>G zrVZZ1?uT!+cwrc4@UWt=JU;IFMHlVjVLJPD)GR;7?Y{4R$mR4v$J~X-j+vYU5M6nyqNhXaJU7)D zt9*RSA&xZU@frP`K2pczbiPiTqBe52ztfF1av5#cpAKzF&I_#}k;`D&$a$yOZGP|? zZHvs>6BD03Jg=y9w3}<+73nFZ3PWxBSh!qyaC)sV_weL%6aUCFA26+IrQ1K!FWfdH zCCvS^^t6FmM@mRIFT%d?!TjXlM@Nnr*k083yX|WrZ}QUP>DofJ^>o8AH*W^|`r9UI zSloHs5|yzx-=bP|&?LibLoMF`sd@balb5gcJhgW5R7H1}m{lWBbMNvmhQvp=9;s&+ zUyN>B6&9SXX=&1)cEUtR^{VPsGR;gbL`I=tT8xL^gO3~Dc?Oz}DObLGe3Oya&?}q! z9p3iY$atUI3ywy%yLSxN zqBO#ci-LL9vFb%t@-3nIRdby}PE8!V&Tr1OE$bFu+7Sq=W{gH{mfNPSCz)dKF6eA_ zL*XGi+ZH?9V%>$t2lb4{*3Y_Jp6qeypvBRI`c+Af>kq^&@w&5IChFA1Eu8Twt4;qf zDc_|teah70jiK{SKjB^Y7?v=mx-5M7TU(cfPbbHRx)>Fhxz|aJ+cNd5KYPBRK?uJh zlxH7jw{g0KWmdsaEyu(aJ$G&LcJftyqqQS&VA*ny0IRl(Ht9?FPpk8;RtGuiU)tRa zv-NG-lV%QkDVS%z@|I-Bxs&-#vwDP3`Eu^pR&AS-{VXdW!sDKO%KCYm+UHGNJ^%jr z=$E=1KDs+ZHXNGC+Zmmc6dhh?F*tbGpf``StvJ(H2GSwJUF)mrGluaO;@~tvYmqS^fqs&EAgn zBhQVuIizvfp)`vVbE0i$)1~@`EdA@3#^$_y=;CD6^0wGzqhWCIYWta1dn}!AuH2iT zz&>heWIjm!VnBh{G4rPJ10GN8b-#LS^LmS%myIpFqb;Xx9*&I(v&oxq^whj1>j$1! zf>-@Y>Rz}yLh|jr1p#9oT&iyV9KxGc>5){WmwkAcLxgMOIqmpUJ4faC^~qXiY&i7h zjs<7RMj1~3G%R0x&MRhBk1**jhqEYm-z8ji_0=f-NZjM#LxPVvb}44=O;08ddu(MK zq8@GT{PuSBt6lX^E`(Yz(-b>`JA|eTt25*MR2_X zUi*1z8t3zZhj8ZzzD9(6;9c}F&q5OK?vPA?38)&G2F3RI{t;1vj?w8DRCQeOcSP-t zO<;=6i)d8bj-Qmj6!G=+3z#gggV{3(Mrclhm=Qo3l`3u!-h?F?>J5FCV!o9L?`LV9 zKHHA@Dm>!Dpt1jqe1IWyW~@Cp@bOSt{NQLzeq&@F zC;o5*nd8%(R-nphUOi1wKf7b@TFyx!RpP~iw+e2B!2C%T^WFO!?eJkvd8m9Peiq&p zX4|>W_r1)_Jz-Gy6M6rRk~6INiMa z{?#P|`n~8CKat3aewx}d4pG)tzN#= z&(Um7WRP`Rb48BFfteqk}8aDpJi|AX}M25rw= zJBOnWEY`RF@l;K}eOTGTtu4_r=Cys~M@A2GW@QO7eN#29WNMRE_)WbyW%*sDZ3}NL zP?1RV@oM9?c(vW%RpL#pdUxT?N54L5cOq46$Gu*B_L5uhxdoqCz5$mt%KkXU?)V%I zr20FuEde+uxTPsI{=jOxkbkSR~l{bZ}9J!!7mSfUZVxe9tQg zyUf~uxgcM#Ctxsdhepz9h2JPyAvkWF&CmWEzGJJtV9(ROU;+V7egWt<&(`(o5`tO= z8Ll0t)9=cr{6^oJ;k|qfr`kL?HN3SBY{dp`jiBG@9lSF*;m;z(eQN}N&zA8%%^GFr z{=KWV8@1!A zej(?9!7Px$_5*_@RV?P@wV#1F;lHYeWr6_-jv6h0v~fBVo)|!^+2LF}PFMUwz(a5u zq%L7geRyw8GdH9a7*mCLmGp1u6o{g~m=()8&EI1-jPvj}a1U>JUdcPg$?-0(vV#Vj zxbP;Ag!WOt9B58se{~8b6unC{8tv8!skI$*F7e@=mh>cJm|*=Ao&(xlPhR#Vkm(I$ zbz7l9VEqo)aHIn*I`a9mVuyMAw8lT#3h#*KM`QT&7np(f{W2VCbs02h!#4kjk?9(B z&a;1q{*gytaq{Gi;E~LuNe&wIzoEw9Th=}h)P*r`N4{2sB_MBCfH(K+9@ez?@TLKo zkM-PmpoIu`qb_hS+Ocht7{ZgkARmUh2u+ikQTs@l=%YI)4 z?I0=$IJ}vw2ozV;@3%%!BrriqR>3n2?2ccTDs$val`S3Y*4B{tZ1n{$C_95s^@VnG z>5Ns+%EM;(9EzHEcvVE$zS9Gq`5#os-hK39PRwZU>h797hW5VyAhOab*Y0q$_w|k5 zL2Zw+>ZP7sRO~%#+mOGfCzcy4Q3Klg?Nhg3vc0aO=wOg_s9Naun2VMZjc2Z1Q8>^4 zRre;t<^C#HJg1a4+b&aRP<^nv^Oa4WQT4@b4_DNVk9f2#b7Ok{=D%hugy!f=Rz+KU zQb@QsD86Jy{I&zWWB!yK&{r#6MC%meTY>7PN5`DO( zd*D3nzSp!oW}gWdaAJMoiS0w$nkMlkR_oq*dMwvE_EOl^OZz?=stoP>sk^Gm>Y)$1 z->Cg?C*gh^5zp+3+M>xig3)HGEkTXiO)aM*zBf9?alW(9(P&%QN=+1e3Vwwp``v^xVy> zb8hAw?s$6bW6?POhkv)f49_+6Tv<8mXlU|{q$jnvbUfe3-+Oyv{-x?E&-E>N+v4jI z1|?Um%~_hFvhRb}JhNBNeFu%$e7?_+6ASmQc5UH=>*el$T0MiearD+Dq4Rn_oaf~` z@Va-dRLQeU$ z|9BYdrx)~g@}Fp2PP1-1TvPofM`EYO9`n5D>`k$H923pZvB4KM#aooOl^@JIC||rZ zJ6CFFRC4iw$uTR>EGt#hD$)Ghy|tyqv3bh;vaDdOfSI!@L&D@P`vsm%e5a%^a%up) zhil1ux6-Yl!Iy2~0 zFs?9TjpT0#kAw^(1ru`SL8j(uyO+l1O9vUTfYtb>e zqW!yk2$s%4Zc#0f-79XzzO zM&ned?(62INih~tLBrEe2i$%A<=)-PpASu%Gql>r|F)b(=q0Vard#ihpC`S{uNtv?7LTVBQJBH;YBUq=z>SPBTY%cA`b&MO*R)k%ug{V@y@!7Il)OLUqEG!^kHD3WA)Gf5@XZ_EoS^i)^Zssjy^q;%2uiFT%Gu*yQ5tx@enlBrB9_QYOOdrFkcQM_xe0fpxihKyMkDniz zXTh&}5hAxy-)PFEWV2L{Jc-XL=hfxCR>zF{wEAzG^W9Y8xrs;D6EOeE*b z)Tfa>SgC96N-rPwxS%jnWrVNUmuh#OJGK1d5_b>o!&>9+`Y?X&GKDCz5E!jzNT2_#My&A6}A-LA9%w2&wW$wW}VpJ zrPeJ};aXhtxRXnChuFq#{q(Zoddrbm`PYYybBxV>-nd?y^8V?ZwR`_;e%$Zf<&$}D zbJ<6kSJ@?ZnLp?>n|^b;8m1inZ;|xpzkZ3*|EF_A2s7F1Z4MaO7@G9xp>4g$%F^b5 zm3a?}>b7C!&Rs_4-N^40$(2UNHmt=G8?5$8Jfx&Hn(nqSktm?LX&IUBF|lFwVK3Ub z&&JfsvfIeTMhA_|t@au1R@!w$X@k*$oz|v?us1ZZv@*BaV`^lr^xvDAN}7>yq)0m_ zMeVS*|7SBY(j)E@Lq)abE^NpsKyR8mwFgk40liUyuR80?ZwtA<9Q8$@Y>y_5;w6s=QZ*;)am?^*B z=7_mbPpXHup_S#N#XD_wN(aBfPSTV#HF+}byHozXDe5*{Q&JM0cc-Y;vJ};)4|8rJ zMN!RzDN%kU${!xm-9B8LAI>3Pw?*RoN|cEtMFp;>s3Aj`@*lcU)Syk`b0x|Ns4;Il zMJ-ss+#fTDqKr+%=StL=g%suCKv81=CIFGz0UN@J$x)i@m>>Gvu}}!F6*i`*54&N5 zx<2fnsJGDOhpn)OEq31kD#y=+`trnyD){FZmHO9Y$k&~!O?^Q%e#w9>m8#8nMde)G zN>wI4fqZ|#eMu_gj26{eSwQjme5%0ThH7oBrM^6Kqhigw!*L~5_ToI1cx(d2Z*7FF z74o%GiHB9FRydEf8A262uz`A^je=N!O_IvFv736khN4p47Eq~9vtYj%_VcKW^J}QG zSC^@r%bTEnCn}b-y^?Ay%Yb&xsoI>kP_GienF!Z%06s^6p%=innELXMBlUIzMODT= zfHvi!p7T`M+Z#~N87c$n%ee~MCl^5P8DTKfg?)(6@F++E!PczFipx&!W}!!`@aIpZ|>q9l> ze1QD1uq6WCx>J=A{yu-+JptiEb9FhpbMbC=v}af?LE*(9>7=<>_in3+L%g3n*nX4g;UKX8L*{M z^++4nw^G%~PhbqvsAiy-FTtmvPD$!L)YV#DNC^Z2N&s|Hc<&$;e_#;R-dsvhed%vIEjeGsSOjg}m*sZ>KW6mQ%?`Rj78PA-I+W=kW)JQiTr=0)E4xUOv@W z7!Rn3#dFU(9$}JN&}qpAy1mq94gHN=*eXs9M7Y&E&@%xxk3q`jW5A} zQ8`z(06Z=*hTWizm4NFxR5Q?5ZQ2XyZ#SS1OR7HSJ@j)s(8Wlqxg6>2IP}K`=xizV zdF@is%LShHSme-peprfe4{7pbwdFBj|Ja+PUAcNb?{T8_(QuE=q#q>y6Y!Ev!e4SY_;KMw7GJDbowe4 z=or_R*3!gtZq4yb4NO~I*)`liU+(JTgCjLG0R9VXLmKdK$IV?`4L)<{%W4u%9%Hd#L#dg7pi9GD)m)I` zAxQ}k(7C-`;TQ{yh5ULOJzUt9+`u4if20_CyA#`;Ed~EhPA=>cXg82Haf8y3-+c@W z(1`U?YHnF{U?8_C+Y}HxQrl3&(9ke*j)xP=S^qLL%+=cj=wUgzviISD?w5*Xr*eIj z=>wW}ke?YXnpOl7R&xu72IS~q(tz5TdvpMo`wlRjiI^|YM1o>SK-t&M9e|e5zr=+; z52=y>oVlZKxl$`3n6I0{u!yQlRTu}01$T@JwSj;gu;LhQFn6xisN>pYk<6vWK>K%f)ZCUMlAG8lf#?Ud<4FC(*-?PneNrBc zcVRRkKZwwUP@nQs&8oS`$y)C2D1qoX*$6&c%I%)-18$wG)SMACP4cU`0RwTl1)uu@ zSaRGqQtTKcp!w6T^oLa9UJC3vqAVNOnt{i~Z6F*X!twX+EeW8x%! z2y`xV%EfIt<^%yn1Kv|4T!5|t9+X(VfpUSLo}$1Cn2TeWrVl)+#*StP3@9d$8{?+W zj>GbhP(spg+!=ud!ihTu#tX(el0bqveCfCDYVPkaACr8!?<1n18t54Oe{_TVEcRAW zWpHKe3(yF=K%g@4!$5|k0K*K}78^y7)WZ=d003HL`pFqJCy%FhftyJ34d4c|J%Bdh zOqm<{92uA;wSI)Cb^4Aw$N&ldyW(@$-*c1N^?+6m1akg|?TW-LapB^n%a<-)y8QPQ zDUdOM0dH~+mtcS0P0fAqO(^K=2fT?C;w0fLApx7S6dP$B5_9V84A&$ioB+c;OuY7P zC3i7D5Ec1zVgwR7#Vei3MT+g_hMW)71}%_Z(U0g7Hq6|@9ulj7SOW+THUSrz+L&{4 z8EX*VVe&AQ;q~86-@Kszd-Q(-1L&tJ!L|UlPCpfV;Lh}epBil>@<-4w0e=L2J@g~c zKS93){S)*_(7r}LHucmDu%izU|E&P_Iajw3yBK|!yc;{<`T_7O#t@qs?P#>a(bqtK z1pTgP)8Z_%Nx*=Meu2eGT+?>a*U0|InL?N8i-4 z5A6E^9KDEtfxapF(Qj5#;D6OpuV9aU8v1R-M+V;o{pjk%N8rn_pzf)}PeY&M{U#Rp z$$9|$2NF9#UkQE0XcKAT6QS>eehm7l=r6@u_NFqx-@@2I$#XaG%K)YW0~ntf@KP9f z6yWSm{5!GFh(2@H<#ojWst2Dw!%K_!kLX)wfKP^gT{QUEv1Za#-t`?+eclJE{LNLW zHaVDT1w6$=90Pr)=JGu7!@%zb|E?T-ee@TTkBtL5fX#6n_|t!Ye`rkAX1yYQTeNXE zsvdkNj6r09&m3#so5VUWhR|ABNPNiDJsA1qR)nY^{eF+_}WJS-bqv| z^aXw2YKSACzg?Xg41JhPeA7mt8I0@10MEo2(^SkJ;1^R#DhBu^2KRg5nu!GU!GNNo zfN!Dz?kIiWoy`>WaVL!39ys5Id`45BPR#`Rw5C4a-A{c6KFYnS5BH_0kA#nQ!u12x z=lihT-3Rxr0k*MFFAeu;7|SD6Q&AGt1iV#v-~AAz^{ZB4M~ZVMH; zmZFM7oT8V=GA-&FK1aoAVr?j$Ee#|uaX=CVnG7{_L$H>de3*`^yACwnPgOgV! z{I*4KX&U5^5AS6_3*ls{{E~cfB$TgZrR>`K%kTWhJ~hR@`p6_& zz7-DhSu(eDX!_2MJM?(k$dsn9rAvabp44Nfy!^d%Nz|4}b+r5w9(BtT7_>`B)pc$%WJu? zB$YB%Xj;&t=RlfvS6YI1<>klh%1MC=#?$>W?Ukg9XnIC^<_VgvlUx8d5y6vXlA0|6 zg5ffJr7SC&o?DV>3-@K_(o+cn9ePM5Nn6qKTPK#-=Q+sJ3sR)v{(`(2^fcqeOP4G? zo|l#+)qjCJeWDjXy?~~d*kt2A>nZZ+O<2@#(^0Zkm zbDG{FEh*6)FJalwsu!T^z{<3eiCbymCVl9I!Hx+uy)%o|%#ztPoi^M(Sx9eLDb)3UgZ{wz^!e!aL<>7ulD}Mn!6~=wMyyn71KuC)rq`hp47A@3X zPRp-Y8J{?S^a=>5pcg|cG%cLpC@()AXrNCUOA2}gWHnWOx|+=3X^0=d;l$bW7{t{a zX;w$3Ksa{HnDH=367b=RF+$;(@na@Wk%v7LNnxc(4JF$Lp~6YWV*C;hIA&-0*jR;oh^-LIk~J% z35h(o5+Bb>O77MzIR)5LGCn0Wxf`B+V#TM$!$0sRAwsA{5*V0;Tn@jOBoh;H*J%&@ zA38ugr4MXMu!-%I1zl_txY(I6o*r$8G8beg$X=9pC}S~>8UuC;%3YMN?>91X8RcvI z{sB~i^&pVXY$8+R_xFeM!PG~n2WuVtYk5DzqPoZ2sRRl5}?TPHmx+LcK_4VIW z8|c_i7)N!UOTAh~5qbU@I1ez{ zP?=}7h#qqgqz7!8^th$T{DiYv% zvx=g=zP=27OF~_#4(Mx= zoeJQ67~n~oinEZVnusdR{Kr@Lu@-8CQfnqcFMBDx)QeGKUK_3PLFFWrruE}2HyBz-PZrk`dgt- zxV`G+_-_X+|50~)NZcLe?=R)=KkCRmAI%$@H`!cYKi~Pc{BGYd{QC+`dtX(eFVWZb z(DZffvtA%y&z)DLY4FnN%Lad)JayX1+2yFKo4dzY&ohHmKoK3aJ7(`NisMNCdHjT_ znekq83rnkg`wv*Jvavn5W9Kfz-A2lwn#O6bq)k+G_2`Y8HZO*T5-RSij=FU@{udcCx1|@?EMr-0E)yY$)PMfYaW9F>cbH+{^^#{N+ddygw z9x@J;(S$*RhsX^bCO=$3QE9|TT3JO>rnhXLzB2t}W&024H&9YiLQ<+5yF2`J>()cM zXD^9wnD~EpgmQK=Y&&41DJA%Yc}tix{V{=T75@KugLyRqKj;S`ZrB&RMmD_mUyi|+ zC;7YHCuO^q5tr?{7vC3`7w^S+{@c0uI_$;m5WI`d!G93`a@)faBHZFW{*TN5*apB% z>iF^cznudNVmyoC0DUZcuMgX1*tRem$VMS~Vv0 zIR5gFy)fmtst|lTVf1dAp&h8>gCQl1wde)qk9{kTWq-CoeP2ZN6+by4jI-(^1piD} z^w8m3S<+^g^5XL+$A!huT!av_5F*TA>kt^go)N-4uaMu`1m@DeC$Lw9U?(u;jbI#& zSwiqT2^?S}{CnY_+)Z(uCRmBI8u?8E2&>q-jK=)F@rqXmF_@}}SUK`ZM zBgc3y-mndbC6Q)|L!8JsAiv=FgHJAOF3n)dw|^`D(|UOAqzyp_PY8OQ^uI||md|S? zefeoQzz@Pw`zch2IPaum=m*%K!p6^W1U86Cb;1cY3i-zy2s_vvOxtjt?y4aKn??w+ z1fVBMSfBfWlDB2G)Ta)I;Edea_#yLa^_IU`vsEcpWlymSeERlt$Pw zHRQ(-oQe4_7I2R|0WmsqAMqk?7ph{eiRy%!g%Fn`*Xq(=l5z+?*yTcqZISC_oH{V) zH#nGDL^y!D;1lBH62#$z5UUVY#olA!=OO%)8L!x|rko+>ao`SVD-E3BkuAIr*LN zi^^a*(MEU!=or?mkZYCEcZkkOaTrg=gg}n{gFo28277T?D90T0gy6$5dI01{oOv&z zqY7@BlJ*Jyfqt<9@TY{WwZ+1Y_GWUg18{(S=s^00J*>A9Cfkh?zTHR(^L_U)c|`Qn z0r$Vh0J;6$Pea~EA;j7V4L5(y!X^n_it+Sa<}N3&HiyW+SW_usoQQt1K^8Eu@kRV4 z5F&lX825tlQV~|gJs>rR!nHDTK6B|=ztBh2uG zz2{|M3CKTb zZlwN{KPM4*u#yfwwl5Gu>=}5I1|IKD%9TEM@5C{Y#{hqAdN{e4;y3}y!Po)KiscCS zwoqOYHs;}XBZL!AlItTJ$4|9yy5epdHD`AefgC!n~ZY zHYJ3)hwua5_-!pjuBN+a5$>6O;!z zfU*q77><$8;w<`!=$Yxam?jDR0gftT?~?YBrcs3<4JO-|oQvreY3tuoDac-wqhebaX$<|qJYOSHOiVvwUM>naNO0IW2FSq&Xk zZMY%C-%^)xAoL(0zDTUaH+mqnKd1COaz?*&m)jF7@f@M8?+e_-?3o3iQz{+g0&fhZxMH5Ke0UW zs>p_rByuzXb#P-P(@)@YOlW7IADasC1hHKq?gz>6FFz^vqramE@fZ+30Gwu?UB=*q z@V&@pjJQB}1m+bO_)!N#Uz3h11MRnvKJd{Og;bsRkl`_mHHhekz>jn(qJNmnAwCey z^AMkaz%Hhd@;r#SoDi0ToMvJ?5MwIAI1|K&N`g-dVXlhEbOw(ixsJ9DY{a%Ok`ThW zdjgACm(^##Wnws;_-AZ`xP5(vFgGKjA%=d?h9U7HgdgoBLO*CHfeaPXKfsSP26JV? zw(26%7W#3Ruq}-1p>BN-_{4qJ4d&-W^n!APu_@4A!#44p_;#Ig4SZ9mv!w#%Xb+G- z&`%NHlQ zSm(GAn!{Km@D0o_iSW<(=q=D6^pUY0=?uh=_jB_s6y|56nx6;Ai}J^m%Yx zaqPtWNpvRQjp0++L)&py1B7|kb^$LvC-^ISb&22v$0zY1*yha)T_E1jKS>txjTrw} zC)$6t=`Tq8MBc&?%1XpJ;tpx#v%i&Sd{CY+IEFb)BEJw<)k#bo2=R}Jk#`DU!=eu& z^$qvpu>c;#vHSY^3dt}2sf_U#MnQepZ^Si`*#JC&AMloZ4EP>t4Do;)@G#P4Wz2n2 zg%~#MYl7`iBHv-nlCbGZ5|Iat{eUn+91Y|djt$zCuug`Q7t;^YVA5el;XAPJi2Vt$ zcBOypGvWnp7qls`zsUcv1`g-}cvz$}c`XfO+_65?`|upfH~0bf#C8Hc`wHWR3E?L( z1gHyRQzg&N0qiNvJ)j+i9+`Lq%xjan5WbRU&Lj_G12Oku&R3ZI_hw=4^=(45waEr{ z0PbNPm-M~x-hKuzB0URhiHKi>{l-`&ft$!Q5&TF$BK#A21bKycz_>Q14v?RS2ZXb0 zB6t^F$2yUhhztZ8M!Xi@w*uPSY{{dr({FK6hIFLy93NX$HfA}x@!2^;=eIgl~$2AhZ zgE%h6XE1B$*bx}8A2=2aKJb2;Gsp{omFUAxJ;LZZjA!z|??oCUV}NuH#|#aFFNAVQ zq_?ubzD1jW#1lmE38Z5l@HFOU%6Ij@x*o%Qu>Y{`!hl1B_E6tq%#G;-qgy)h25sVa zpe^)q7uXqK6QKU<#6KLPtcA5c5Ql1Dkn}IVr4U<0c`x=|u`aX$a6Sv+|DPn3{b&!P z{!HP34GTH|6XFT=2#%+iS2Dd;kiMer-xYpw`$$t56U6x}jQ`-i1&?8V4A1K`Ukg!= zBdwtQB(`@u@c?oF?NKb(RLqpevRFsgjnrTKiR8n1PlO-!GvXxUjCQ9TD8>VhXW{*W zj4dqkH?d7|y9ht&1MUHjh)c4eY=jMuagT7LoDt{$*}k(Zmce?k4pDimgVZOwhWE&Q z96vY~$ARL&&dv8T0lV9Y%*~=rM`9IXdPg{a0)HnwSU=|ZaSzuz;}>|0d4Alwmg#!@ zW4`}#PHcamC6wo=lW;@(1Z`k(TVfkfJO%^~QGfp9p7=WMq0O%M#W?7!rz=jnl>0Fs zImfynkNCJV54nzU6!34w8^||=UEEG*UMvsSJL?b~le~B=Dns&!?}^LcJyD*n`Nd@j zY@#yacDwGeO>y3TYhT>;JFEKduM*zvusZG4TC!J4&Q+M_eHLLlV>Jf1@*U zU(5?Y*Z(bF*Xvz*ulNST*u^@z>mL346pku`2hiiK5M%kbgmE2M=L)u7b8s_-uuhxUK-jTwek7K`b`Wj>%uSV$_G@6> z3fh}uUx29}VFdde=e>~rVD16qtLSHwI;2I1AqbtT^|JiTDgmw(owi z49*MV8Z%O!;Hhh^;HTDCKf~4e~V=B?}W^Z5i5QNCyeFVB2Ht z9AL-xl8%lbwh7Mj;x()T;~z->@ca@}FI=yR4+Pu18xt=kF(4^&jB_m*4~A#^n7n{h z5{ucvCb&U+l<*>q0ovBMR-?75kmSW5jCW()9`~5U@$l5+Qy|`Iy!t-K# z4968^2lT5dE&$*M-x^@8%jl99@(>vz+GBok3ljx_cTs0> z0_ROdiO?3t9vOS6>pU#+xuG6R;xWwh(kA#OwiC)rY#;0>9EZBB*Ca2tljpOO@tXiQ z;_G-5f7y9B=Fgj-gmq691vIUu>Am$PvNcA#8vcCdTWCk0p|m=&PeFg7x*J zUi^V~!;to|4`1JSlm6oM@^?2#JOg1wipF&`@GJwwyvBiy8ZS(794~~m_hf9vaW8m| z0*iLWL~%V0+P`?8k*PgMTR5K}PVlS&=@a@cML|c!m&tqx#fy}g+AB}BG#kQjB03C?*lbQ1?Ojr}Z!n7uevK&%jPg0b5?pB>`MLR{B_ zG83McAlJy81|R})0U!`Op--4}1m=HX>_}`C_*;wv^oud&Ufn|4MEM^FF>LH7JTpk{ z;g5KI1;UkiZe{0ncs`KOI_ewJrdVDAjbls!=?rm!u?nOEq-&hN$9Yd&Unh<~;hI2l zAKFL17{>$Wk1=7r;`J5SKCbH#Cv2arC4jjHOc)2~ny@S;Lg#=#62}4=VnXUy3#VjfIJ4zNt5|M91D!w6CDN>W1lcK|9+DM ztObR24^4bQ(~ z6{H_%@5GwP3gLNef`52k8{!?k0H1#__=NUw?gq#56Rc|!k~oz_rEqfBkU1}e6XKDnu*O^*L&vgc zCldUl9sn3{9TV~$rmlR2`is%w5EDJc*g&233i3ZG@%<0lMz|KW2IkZmIAKl-`ha<{ zF47m6??Ky(^c}{4;j5>lqVH`c#vW`ggY~&4petZ(Q$PnXFfnqMv;lo0@(^Icg!BZ@ zagk$jd>*nu(`c^=glR5w8M;N>gDyZ>gL5l+YuDP!tG|P!%!d2$3X*DO4dUDk=zy2!;ru zBOu*?fD}cV^xg>|QW82zz>wtL`OH3hC4?sG_vicPfhW&%`|Qrn%+AivwpkGuX=Ao-BvM0mnx7ja{# z{}My*^u$f}8SQr?JK;sLjWB<#TCt9a_Lq~5vzN*wmgmH!{l)pS{F4nqdQgr_$vqa# zbM3n=F8#KRVNt!3Y4DWk(Eic>c&1O58_EuJJHnCuNra)jqW$-@Hcq?gU5;hTu`OHQ z7W0gVE91*2(juMXSSH4*xSpY`wRhyF*+8~FBk0V3BaRl2VK{uZjT-^BLwG?jP-e3H z9KYuq4*1u9I`7*xasID3`WMkKLVE%oiar?182kl4xV$NhztMNBw~+6M%j26Q2k4W+ zR>T@PJZTRm*Jav=$#y>0`NH1EdR5wwN$>dGoZ6wPtaD&j^7O z;iTWN){OSLk{$2`ue+zcs%%R`chP=TuA`87tjDMQvAm}PJV6@TA4~T2B%T9D`wV_z z%`@$hWppsMjrJDnS!ho#&v&BlNc(bWJ;6qvi-xU?_KNo9(j3V%R3{1(uZyv54ts_6 z=CYncKOeRN+BN7J+V{(LtX!WDxZoRXRqzXQthg|b4BTmtG50?ZhW-xh0@`OxYs=nt z;velhCOULH215Ieso%AZ`3IOXE^H;hNB;q9yU-rc{$|QMj@I?GVLi`w4vq0+-45+> z=5}Akg^Zy6rv21BM+uxDQ?#d=buPwpXiqh@_w}ii^2i=H@j6JX(L`RfhucbfxT$aT z3)@*}%P_7%d$_5*2J;vL?ct_%dxNOYyEA4%R!3Ll` z$(3MS7GE_|H0nbO`!3m{-UC7_)NSZ*+JDbF3uzx$F+tCir5k%! zrGJ2_j$RA$`v+j3EMosZwE^tMh~$p;WecAy@D)St1^W@8zCQ2&C%Ic6I9|7uXE3|f5p?*BwP00_-3%p;Q)&nbtM$ta-QOy)u^H2NR-PlhHt?wd# z0afU?K_MGnIX1B~`&*%YEc-CJvIo9?6ou9rDl`T|zHf^2o^$F0u%8yPci5i*)jNgk z8ii~Zh1OoMuT+{xR7mzH{I>E3`La1cK9Ek$?#F%sw!KaHk)Ij(5!gWc@v(m$zGJY@ zpXxi^xeASM&>s0Q3axu!Uj-!F^8 z3hf_ZA4p_#C^VL)Y&EOwBLMym4t(5@_Uun2UqWTrCl2jPRZt@Q^leLwhV6NT1d zli#7$TZrW0jB=Cswl!2Q{7sQxDjK^WzYe7dcOChvIIGawVui*S z*pI^Tr0xp!oynhqqEO$9;QX!}CVvk{zwSt~7SBE$sEtsl|I7Z4etuOU-wv0_$Aa+T zuzTP$3hkRws6WiUr>`AYtAj#jA8L-f5y&#@HtnL=~*6#hBMN^$b*VNjwI(iNS7q&Uw- zr^h2NuZSx@^g6vq=~AUil`WxrogSr1mnlv^OP4OGfA7YDMhCjxtnmC#8JSs`x2BUv zmOD8EbIYWq!!Zl|ui&X(yT*^})lyrX@;U9Jo@w~|siuw3HvZ$>i$3+vU$_{)?U;I8 z9eMn4(dz0*irV*t+Jqh_=WlDNZr`ypK;5-l-LrS!{sRXOjXZqh=!*DX2CEywe^moE zZc;aIso+t}V{5=~@$WtL^YRq|KdxN0I^d@@>e`+L!}F!rsp|TKB0tanUTw0#Z=t$q zvAU#8cr}lbOP2-wkn)+X;k3Hsmhl~R=B$9(9p`-a(o=rqtZ-f_L+Ovc2}m5#O`Vj) zh<{t5?BpqRlc#zXANz&+<+!iZ@n6>usNeX-$g*yJ6I69#{8Mi4s~?OQtdf6(03v`~ zB#?)MfHD5MG6Pg{k)RG4+Ce2R39+sT?}fJv=+xZRqpuqAc0ZMTC8)1hidQHLhY4z2 ze9zb4P{}QV`j%g?8qzSdSZJ7Pu1ek$3j4K#cbMwTduJ&k1ZW7eG zYGbvIe=A0`b(^|vU#E?QO`A0HRqHiXUuph)wHGMfi!W8E_A zUZbX}l8Xg)qfoAV1<$7{mV2hsGp5SLo~`m+)#7eCy?aq|sX))CisC9>q9m1m37uZ& zVj#~7dcDrgXrQZ*&ZThSBD%-+uRzVyeJ|&B%*1iuBA@g5FSM)ZyI_5{<1PCDXj2`- z9pBLpf{o=ECf{W{jwkF&+2?{CjvHgixO^f$+IF<_j%o3Z8+|l}O*SgV zJFxcxYsv7$UU;h0!loy>urGq@H_b_mBKvo=c+$8A><*0QQ9Y+HN=tJV7$?ITMyl(a zKWu8OVa|V%J|UZ`7~!Ggv6TGZ@f)_Iv`_PY$36^;a|{KOm!@4Ivui+~-9aRu~IV4ITeCECX_JlXdU<0~}AOg0?mAILTk z-}$fo54E9WOL}W*oySly$3X20!-xHe3v)B1v@kPeqj8Q&KjUS?5k<+ z=qD~Ktc@mp&tpEohjc#AD`Bn;eGQE5Q9I1AFvbR&sIB4O$b;G(8s`&hHetidz8qu@ z{Rced{1Ew(XPD?C37eGoPyGcsuZQt^?9aeHGivkL28Zm(v32xQFy703eeTzbv0rKr z86W)NF=ZP6LES)q96X}7SKv$bJN84N--*35xG+~C_kLoJ6=WVXLN~Oh`7h`MKJBzd!qe6d*>;vjUe8YQ&!3W@j{-nGx zW`lYNI#JiK{uyJv%x_wsi9QnQ7%uF&L*KZdi)eWFJ%gSZKe`sPe;#B<^4I~!(V#yu?gW`cxuY%t53-H9kI3Ii4p0|yVNW#q_2h9h{AM1I zEMUDiF0#wH4+_4b{|^3;t;_O2wl0s|As+lN@Vo-aGxV>VE8ubRH;lXn5b@9lg?wP$ zA1>?%g3Mto9Q6xN^c8WD{mpw=@J@C((?k6qPEYnff_d#Tc)`3TIw6l3e+0d_s6Rmc z-3{E&rTzf*v)i(M!ni49j`{^0kNO6T2lYQR`4u`y)&s~0E{tKKoSb&Qn1e(a;=AKqhKz$JbT{^XVh@?TFvm{)J05pJzZ)`ueXy7l zroJRUF+U5~{GI9u_L4*`uz6L-~AhQ*ei6uN4FeLP)g7bdF2~VAe@AsVj2^`I01an;_Mzi$A|h<@KuX* zUEt#vXTjjC8l2CA^K)=k56;xVSur>d2WRBqEFSnO#@ReLO9p4f;5-=kt;P8>IC}#}joa2LYcyK-t&ajbZ+~7Q&oZHtFoK=IfeQ*{K&I-bLL&vAS#lDu| z^A>({aV8MX*AeIC)aEmNa25{y;o|%toc9A?yzu=j&mW?3L_VhoK7!$+7-t9JJe?fE z5ohSYr!LOf!MQm&=LTm2UE02w&+ECg{|7#E2WRQvtRI~JbNCDR`7K4To}+Z*`8=O% z$JdK9i|D)`oKb|ciB5rEbgmH2`nj-WD*FY-SwT2^==jvW%58dHqO)|4;~XM714x{o z)PVgUA169+h7!&T;`4v#JR+QvltaA9$;hCxX+ZgxSAM1P=luiN-{>$Ccu9e{g=&wd32x8A6}D!si>o-}8l^ zzU4EDwi8eJe53wlNj^-(@ApVnYIA=bV@)(aq->{q3uKM_{*oSG|I1YO*yr{Zdcv|Yr^x#2UJ>=sJ%EAd9hKCAP{&o<8b z+5cgE@;ME8yg@z@Utqu7IBy7kuHi=rXF&#Avt+U zl7@qxemY(hBay=B!QqH&oC0hT^&U|t+{JZbhsSh1An5hJm$fkYDXNz~X|_{XRIf>t z-pANjUA!#?*9Om&o~KNPBzN#YnXJOmCI4 zUIwO+189FkQlf5B;k=X-mHx?VamsCD;XckVI~$X9x?$7897D^fefJPudfkU9o(>44 zEKYgRkklb%u|3Q)CD%Zt4l@>0*HgZ9(cct8G|-Slc;%{y%Hat1RPQ9x$wrIqVV-Jp zUwxw9$2br;3IKTXRErsXbyN1KOsW8Y&^}3SJ=8Kyx@|0NBk?M6Y#s8nk6Pyl-0V97vEPI*`-`A%C) zEVsp`7tal?r_Ed>5df6M>hH$=`ozR!E3pcA6P4nzIbClIQn~y#tjD!@@!}=xe>WQH z0w~4dz~|OqFhbU*?o-!u;So$O-VN%3WW!YkNS?ZF*AK?&pEx3=su{+lL>Ha$HsQZP zZJzmk)jJFTvNol`X0Rf~`?X`Y={k1%i`!QXhE&y4MNz)SLAQepBq&MKPf>c$l;*l* zqfdF1kY~#BVWwXRBJ)Kg3^?SOvTmAhuDXrV_dpQ~U8W~p;nSQE z@SKNA%DOD^TjNs*^Hc|t*b*$l9}Jw-nX+1})*;{W$cX;Z$; z-}%1t=|X;qiN@CWPO;k>za_{>Kk009V&GzRC#6p^HWnh*pv78__;TVE@(ep2|0jWh zM8h4*4At3h3Z-SXBtzE_tEi}ar=3T=^Hj@StV*@oGvya^)i80LE(`!ks2E_EdS-f( z3#U&q?&I|Ph<{W7kRZ>L11&@aut~p~ayc3K>lZ8s0-h-==a3MfQPCNpYoOq$)iGRt zj=p)StuDHkK~bh`z_s3!YT#9(4+vCc1QwH)U=~uyDNf%U2%<2UnQrMXBf0JJR8LPM z781{zSp@>a3zG+a&c7AXrwk{KP!+jE1e8&u-DaXxZTg1_W9_v4z(2|O9m}|<8aAC2 zH8qOH1H^Mr^<{rlM?K_zvHHf9jfY8gdXxG@`f1;>uG_Gi)3>Me z$bUZ65|jbslME!!qZZ#YWyx-8Ds?)l0Q6(AdWs4-5&0wiG~)#dOi9^W z#6R7iuR)Z(pr6j5%Oxr|sKuri2SNr>0lG|^PxzmtFT{VN!9pzYRLlAsr@)YzVwghO zlDO?VWs2U{xDFVnw567ou4Hef`8UEpaSBv0gwxQPnYVtR!57);Agp>{yT=D<_uGEKkZRNgwHufd~bisyRu z_VkS;vN~#PS*`n$GHbAeF?%mrKW7&qupxz{l*|#ZO_zA?#vLk*CdT50e%e8pEZVw`hU%kxDhSDdeuBl;E&2lb6zP+QjQpIP6_!iWj%?M(TCFOjOV!m zT=$@vlFF1lp*@t8`5z>}Wl|;@sRiJI_chF^2|SxqtKs*d>WTD%{&HN@iwAb={smD& zBHwZ*&B&nwELM9=(-ZIc(xU_E2$zfmk>DoH*dc7 zdDl&IxkC(DufAtwEr#^NxcnL*A}Tv0Pk(MtCljbXNdjt*?Q(a^2B9f;3^}><@JwmQ z`0EVm*Eu}jP-Ib2|Ly}=AucZfA;(3#4Bud8L2}65&wG35IvVd&H@`jY<%}^squ#8YT zh>PT;3T9FBoJ7`&9VhyzbqL=k9;Wd6l&zPi#t#ZHZd7N)b`u1MExXPl78CES?;qFVY_mA zN}uH!m<|{p9|C zmj{?r#tj*;&An)I8BTIRVKOd1^Zmv;aCtkzv%NopoY~SKj{rceq?+3mkemx(RrE zwg zBH)KNuC$6h3W2*Hwt-)frz?aJeFdqz`{d-}L8|8m_=OUIT>}S1B`%)Ml z)=k*TxFC4;FqRd9!{-x_PH@}@&iinQe)#m~@(@US|Q_F!8<^~cN+=x zL+-1@K4Pq6fF70l6!H(5U|;WqpJUlOfRto|WIG*{36~S(i!S&q6!In3QlO2vLVIU% z!EZCi6#y6pe<uaL)maOSVr*AG1bylFqxZt^XF zwLHh*Glk&UbO~ZS09V8XJZ@9{_Qhw}z( ztqx#K8P>m{T?M{pv~`f&27zvQ-v_>kLnv$Ik2SalXb%?PVSl&dK4n4sgUX8X)npWF zN73GIg^ft%kG6_!7~(?)`I5yY;tH~Dkog6Fw3{B17Q~c3_OWgeYnbI)Pw7J)Yt`&} zU!>(67_J7E1MF~FUqH5q4~J<_B-*K+;42d2jUNCa-nGAwOC9x%v1>uKTv?8O@=#6EQ9yA2j#;}$Z`vW|S|lJv_b^2C~0tY-sHp$m`0hdW)| zUTgJ4#^-#%7nBV@@s9O?bQ8X~#ro?z>=yv*+_s_(*s+l5W1r-dC+h*=OT;stHkg1b zVCT}=4S=0GUF^YT`M1$ezA6YddO}g+DV#hH zx*Gq89zewU;=`j_SP#-3-eZY9dH*CLf(MTI3mGSSjeN(T?9t!A-bu&=;P5$1Bmc-X+KY)HQQ6jHg&uwlg&rrWcOjeC%)mV4eo!DU44jr#0q%Ok8}|%!B09Ph1$vs z>%Qf*SlbI242$;e0?u(d6M*%zW~T}Hr}8KIVb3!-O;>RHORzI&&n9#Q_q(VLZVN9& z>wW2L6V4ZHpO6#afH3%-fL(=r;1eb%^SX#jb%<#v__(l69&4w;d+0XDy&CxKcyC6V zqUkF5t`Yuy9LhkGy^K@j^Mc^PR^asXl@j+I8tC{_N8Cd95|*W7@Pn3BMC=+?UjBX~>_5LuEy}<%m360%b%n!F$+Y zxUTH}L9@jPPc0o_v0W~0b3Ey0`iUpdEr(?vfb=fl;TAaO@;(d5Ak&ZdR0cTjfa5sm zA;y8yVck8}xnsTX&6Ar&eMP?-cxr3O;dcXYP$sfJ&Uk9HJB}{_Pv(J!^R@^&(}nDL zkw4Wz<^gy?b~f?h5bfK5tfQ}I4<1Lhai0bG!4d4?R?EWqGCEAbTL4RS3$>r2EXe&K>I1ICf+wWLixMOoWv=5o}^ z4s`&wz}Y1U3`g4E%v%li6*_~1$9rVG(aPHfU;EADAw)m$ptZJIzk*~{Ywuy#5J7Br zLhjHHLH`ls8+!&-q`gM+90F0cvd@COL*NzQN+0|v7j6qtzX)^qqry6O#z~fkq)~fv z9iVSEImSD|#oqP3?>@(8I-$<7UgdHCe}#Qw^SkCK0|XcOIm#Qa*~fSd?b8>&CaC05 zuZV8eFNA9 zzqS6Tq*e06iDuvo+O->P0m|d@_63~3WB&s5gU>f{9uNH2ukOdK%}3 zzy~1h=O#Xo4up>u$QM0rH^N!woask7z#g=n6R4FJaEH9|S!5`;*%4ewI$nsC$GJ|EA%LnoU9Ypqo-1mj?2%$5yIzTXv(B3xe zrGYOqFf*6ORq4rPMKVHu@vvX$IL@+|O#aH?vy6P?!H1H3o}_WPqtu7k(U1IQVhkAg zLpPuvQ<;KC$G#DJeFT4rcFK!oSgU6^p9ehUaX+oD<(Gfx6qM%`@(aWCbNLglC|3%= zdAJz&x5>YjH^$94Kk%E{46XynmvA^ar8oN@;d7u^EP$eZbBU6jjyM|P86GRTUJciTsLSbwI<7d4_l3c-Kw31Uih` zGUzqj=trS^*nf~lJIeyeA!OiqVt0Wz(+utk9w03)_)|gslJt5>-kSe_hUNEwDsvFQP+Lu5TbeJ1`2pU5P`viw4E! zP<|+X#)s-Jouk3~pb0o=&H)V6M@=5C?Gye#5nsxV)Pp#`0`hf~eAVKDjn48@H>VZ}Cm2`&eH`~hpOO{#K7Vw41akTnKRPuig#2*mO7kFU5 zr7q#KExG??mp!Sw?K+HjKyh&XIxbo6ECU)&C#HpPJ?DN5>M`+8+>{o50GeNwb&hRn z;G&fo&JtoBtl7XUM?^pTR^t*j6J$@w|AjSQST~YPK~G|gN5m2BDd^>Y4zxPbE$naT z5}}9SlO4QfTpjfm@JAg$7<3rXk2VRuqfsBZ47m;nIOuah&xwA#q#aMeyFG&{S^p$I zU4#~=&!PI7gFX;lv~SI+4FWFEhu07O#NXLwBC2dMOTJd2Z%`)ig@Fs-09)7rc#3kz zU$pb`5}_2Py#%Dv^SUS1dJEvzjxD|)$W1iMVkZKHCkm|5N#1`J5is>FBi!Q>0I(#jZ4-` zE+6_0n-y}om3SrTm+cv3_2x-BlbYf|e?V`_vsYmoVoZnoW{d~f9Yp^%(tn7Ld_a?J ze23(p`!u9ij?=sYzM&mq8xidwUGS&6dmxP!5|022@&tW>o8Kvnf76q3q%uO=CGlpP z9&@^gha2Bu6B6Iy{}g#jJt&_z7Z*B!#|9ni0Q3jR4%$gvB0k0wgg%q!Cvcux86Z#e z<7GbF&S>)T`;uXt59ojoW>D;;H%8OD~jyhUY4?IicnP>zI)?I!z1>MQU-&`31X zJ9PF&>WAUn*In^twYe-hn-y)@$c8{m(BYs1;cJ8IG0D2*qbPsT2IAakQU1aPX89r9 zuN_%$??V&c*#0A%623+_KFXHbOy(QKPyH^MWgj-eK5cA?I6ycF`A40^b(qG{fUnIq z2XD3dCG?C|_7u*)Nd{04(f7exB={kMFXl6JE~%hPoNWwW61T}WGjt8te`=p`rEQ!n z>_D>1B>jS?!UlF4uR&_)HM%ga&u17AJ+Q;U`+Xy*{{#O)kTXrk@c0w`K2GOQLY}~< z-2=*LK3|9fR2JO6LBCME6O(%}KGL>lTqF-PKA?PLy{A3Y4 z{JP4xBW@0z%LyKcwuZ`=!r((5JYv{17SHqR6pr%exLUnB{at?^OW<*S&<$FFk9Ko< zgb{wQztNwP7bJ++El}Q(mx$=VP!AaIF9I&bl3K8^ME*ah!R{?Gy6U+MUcl;0Fl#i8<{ZwAKT@*hSq$pIYd# z^euvZ_%L;*pUR)=6~=8ijYA)R%Z$>)U;g%}Qr5kLpSR*nT3n#jW-Fs^Q<(6jUrg(7 z58_bQ3m*? z@qldrN(&!nu(73Hh1|-sHsshEcnaKZk$)NZow>SyIiH<Qi9v!#paXSI(_`@6!|k6uFB?423Az|OKz{NpU)HODt^bKxm8X6(dIx_p*P{P zjn|nH1jdJP1voA+{N-2tVHyNuG#n_5c!u#x*w}0nLNo5MB@`B=s} zQ(WK~u}sj(A>t3CXu}f`fQ!&;I13Bs3~+yqWD0(iV6R{f3Bb55B77hAV!B8dgV*Rs zU`!ol1pj}yoXVK!$2f!>i{Shy9mk=#@H@A6XcexH7)zDqFZ2{-K-7VouxSBX>-%## zbBOi_bl@MJpdEQ=?aLozhj2d$^EjmUVb?$(VeJve<1(|ClOx!I-vL|rA3Od1ATGNt zG?smN$M+(CP3K5F?0E5;h=Y1b5n#h@HPbo(!i()J2mLQ(fNTK56@409qWlGoj=0IZ zSg%U`PkA6d&N~rykEZ`YKh*{DGtD3beh*JS^H}5UxgSR{Y}g?1i;hc{5$Iz%q^HP( z_(t!zzvGUoZmp@^{9M^U=y(+KD;0Z_=DJ`GI4bPb+)2E2vJ9UWMJp zejh=tW+x#N<^kt+k3XP+nWPsVO;__Kt0oL)OFm@dAPvaUvS!Dep`k@DJ(fI<8bHOJp# zw)P&dh0Ke(fZvc@K7WmD5Q!hkUFbU@1G2oplPzRpW4`bx`SQhif_UO=aL4-y{l<;) za*U(M@iYmCpU6YfugSupPn)ujV8Dg1V8E15NBEBK$cJ&EC(Z`pHU&HYOpOQIXq^Lm z1K&y~eL(VrGKV~14FuNNq1@50;(898D6LKQfhY4*@MJ6f245iuu-j};yyw43S8!Pr zAoCKwBTryoOL$uVpKjR6GVCt+j`<-z1ad<RUQqoan%G9*r&ybTu{Cj19q0D~>N@!8z+Xq$Jbz2+upWc;1kF3K zjc9`_;X6J>TwA_?4IXIuFnoFkZ1jJb$HZqmnP%jrrO96}ZS*5O#gXzV_zIZLwB(O3 z;mUA+A}_|r{W;|qRjJk#)SjLY!y;RhHpT=G+v z8{p#I1|Mm)_!2HZ5vIkHPsg-2cn+`}<2k|4kDmh$GEYgj{4HV0d>o&SarwOf_z%ke z$@hFR@c#oI-t7_KNyz_89B^rGj*fRN)IK;O8gE`60g+*TG3MYnb3ja(ImXScqa`*X zF2WM+>e1g47#d?XNBfzh zLFPemZOjqjedBbc6!)Nx!Qo~l8z`h_JZ6dM}+( zq|~dr!p%eDA_ka|#I;aRa7bWZvpLSotwlu3i1wB+vun|iFhB^hbVgS0ttg9{etm;u zV$E@`#ajBeYTl(?P;b9B%{vGB2Nf1yEs>TO*FvF@f&B)zh>SNE;ZP0L>qHSj=nx!b zHoA5-$Akq(2a9BZaf2hxMT~u7TUa8)ltS&}BjY04MMRqmd2|epA+AV_+zN}|fa9ip z3+!td*d~I0yV>7}mbTGh=0Q5ejb54II#nqYBx$r0>*^QWAEjV?%4F&o=xuubd6Q3# zK>yYz)5DYN9vay#B09`65XIs|@l^+ki?$Cm1qMgQngY!+5q%u#Rvf7^21J7n*P;?v zFZ?9jTgO=9`?s(RDkNXD;(dyX_bIJ-3)zZyt744(sxCXU|kBcKkEFPL{ zmW5>KzmaP5h$^MCvQ0Ymfuslhqk}^t&0%g1vhE5E9ueBl)m?+gzZ9y&ewL^Z3)Nvm z%eJk13lY+pSOoiP0?l)R1Mzy|C^)adqjO2+~ZEjRs7*#)`+R~`{8`V~13FPC3 zRwKU=Rr)`|4&6V-4o#5D3jOjQVTb-7V~3_0zW7hE!{r}iM+4Dz2-Q*hzo9w|{}?xX zM8l)0j{gWdjQI-&gs^dSx4%dH-9rXk|G}ZARVTaq_$Bu%!4njn9+;z~Ok~WUL z@36<-cW_6q=r!};5KC}O*u&c-#?Z(P!2<^WeLVaG@qYnnsP%`M0r6BQ919O>9;lbuy3JE^ldI4mGKa&RHL z#YC1)J_A!z-on6~Dw%J`-fmzT{36Z4F$I{IYJL;5fo5XDtdbo^TPL@^&0_U1ncDfc zL?^eMUrUpzaN8*A`U`{4a978({=GV$!Zs1oqkBr@zFl~pR&Dv|DYwVywz!2xwhxZ6 zSpHH+^q&0|$Fd9Z7ZS zP(G+o>-?uErDJ&WX;DptMQznzkZN>^a(8{bM?c*qzY_Jf_tR}PMYA5X0R^q8(cMca z)csE})!-~Xn%$`BzXy?8?>|MV(V0}O$DrxI2a#I;KSipmGpWMb&};hdL8SWpr$}{k zCRH0z6}{pIQQF`?MJXK!ZrAiKEjxP&3C=focn`JY^*E*aI5yZ{G*$}dCsxx;nhf{9 zzh&n2IMwxkKy8uy)M`zRrkox`?c?a-{{gk``Ki?=QnXg*LDW7@1N%RqwrGB8HEUEe zCmuxY%3pSs~k|Qto9uc|DHp{Xd|#Sbl1?{-e-R4<`3fre?V=?eAGJHQu_7E{ml#0f6SOFm5*2_Tk65o{>O}|()p-$vZWqO?SIUeDwB^| zCtK>l)c(heDbIY=I@wYWruIK(OqIVee$$1JI5c&(3Iv{+ca$Po-N2iZW)KaHdtY@2V<(`1$NjNbhgpB6Nm_pmEZ zohI`fR*pJMJ!fXFVVB3eCb3E@b=jiHZiI0ge#}qW>NQ;UG zwKGSD$Mw}cTQJ5Bla6lM8Z^y-Z6jv4X!ae)F4kZMYXQnSufW+66jKZR+ou+N{e{o7$38Z9S$y zTGxh`<7u-e`tNPi2_*{z!s*CmYBHw z>pY#m8a_)G zz%kio+8a-;6?%miDgUT-S@m;m%}|6*Tb1q_5fc|59BFFVv1O1ca9~7SXkT;eza@bV z+coUVE_AM4BVr?H)wG+#sDr_OP+V{4B^X-G(b*a)`w|S7z;2JV0^>1^gvVNX!BmRE zqjgu@)I5O7$FXhyMJkT~M{zWRC7F;luPHQe6K;+}}K>tM|X|08Q zFp!DVMp4Azr!;!+RV^Q9RN-Hw(&a8HwUIHcTAH+Bz#_^7H)wB!=@l}Y(aaZ>}#)NU$U-! z@s(y#7Y;1Yptko6{?FTo+LniZ*ghm}eb4 z0jqtd*;o4-IHSjy`6{S3J>(YJhgt($z$RKvpapf>enI_3n;mT*geuxOn6~&vnVN@& znqy<_#;mOuE_SwxrczrQEfvpea+Jlsv$b&Zu&@{c(-8MyBVAXjl(ELt3?c$H1UMREk;d`Htp%Drm8C9J(qHA`7sQ!{0 zk5pZ?an;4n)wO`?R!9ul7PRG9RC!Hl$olrPO$ph>zBIGX6l`i05otEXS%hjYpdQ>+ z;p*p;&TgIWDNoJq!f6u*+rD2ZPlY20f}lu9_-$tf*vw`Jp|tImbu>x~$z2Ir-(Rcy zvMg*>(%$zk!o-Wb?GkUdVLWUQHJS^OUTw}_%s!NrhD_X$pw85b^?K`!3DvV>cbOb^A7<(uP{@B~-<2Et$JN z>8BX8iN_@EMO=VX$z1|GmU)-qRmTRA<|4#I!6VEKJiaFphaFuyM-6zFy;tX6_MVeU zd`cU^NU#mr0&*#xhF(Gk9xfTRIqE&QP3tG$urhsNt67`VHsFWyeT36^R zDNqk(9I|@8Zv!d^U%c+!f(q@X&)0&!BW=XLV3x_gpMzOu7iULm^?#FH=G3A|W9HEm zw6m2jVLX&wris?$8fThl{Vf)n6fJEQ@xh`cOf;4!)1#SaQo^LwWS?2E*=Ww4eyx`1 z-&drF-EE}tRJ&c3*p;)*^q1ZKf{nC#HY3fAj5I7Ia2gzQxyx9pm(N(T%d1o4e_w0K z1sOetvGf?5#vj#IvXl4s4UY*m@9iXVQX@W6UG&y8(qA@~yfuOQ3&xULMBv|QE4f(+ z-eZ|c4B>CEl%z^3v68el_9IgsizNRDtSAS zVVl8wv^t~>GXE7*$sKjzu`MMJ3FYrIl$_WiMYkYBsZ{<^G-&3BvXkT(Zvm~C)&u(+ z?If95fuihKPyZ%6$=hkfM%p-!CRf7L(*Kq5DRo zMJ9QqDmM&ScY;kwK zw1@bS|F2rKNRgr*MW6EUc&e0#hes*=@hByd6n_{1*7ZtpH)Rm1;?M zyRh|F)t9g|sC*H^xb!3_E(W8kTcN^5NF1C$s)|l8KR%_XE~;MVqBFP}-3)s7I`px) z-lcL0FGKTA!6l!4=Y4NusV}E3X;J05(w%<}sZ%%RgFSw(RmTNhJAFMgwqBX(OGiB4 z@~faQ|6gXr)%V=n<&62pvJW@xi~s#*t7_wCe)PlFzwSSqF)L-`fj=_4_8IW;gxSkC z9Xyxi)4E&uz>yQ@tk`_${H@}OPDj|fFix&ULp?^JvR4Tg!r+}}OB%f2|FRS?___0* zYjvIrIUV!CIKRNsp|N$ZS2Y3w*XQf~vX_vU;Th)NrGA|Gj17f*V^GbGg7v84uID_9 zE56Fj@#ESKaDTh)bK^RXYx~^znd914eet<*ZC@=YAkey7i{5i`mD#~zq2^4FIq~D) zI-MQ){KqL-N8)#W7BPEua+m1b(gRa17k!iFnipJI+iTyD@DAB)3-wD6zw9w}SO47b zstf8KFb^=^xjrOeYO3Y@iI}l3Hv6XKp2XwBM_0MIE$?*O+C#z*RGc-jtFdOEVLcjl z$XJs4rT?>wx|}@vOuz5eruW=d<4EtNDcz>Vy&8Knwr^Uk6{RQpmdTla>~;P3-ws>5 zpmB}(sML(SalMA7Wp!&F-eKwH$$yToe|~tsdFx)QzOl;1rAJ>{QD$?rRe5#Q8-12l ztM*-d+Iyulr@WsO_4Cwv3$`uze#YFc2d^aTU9;|OtFn96n}gd0Z*>2-(>LF>$s2uU zNWu``j8ebH?fg2^Z|*stVwGN=TmO*vHg)M|`jAPfby?#(*Y{SH zc~<38GvB<-7l*Y;n3MTcg*!cS$N#a~JR;UTV&|GeKf3FFQ!IGK_; z=BJ8_l1H>|b@BP{-$2itkSeTp*{Ld?%ICQ=G4%D+pqbA2VK5mRYrAR*CXPs>^1F=bnoS>pF3`oAvs>$a#@{I@E6;a;e>_c88+ECPY88 zeC_;~hxY1{(KEGcQrAx}CUjf;&XU&Mwr+oVWnG`D2SU2FAH870#KuogXm%_uVL>0? zSzj&-zuo8MHFahmO4{3~rf0{-FAab5&G@l3pF6Z~-omjdM^ch5&6;>*)Rz~AkIk6q z6~5=q)(2A;c+_taI{H|psO1f|^_}+KPj|*_${zG%N_PB}!xMiDbMy8Z)2zz4chWc1 zbW7MXvD}317l%E6a^k>F7gm_xINtc>KIXw)4*qhm*P0(rZtfJ7IwP}RMr2;ElAP>ERarDQBj=}Pb2295ffX!=lLH#^%?eU z*Bx1rOOCx`Rle+b=%R18Mmt;Yzf)nK*Rf$ILax<#XX(e08#l%+N&dds{GOM-y_&G> zX6?iC!{W9F%x_T7XF*2xvLOr2V`AS)9lCtq=iS|-K0NhC>fS%zyk%8BT$V8?ZQ8a% z0j3fwllTA9VEeEwhi-nA`R>|9xzEo`JTj<9uPXDpB^_82v-E~{>rTH$xo?Sl^I{)! zw32DP1E)vS5e&(TCcuzYslBLe{1loN5ij1eR6$M5BDk+U+90aQd9@a{$E@V7XEa%`@36x zvkQ%zpWCHMUToa3gv-&sdGCfCzPK(hZ%xKeM{i#9O6<_3@tVd>-)+6=+^RWuYOKs$ z9d8+UZover^82d2MTVJLyA0p))Py(ocqe|`?zs-Sir@cK?~Q~5$yeuJzEiQ*RI9Qr zx3N|6=wwxryU)s;5%B$*H>}EuOUXI8A;&IO>a_NU-{T{zCtW*ybncdU$9iRrjxE~n zSm=qA6?qo|(^KhlpZJ|KCXW61nAeS%Maw7V&L|%pdTH3#tNShLm$Y(B&e^L!1>d@Q z{G*}q?{=Dhrc0TTK^H3pW%us1<>Ha2kL+As`rPUYZ`P|ibIbrw^ODqzF7M`jx%c%w zPaka5r~R$ke+>IF^K8&>pEOH8>a$|c>dNsST9walgiYGoWZaNRWQ({B6Zh-@&cj_Zpk@xE}FF_=(X!uKPLbv{h+e@6_NzC5+Wx$;=G6nTM`yPgi74sGT^e~_TJ`#uS(fGX|6D#VYhvok4{9x6wBzls zQp>+P{8WR{xog@DTU)8$*mG8;?`OdWR-N<+Xt{b`=!kBC>6O2Ey1}U*mwtAAK(C2e z)hxLcpO3yWd0Dwl!$)RL>bK-lkKEF^jnQ+VH#j0E^o^buA>{Ek&jVLjIGT65#cKJn#>O4arpiQlpGw_M+AeOn(roprs<*=3uCEi2Ujlm6FQz47*eMVa$* z%5VSa-Q$tV`-Jr#`}&X(>1FDc|7`R0J4soec@0}xum1euV{hiIns~5ER!*eHT95PR z4rRUjd)3XC2Te=q5t=)?-|$19U3z2D?QJz%uMcmXz9}#9)4dlj=C(TeZBCIM!>)L& zT3Ta3z2tr?Gkh+Wy}a`4HH7n;db37k`leUt^Eyn{5`=ZBiCh2yFs_r=SytrH?s2B@=F444N7R`oBe6epE|dFZsCj8y_!k$ z{;BcLtzLA!$L>|(owua*=+)t~8!v2}x7_%~?sNL!VPlq^_%m}wC9C4nDSTV`27ioe z@#~Ocm0o?b^a~Y>>}yot^?+qjuR7ly8oB4l-a6NgrnWfR``O51@g4&gGo&htK_3 zsmG25Uk7cDUb5$yD-=yR9&-Ls#Y~z`?GrDi;k#qHSeXG*Qs$}#HJ$L<8V@z(ZHX9DKI_uki!>G@L zZq_dGUdoBgzy&^w5_a6$e=uCX@cjF4Zpm!6Cw*9@*88Y+AREJQF*|ie&mIY9ZqD2D zY0_7%1_tbGT5r~o@gXOBM+L85)BaL?fB;pANC*7Ap1S98xw!) zcw=#sk_$)88P+m=SnHXgnWG2(zM|yw8@ku;-o5+5m6<)h930#9r3(uVtjno3H*d_k zP60*IJcG7x+Oi}1%?f49W=(oJ>C1?PN#7nC;(vJgkm%HIbF=IByn4QRwp+z;x7FWd zOevXr^+e!~=ifiIde?#z#ayQjd+lP`31<%U?zv^z0RLk>qdp#0W9_;g>t5TtH0{Og z-p%`ea(YMkJ#{|Gxc;gs@0Q26uacP03bgLi#Qe>#GM}k6Ei|{?uJzl}zB$}*Sf@jE zS6+E0J@lL7T|K+4S}`VdfUp{oUQ+DI;VtoTF+5UeNdZFr;mg!es8$0&+_${MEU=R?g>n<1R%FJn(Mx=iORmug?6+ zb4Hg%N0&aceEX=;tSa#$0)8p3- z>3I57#@zbmx}6e-mCYLc*-J|<&AVpmzUjx5iBUPJUDjq^%zfEshELk_p8hk^OILW= z>r&K;Ffy@c1rOcXYy6!Wn}=3EZdF?BO1RZ_KZM(DQ!**LvJv*?IPvz59n~lm` zmhS35KdoQ0g+6l=c6v;Iqe9mC*Oupfadyb;^a=yl?al2p+MG9Mj#V)(%pARFe(xU3 z8u|3B(65qf$vMWgIYq`C>)G?%8)+A(zrJd7c0%})11rLp?Vo?}&7R{vi7Br1-`V1o zoo~FEeB)$d_VDiWQeR!PFgGB3Tjs8pYt5gusYcmLn=eLh%j$SzbJMI7UmPlvTkYu8 zWlOEfHQ$|e4z;WhKJ%^I>V&dCsrUY!AMGA8pnbOqDa+3- zpE%OE=KJGWudm2`zE%6>L)YzjdGOP{yRACEzuDI#!n@3wJtZl$*%ANulH6VQgJ73gIoHDTI>uRYKT62Uc=6BSLsQRWT`&1_x0unx!-@wq z8FwM!{0QG0BW5IAdYO7-(<<)zesH}o_t%GiAGhj8FZGAUh4%#4%q||dFte#unKb8C zOtBliZ=M-Bv2FaYSFK7)hR3X|ou7ZYH@3gGS2;u3E1Q2PvhnKBsHk1Trkp$U=EmQu zg>2nabWDk&mW}&HC0rYCRjdc@MQyu5FYawpYJ4`erI~3n($00-x~ycG`Nz_FZt^Ug zTDoasM~_1%{9aDk-(^Lv_pa^P+YW9%wAw4S(bp%u#-AHf_rMRUMvUpT^y6>qkH{Jo zb2R(%o%YMST{x7Jdb`~G1B-IPCv@r+KJ9G7&)+)Q{`1Bo{F?5_qE_VF1t$+wOZePv z;CEL>3{U%G)*qK9+*wmCW#^G>-@Vn__|<)@a&=u?wWkIxu`1&ymbhFiE;TUuK%;0BxCFht^$F?dI`+4ZFG5soKERUQ$Z&;(W3~J(i7mdmsnzpX}>a;}*5?j@8 zvu(qct$qCCzwB4@`yKI(8y8n;(_gIyNOV@S#Gi5{Gj_g%$W)0t#HtW*R`AgRIo!&ERWW!6# zGJEg2d?b7y#Z4YtF(mv~k4op#M|{vTq{O-j9dloNXU6ro?2)ZD&&lZ>8ecd&zQM#w z{s+&7J(V-+L}=2|laq(}R9Fz}Gvvn}4Kgpy$*Xc;*_>5JpUJDeYE8SPs~WAzZTfXa z(!wgC(bcn?ow6!>8=g$Q?UVQE#D&I7mU$ULHCE5bd^NrDqJERVdd0B3-h?IFzYQ38 z;qu&_M>3kex^AymJ7UNDw^q)X9p7t5?Q^-)Kk!?<%yJ}Qa`^3@>%N_n^U|d5gWf+g z{`2bxN90V~{8Fa*&(O>Bjy3H(Wm!Pm^aGiPENLzBlF}z{A3Aket-WQMCHJu^uZ3rM zKDVmFjA&yezpWd7S-)dypA%z4ul}}tb-AXMW9JpkcyZ33=Z=xVGUY4k-yL3=Vwq^1 z*=BwfOPh>eivKz6jTaKE2XBu5+GXNfn^N0$Y+Kc5Z%~Q0?K8f185cOLYV+sDb714B z^roFYU-0?XK~atULj$4@Hv4!$&e5o)GbbM$GGkI!(q)K}N-dA9L_%x-HA zHmh@ zs@d~$%VocKXvKHuPP9I9`G>X1d+xZmzVOlaGv3cDy3*6C9Q$n5)N${UIl1<;1nUv& zPbOYzgt%6pKJ+D$ z{?dpvU8)u`Epd`91moPQ(v$wmIQ2NBhCPH~-lpG*(w|113%tmMH(dpOvOdl?=8PL) zE1;F=*1aNbjf)j2RB%!7hrIL9IPz=sN6>?x3dZO$WuO#M!W6UOt@KtR6^jy1cRj_6 zelui5aJn%1u3boN8|jyUKl_;AMZX{d z3LRHwinK(B;~f`HZgl+JBF+*eehV}@(~*wiHxp)zr6bGCv0WqE#ZqF`DIWuWqu>&B zyYi2=EgfLHhU08Pry!#xCL){;Cy0)ZqBQRQfq)*sVf4FbaD1GlwK>`xL+4?JQGgOO zxIY~@$dL<+$Oyrgwo&0Gdi>M$>*Hf0gZ#S(QC1pr!F=bC_Oan+rx0UsWL!{ixKo%% zsF~8yDQRtE+XS_57h#B$*E$3_%MQhs!N}_bI&@mDOKF-oVAU=+c_jD-S!jU9M zcZIr#Sm?~WsJp_8P!addAD!#q3&==ur(5;C#_yuOVdhPUu*&feLn-|+{Q=vi(mjY? zPbtc2dZoFovNSX0G%xsMF6+r$)|0ucCv#a(=CYp5Wj&e8dNP;wWG?H;T-KAhEMCp? zWG?H;T-KAhtS56>Pv)|o%w;{9%X%`G^<*yV$z0YW&1Lb3Y-JjFRg^%wRSd}%Q<~Ex zh(!sZi4c?0QRz$XadgM>8%^-gG{OC2m=r$&PK*GHc@|gN$-y~TPkZL{jf?Bws8+4$ z*qXuEb5S$Y5>;zZaQ|9fHEY*Wn)nXtPY%@0ai$P6%`r5sc6If-YNm*=rq#ODYhSy4 ze?N2Ih&DrF%z;BX1ceUi7uqnans2khO$Id@6xBb<92aaF6crgA+h|bJY7D#)y(73* zH4{gP>({he_x2sh2aP4NUqqaVX7FlwH>hsvLpy5B11&NAOkOqXS0jKiVSO5PZrMr$ zN57g@)9@ZRaA3`Wb!u8-!fSaoY}l|?ZSPv%-Zdyj4RV(q7d)s&bZiyMjnRncZcFAw zZbWycmNF`A;;dSlV?$#i`o~3BqD{y;m}Z3HnpTUCj|gkjAgq49Fz=9tHN5Ni1lREL z3Jb2$uy&u28o}N^4H|}onQPY#_NrFcq-ANQ{WAq;iZ3!-lc@=QHsYCrK;pQ$nOBoq z`GW|kh}JP;kU6q@%ZMm*bS$CRte%&*7CZkJ=OjJsN$NDH>zKsh3$dUUXm;SiKfss% z4n^ox7W9F*Ql}Ob#=nDz++tL4DfO419g4~p)~HQHY@8)#aI+@0?hgBR(2tAga}W8# z7YC&Avk}b-lFO%H$TbA)t}uuT2X^mlj)Hj}-ZdbUk6$BXQu7B0rI?C+vD84m?ui zC(`wVU5~_pM~eJJx}LD>kvQ;3k)KG{6Lvik2OcT%UzM)H_K|#ZG!5Smq;Y!d8TamO z{rq}&>>SXlZ99LSa&T|cE+X2Zqm2+CPTY->V%dcAYR9ho|=s={<5_ zTz`aPJ$tE;et6emGe)TxLXh6+5O1YaxOlJ5am4#8cn^z;rmYV;;M_kfDh%(x()%X^ z;;|({-;Ult9uQ$3NbmdU{kh2as0ez;KAKWd=3v^U;exgD&&8QT`_g-DdUuZr>g-4F zO=!P?d$_}Uh{Jmv?M%K04ma%| z96eZ31kFgLlmKr{#N_&34eQsh;aw9uP~>&rk22B&o+w)pdu}StUVW%2%Xc}@QwH+lFmDqRnTLYF8;me|fv&QnHAme`5-LQElbc zu25H+W>l1JmCg&fr+50!f1Up5#?nt+Yy@u@Qv5mxnL^`Z28bvwco>wzG<{i0d0MHc zR8d|~YS7LtAEmMKisG-lsst!ON)P2tC6p#Iqm&qBpz^NrzVfj$O8G+hTA8FID>Ie3 z%0gwSvO@Vu`B~YdY$G3W2b5#VDdi6(UAd-YDR;;lnX6hnmSiqqOMebR=28q)FbL?^`d%1&DQC4?z&RC z3cBZYwR8=1&2_Kof^@xf;ktKpLv$bN#^}D$P1k*|TdwDg7n=pDsq1k}f8f8ZM1p+PHLa z33YkLWw^^|mq{+)xh!}2)n%W{X_sp*d4{5f@`jfUjSOuK-3{S}frgI_6AZHrDTa-P z1BO2gS;qg5z4wfZ>RZ}G+W<%sBxjVI1j$KgkR%|Ivm}u$AUV?t3IY-(BRPYBS_F2$4i&L_9F} ziM|uf5uFkf6LS#D5t|Tu62}mi5_b_V6JOpXzsrAD_3o>?kh>Xo8}5$Zg_Gcuu#?D> zn3MREB$L#VjFRk=;*)ZaDv(-{29suxHj~bfp5G(CCwNcup7Xs=_sZ@K+}kF@BjX@b zB6~&lo-CiNi)@Yj7C9@q0=YGLD0u;S5BUZKE(IrrDup9O6h#Ha2*nX48KnrN0i`cx z24y?tZ>n2V98{`Quc>0GYN)2EuBaKQ<*99`Bd9B>$EguC^fYobHZ+kmRWwsHSG4zO zm1v!4<7pddm*{TO@z810`Osz2_0a9pQ_xG(Thm9<*U-;1++^Tk&|wH*$Y&U4IA>&H zRAGF>n8w(}xX(nzB*)~)l*rVFT4?;ky2c<}Ur z*Mr;#BM(q4oGkh*AuLraORNN}60EkYiL5_ZkJy;mG}r>!%Gu`G@!2KV?b%b<``8g2 zoE(N6;T(+|+nh9sTFU0g{sun)TY>Yyt8%PzujGp4w&c0x9p%5u!=F5Q;{K%m z2|__iAxPo7;w?oL#Yn{wB{C&_rF5lbWfo;S<#OdC6;YJ{mG4h+o~l2Me>$VesA{cR zs(Pd*t`?-$txlw_tDdgD`i$q9`?D5}n;L2wpEVYrvp;uv-lz%ERMq^fxunIZ<)+oD zjjOGtouR#{Bd8Oo)2B@1B9WXVS?drBLSlz zqakBDV+Z3V6Fd_GlOmIIQzg?R(~TFRFT!3-n{k@?nhluKnZGt~w;-{wvZ%Ghu{5+S zvqW2ITIE@tzf^ts<>isJf^~}Z-YdCRiLZ8SWNZ>_wrpi=6KuEbWb8iM?bw6ulkN8% z6dckWjvb#mW;-IBG@Xi_u3sCzu5!NPZ0X$WLgwP+((TIR>gzi8hWAa_o8N9yZb@!O z?&|JE9ydJ9JeoWyfM9Cai_7bS*NV5ScZT<+kAY9E?>%1^-(f!>`QG`P1qKCu zg%X9OMRY|`MVMlj;@>4YCEcasrKM#IWwGTq%e~5XzP|YSt3t7&sq$fEZWUEk)Hl#K zuW!56metcW>NP)VC2A|{*z3O3lh;Q!+-UG?IBK+S{M}^SG}f%v{G&y>rM{KFwYcp; zTShx&du#_m$NP?Js6X`l`2PFm@hs1^&hDC?#e~SLB9}ydA7!@CF`X%|RW$f`-2S5|jIiWbwJE=N3H1&LH zY+8SMcIL&*@7Y(g+jFnyj_1ASQ44PuaTX(&NSBhA8J6>4+_0+OV!zv06jz2C7zled_+O1Fi!+jf+9e(jp>ZtT6;L+yth+&lOJ=YrQA${h|L869mL zyB}Yld^)8)Ej|-D`*E&yzI@?)fkH%H(q5JzC6IkrhF4oCA2c316~m2b!Kz~yubqLN z<^RENDhI>@f8#g(8++)#^zY68c-{K@>wo&@A2!dMfB)cL{p0!%zv+#)H$k^<0ED3b z!EY)D@S6f*EeQ7}z|r~l7v3FQoZI-f2mt0+Lf~!OTfqJQ{vq(uExem|Zs3Cm2uT4J zfm;B>>8(E_x`~VLbpzl&y@x}F`v8xe1$0M{LSBfK5?}iXl@*&XwT|06nwRnH3c1xs z93t)nw4Gz3oGZFwA;$@Mih3SO%Hk^4T>1uvbTvQ5S5IDrdVYRylV964vBoW7d+Nm_ zDfLuU4G7lk>>V7veSH1=KZJ#UjEGE3N=`{lOD`xaDlRE4tE+ElY-(=l?&RWSS#bn$K`i9*+IT`% z6mEB(ykm{0)X9BGC5&Iq);Xr&enhq<=`DeWP zHeLqe??3!C;8Sk8#Dcm%)T~K=<7VYjF|?KVJ3Z@vaI-!&viJR%TGG@%yL~CCYV6<_ zkyhF~Ft>x`ky0~p^p8w0YZ;v1z537FPRC)eiDG&F_QR!sssM!f-ZEyU1p)Pf|Nr=n z>tFvC%0H;U_1M3K@{d9p|F=;7Q79At7Ro;gW%A!b`A4Bl{aYyiD3s~{vQW0ocKAc! zRSBl6ck8#GS1&WxLmdUr(~P`}2D*n*h8m=q%BH-+?hNKB+>6(vvNW61_7Vmupoe}2 zHV-UVyFQ+1H=T8M?0i-$p)|ROqnU=4BYQ()l3zW+@cM9@+a&lE$<~FZ2V^A!Ab?B3 z{00xWVyKf~=ZHl&fKpVm8g{AY?TX@hUa?|!4H~4#KF+=(tb%ljUxP#iP~Ra5uqy#x z=-wd|(n-GWgQaB5zz&jE`5Hn_K5(M#upxV}BY>jsr#kkCdA$)EHF$W1I)oi5q8P71 zA5;LkubTIJ%aky5F2L7KdkxBIxg*_&^g*v*j#aboAI33LwT2g8T`@F><8Z)X8RpNZ z=r2dC3ZK~uNkvA~YnYl?x_^x9Qxm2KX;zc)p;`}8B;iptC&kjvsIXmYyQ7>$>1`87 z$Gadsy=*P1a=x)>odqd&xzY)>FE_$CIXA{c5UgGuEms~G8B_(ioa)L{=ss*i9zB2} zcW|yiRm)N!F5q(kLpV{33%Z`pm=sw}{3bE1OAJF8@5897qRf@j{K~u%)qC&S)4Olp zxyQeD*jL-Cgn>`B1Vq=H!VSjj-22!DD-#~@3=X>AxgR?9-baPk?tz6lK-JjWqVtb( zth4yv2nT@{hMekLgWB+r53fOaC#~2H6asxuwhywRJqO*-l|p>*xdw6RKzbqD`~a00 z5ez*EJ-mTchitc10_@funEy1T?f>6Q>Ayz}n9sju{cpYgf5#f3m$rwSh1Vcok`q%* ztoigiY!%q~8z6M#tR)zO7 z+UqB+^kYA;G;94%nxsf5d@Dk9qqCPpuY$K!ZDR5s`jWYhOg0EF>*rOYIRf53(~he0 zJZwCYjGoH+;T+T&oBgm!oWp|tZRg8U&!7=a;na#y#!r0{NG1vNd3`-MouiG}Gk&?P z`GD5)0D9Amy=F!4xZaU{U!MDX!@+VlHBC6OjITigapD%po! zXk`ZcXa#sdxxs7TGivi|P?#&$(E{=37SJ@I=vf|V>AHkW&+ZH0|Lg>_bh#WKg_yxZj|vvp;awvWD> zC^!CeTG7CpK;Jk3x!=3y<7{OOHyC@kK63A#osMzpuNzp8w?a>Ewg>!Zp%rt#v9|zB zqL&$VW4VmjVs8jxE>C7&ZV-JJBRWkoickDzU}GYrmrtyC(?Cl;dA8+c@-g;S*FF;@``$^>jVgUi z77!*lbzg)AHz9CqViltvgf zTKrV>8k9x73d2S%Z2-)hB!KDNzOcZ?n8GlL0F&=)OaLMicEovl4H7rKf!0A%rvT4% z7x2^B2}_2HWc!)W6EeD=U2ng3z2@O*;R&==A>~3)Ti9_q2z?$frd#J(i;;>n@gLO} ziSN`liZbec8T&y^?(Y%Gjd{ zF5eJ&lzc8Wh?D-T5lsP{^b%k^o&6i~?fjg)3TXu#S#SruBDq9z0x5p5pda*M>Ka6W z6*u_3-54em*s)kao7P~yR9Q6eYusSXaXq3$Xq-||ll*IB(M8kar_UAVWQI`7r-tkv zgwI+v;K-(M!AGjN??fW?KUy7gRp><;<|FL_oa;tuaHe>8yDBWNLEHSUn@-oD#{xZ2 z40S6odkxHOGuNQEIVeFUWf$lA>h1+cThK(qwn z1Zb+y+?Ro)a`FUFc6+ck_%-MqI2+m0qPeb)zUZ)p2tm`*;9-YfNS;|<$dVKyd|s+> znmhAtGK(6fcWsF^u!N=OH43x{SM$rf98qWGbIY&I^RHWEa6|nHn!&VOI4zXBIBW!D z_G*l1^wL9)BeZ|97F2fMj*u>UOOU|zoOisOcMi^JzphU})wCTIcm-$=pmC34qbx*& zHPK$PriN?1hBlrsw?pEH`WpJ|mvEDcPTluiGn6^6>kYvEYxZTltRZrrjyp-6@5cvx z<_yqB2wY@j$uzBZxGcH)_#z&5xrRAVNv_>toTM73qq=V>!sjsVC(fIcwaTtI9xLD5 zb^};ymB_Inn^;@u=?}CiU=0CnP?Qxa4*b6;yW#&0nIbSaKhZxSTOTePf$$dL2f64% z9A4g#0SqQT83FjQdyoaNIcCcTjgJPBiW%rXnPn*CJN`Zt!_WvtaYbS0t`LXP(BGO{ zSQj83miK@NTOe10f#7+&ec1x@4THjhG;M(YZ2+InDOy~N&OrT^u0b~;;8U-|f3_F^ z$;-_EfoqV;!$a&K3!qlP?WmE%OLF8jD5DDU>ji9h2J34P1-TON0Y?2A40wtEq;uzo z%RZ3r*`w&CfPW%Q#Q)h!^BCgR@i6Kbau(3m1f|~k77IKs;Xi%chR0Zc$j`H@(B($&@d~`nr@7gD4PwMf0)ovg0ZgZA+2I=W{lUKGk;^sc!T`Ky_!R7C<}dKa>Z-x% zTWFulEnvdK@`3dg`bT04v)R9aY1sb?nXYU{4epKk1F8{$o&m2x`@k3qQD#gMkoeqW z>IUfQ4*|Wf#@2fsE*qLh0V?s2cLx?^(hJT@aR`c+`MkU;_@w2`{Es%ggout_9v+VZ zD?PCa@GE~Ae0Vju6L9=eBcM)3kld0Qp|OANyf z_F)`>MWM2t8kl^e?4KT3X*A%j#uT)HpRfQX=YM`^bIgXv1yCK7jSrNo)@KKT=6M;@u! z#mX`ss!ZciMaYj-SsL`OP~J(4VZ>^1-+Zd`ctu$Lvb4utAa-%oURCcij_I-8MiA*s z$8cE>P1J4rvI{;`{3S3~( z{-aO{igHn)H=#EAzaD6afrtZJ@ ziF(OVKboUE-*pYMR}8=z>rE1O)Z^xxc4;=!^SHC{xeP=jMEgx>3SF%_F6>gpogmJb znc4s*$kpeY4bgYtTvU=bM2(H&sNRK67lnKpfWIapux((^S$obIo6_(9=KIY|$HY7L z@Ab3%z6NOpZCN~hcl+jD5;GLSbk7ocso~n?agvXWdA$V7D5!D{KdxnJum4gS84j=3 z4~XFjRj3nNHn*juIO?9_eKZ8xFuy-Z)h+k+t*5 z)(tc=&j>HN8uFfc{esf`V0H|?nCt>|5w<>+4k6kEdpsoe<`j&J-potJXAf}{i&Xk$ z7H(f&J@6V|)OMWq9g+_lHmus+d;U_7%!kc}PDZW20FUSfNvVcCzOL+=&?t){ z^^`ULbcZt;*LcS7`4buqQwD6^KglyV>l)|5cTCIXvE#hw9 z=%NgTJMU%&z*-RhBZcxh4BlJ%r*ByN7Ykdt0!1wuem;Fp=UWlq4g>pUyj6_qyhMLA<6tTKrpz_SWy3kjd-LJEGriXr627n; z@0<#rr=ToQ0?zZNlrnmgiE{Y1A{2m6Ox44L((d`kUTWdly@qY{pvz>%uR#w-vJ;>- zaF?MUR)T4K!5l`fRAw`t(-iNM8zzOs#7H#`!yUU#%I1w(%hG)evLtmH{HRkQUK%NG zh;W#!8zKsV?`C7iTT^>0qEI9xQk7LvZbunMt}>yB{r1co7DA&6mQF{amyxmpKm*22 z6%!oPZlh9=&*75mUsce*tZ_K>*y4{hW`8NKG7CS^Ws*vfRA=;=UoG`vn8IzfcX`&v z=diOGO8lVwLt$x2WO7Vajbpemlf=w22j(QG4citV-(<0%3~d+lxhjxy>;V;2=MLcX zepb>M=`XoMUg6?Pl*E8%;4Clems6dz5r@QAS z01OR)oQ+JI!KD@8Pr=LWrI0BBlpAnw69^5a-B&2<#%&-9XTnT(af=Y~&IknW{$&$p zWO$SBu+5E7FP%Y&IH^70N6IWN)?h8ubyz`^{{;Y&=s^$qpk47cGmt$|u)E7zj9qjp$TpDmQ?`J`HmQ_SdyJJ*XF;re$(5uDR3oJ4XL3#}; z{&1LbwwCCiJ-zm`l7=} zw1MF2QzqQWyK=1i@D4Omp}uk2iY%KrtZ&Fro2IDSB{HwBf*?X&uT6?&I&kcFIE-+1 z@8LvBW&8XFy=~)`S!KfDF(Zc&IsZ@jl#f2QVt!3IdVeM)OsITVAR66dh-Tgdz@M^F ztUohI5HbYYvOV5ANJDsdxmvHS9WZqy_w(jF- z_1MxvJELTyHRB9;54;Y$A7Ir8Ux>du{L5ll@vKwib{3=S+>s%p3OWa}BGaCG()i}3+rSbt z`!`YPa3{l;?wa!1=_&PG+((SAjEq4{4!mpSKUR1k5d(%1R=S!*f*La1j`%VsRf{{X zP~U_08=foGH+c+Y@a3`_m^~$~rEV%q99L*M3K^R3t|`ZsY>&?dQNh?wNGAQO(U&Bd zI5Vc?xJ&nAA(IebsxH@bDQeN_ZvLdFDkFB%u-8lNsBDwPWIcdbprAG-h%0_ zgh~6p451(-;L^YK7Nm9K;%;P*Lzaxf#i8k6Z5dSltc{H-PkcB{d^m=OUcJePtrX8% zUP|3h=ITToseTyLnW@$3GxX;!yV+UBo@v6&Q|fi!bPi9%9g`w*a&bDe@CpB6=0;n* zP`zi|uAxXj?Z}t$y1mXwp{FG70Hl$Pgh(;-H<|$1BhwkgB5(<70U%+J4DshHPOJk( z3-jtN*!hsWG6#QH;E%^THZ@5UMt;!u&KiBpkpwLtzsLQgDJuLlqxV#@6#?FKURVHo zHBZdCST*UAL<@W{01A;Zq4X3Cex`qOeL&+kmOd>aXN#6AMQLH5Z!lF;=*qs*>Vg*-+nOzgIUwz4c+ zW=mrB``$stkSOn2g+-A*43X|eIyv?RR-v!#C)Ph(R0t{xPK9{Dd0wyD_|KFJ&)qHC zowZ*te_{XqAib!d-{u3cnJ8Ob+UpJ-PE<9^fQa+W&dmfGD|}(htV~nrLac+N_s@es znbiyS=4OucHdUM_ySGD13hWDSlsZ31E6ZB-aP#1uIh)*JP9aCRo!q}Vf8KFIU(}+# z;vKfV=-WP#{{6SRU!Xx>x2_8@%q+IZKh+z4w@M)PduPg^FZ^tFb9lp{bhz7Il~Y6U z7R0{Nhn}AMt8*nhK@$Foo77r?^@ANxM@6o2Y46*KvgDfuM-0K{`d}XH=wr_>7mekf z2X9Ah#VUOWn=cLmFDp75PA(U*gxqRcdTSmM{{8L}gI69bsx(;H0pRxkV70)p&|HSQgwn+O0=o)V)=7VnqiaQD>uCH zHj+$y8kxZJjI;VqJk=(aZhEFWk3(e6v-6TgqhtHe&-{>p!+4Y9%#7Bvh_XP9dqF#7 zQC`=eh`DCS_%#Sf^U8e~8I~afOq$3-?DGycCKTS7=AUJJdK`KbpN;aX1hASO(|rNV ze#_}H2?h(CZaH)SJ!@?QzG}$$Z~?ps{H2Q3omvg-!#Xe@WjjZogtmH950ZpcbhPeS zxekvE?^ldj92eWTstmdiw~Nne$cU|d9mTJq&{2*&7BspHNFp*_7DD;$XD>rN)+Kz+;-mUd>EEEE<67ukCj132;CD zpzI6zZRjjoZWaK|mJsdNps(UEJD})L4jhd+%Iyg|0z; zv2UA3*D*6-ZrS^2m!06+s77h^0-*(`p#934g#~qwnb*!UpBB?z<)e0aXq+6j-9zrM z#Z$gb()QzdZGMh&L;?WAY zDrv1MiyY5R{3j|#d&9%hcB=C~!Lu^}P2kI2_8r64VGiiQ@93}{*&6s4<(XMV)#Qal znfTgo88r-4%C&B;YEtuUn#cZXDLDxZY5E+;No4OeR-I}*L(T+Qj=!egp>}|^*B~2Nn&-r}do08ZqEhUO;?V(SdbyRYCM|RRz z3kg_u-uM}nb^-M_a$fjQV0bTlQGoJ?+Pld|q;WL3xuAIS+n>(XmJW^w*fd1wf!VBi{b{sjf# z^(zL9j(BLw}}7<^aU`LuXadpD_{V!7Q?4r-nJ8$V`yl@ z6GJbtrB1lUobIfdz^C~-2lb{C(bPpnnl-BR!BI0^k=dQO?@CXn1-)Cj1W8&`ECK+& zBrzwf2f}>22O``@8gj5M8m%Dd*!vbl>yV)OSa7`Du)39>r8cnin(_;ZHX3nt8zDM9c^M;w&J zABCg_aAj~Lm;@EO(bstwItmW}{?hEa^a|Af_lXB>x^n7dDg zab(({`p2n)nbD8W>4tB&-~Y2IOoUE*9F79vkr8CE^BT0LqWYg^q#&>Zs|B1z?Sl+M zvo2qQz>p+Qfp3k^XXd?3YsyQG+;y~_kvkU~L$ z{N^&ffT|S~dxTPl8_XRTc0A+%YWGEvf4NBRl_Oge*R9@9wYn=ai)rJa-4l}qvnMXj z#B^CN1lLs#P{QBO^MjORl-L!|lHO>j>#K{@or_G}Q^iq|QM@~*ar8b*ooL(h{V3WB_I=?(0~ow?Lnd_vMSV zoygRwj0~-T|mBAS1baWYNA>nAii3BvW|R+nSCL9w$EKQPKK2F6!lxI2)_61`8B9y zfmDq(FTYGX`D^%3{fn^y$%2n>BSiu>ix(fkUVk}gKY@h}4_;ZL3u!}=g`-Vd`AJ$UiB zPB6bnyz0oKNWo2ix^7%|qMj}B_)Zr2V{(1AXd*JcLt5H_LpyNaK~=+-iJvShWLLbl zrf>RIGka6N=Wx`E;;G{l72(KlH%QDotbFh#2kxW>PS(JA+~d$y4mipWhUn%W1{ct0 z5P{`_VN9_J+HKy`SmwN*E8nQ36ajypoPEjQmC&W9{G=t^DfI3Q3`TwQy9-iW81pu5hZ`6*$aOL4us%IFXp zIBpx|KPkQpIkT8ZrYBBbG=33Gh!a~rWGhs!t!-UBDJXKT@1;x6rqv78J!i|{DdFqp zViWZ6!1+S9WTsZVkT;c@4#KN4IRm{qTa?$){}7pQ2dpJu&Ad<2*tGs;FUadKD4=2& zyduFk7lLd)65ohDse@~bDNjrsyx!R(aBPWS8391l#${nvrRLn+0d-Z0f@d67tLdo| z70NnnSq;8REFqNPsgOV>)0T^gB_;(#SITQs)lAufcXMk`2FIwhl)MV{>nT4bMLgvj zHkxpCrNVc9^*V+bSmeY>EM)5{4xi^Q2H`EX=Z-HlB)5Eia~^iNXe$1INt4M<^aMEg zwg0DvikW_tWBPz#!g>i6Pj;iwDv zx07@s>6JMkkrE0SigyKVue8eVY1W1xP=K@LHEZD&e|i%Bj{&v6^6~3`y$87M2HTAR zHW0Y<@eL#zHA8fsZ(<#5p*Tnscn#{`!;+6B`Uy4pgX1!L8Z91ZYQ{M_j<)RcFGVKf z3#QIJC>|<&&g^8xYwoFl&&+4AFB$i;17iW^-C8{>I z5rvOymb3(+pU? zf|&vf*L}?m>GyDf4b_u;?+Xs*8yr5HaM-A`Y~#;SC6&+pmKVKK#e-Jgkav`V^j*k! zuFTdL6(>c>1XH3%~eYDynBe8Vk3B0H}Yyex9U_UXL9&fQDwcsqDzUp8%@FJKi>W$ZHC zJF@7hqw-k!aST)G&hQYio|b+W<*Nsr(Ht?{(~_Y0dtLW;4EbFbd_?w5H3gl8_7+_4 zt6GcV)%Q4PJ!N~tMutzrrgdiU$XwX$sl8Jl^A-izYFqTeYpP}G7M9HElq~E8A`l|* zd}Q&x^WCw9W=7Z)%47k#+QbocjX4Yu9`o%!V%@De!G|%+6=Q_r9F;m)Q3ME}m@!EwAoWzTG3%%J{ zn!{JR74AUTTh$dIiyydoXc6m~(=qu2j=N}zTX?@TCfO{hC13IjJG;ollW!VmCc4k6 zED8^G#@jfuR5#MOjW!OevsPj~WvVx_UVo+@SIBeI))onhd5W8_P517B7qFeO{KlA9 zw=F*ZeefMhi*GZ`DMW85DxIbeARw|_dPL&U@OtA!z<#tpV_%A3jQ1eeR@uocx7jO~akIbm=cI_u?v#w~3 zDlhN^9C{JYtekQUI?(`fB~50uuUGD2NB)`FU&h-4L9>cu?F>gCXr(1_V8rHUh!N&P z%4<-P>jEZ$YpR?Ytp}UMYw)*A_vYGQm;lwXRjGGty)uV!XaguK=$E)3)9lP`tMq)#GXC5A7r(M?Qy!@D=npa_B zaV36c+AiHBfxW0?4T@kyzz+RjIqLwI)>E919jS5o5jnTh-`s4cbMcMrM>+z@p(fJp zVy%J}^iqzX`?DoToDBn7+dC|#WNN1}tUy3l9%eI1tFK&x6wxin&nM4;pkDuMWOYIB z-ip}8X>Mh=eo%7H>ljTpmn))&LC;*N6!^!ABufhmi@%ooJBliR<8_#ff)LHEuMl`? z$Xg2?&jl?!7<8-s9$5aU-7#9VyR~AHb_@5F);M|5`|8T+ucadJLhHx8k+CLzh8N>W zXLLa0)AMlgImXbmp2SrtBqFqu+n|xu8?UyVLXx!*9h54YG zyA7tj6NX3RE#Hwg6x}Q+8?TW6&QwRy%4TVkN&=)ZJQG{f>#(B=%v8P9Rxu={ubY8o zy~g8wT3j%*56&})woy{;e0`AecCVxw6%!f5W6X6rm3XRcpnTl@?Rl&qKIzl$FNdhm zS=b>%7rd`Yoc*3Fo1*=XZ*&{!szb`EqHJe(*hlELYuppmk8(k;YE`(&@>lqHgjGij@D zI zLY`xUJ=cz41jQR2BrVs9eu#{qa%4Ns`g6SnBy*zJUH$okZUb+F0U9m&{#)8?H%gLA zZ41pJtP?bqzV@oJCB*=Z0s;c3n1VA4nJ9mRDIt(fzQ*2J>##;&b-5huDQTEZgXxc} zFOt`KXP(#;ElQ?4HrY2!GjxeZW;{?#i%ezeV06EA`{QT%hmTKgL|6p6dU9Nx&bLMp zH#})wKDq{J&~p#l%L~aUsWrUqB*uHgZfvHawr@%P0@w9}Ff#iB+?5qceOh$sgdHF` zB{(!M?|SL(i*5aBw-9`uX`m!;a!}qHFHA^87TFd$fM#i_G*Ncg6xC;XptD0Z$%20i zz6R&K+CXUx(I0egqw3szsc%4ts=djSsM5ca$ca>_-JHkYDNlVyO;*BO-L4;`eVMW7ZkAf7Uno6n8oLzeD*Iuc~NokeO)Gv2gXG2f@o$YZL(*qGY+ z*q!8kI`e2apu*r3L!hICp#IW=!~~tP2g?ZHsR?DDVdj1`DN(lj)yrG9m=SZjkSgI= z;`9b$B{ZS8db(h2kh@+Su_9D9DWf<(ofOM}UF}#CI~~YqY*<$;U`g}H6<6hc z_#_W4TiM%gI{lkGJv#aB?^WK^#*h&N55{9HHl~Y~PlpQ3{%PU$Kb2-7*Z=zds7hWW zC7O2-J&Co#2sS#taY))T|2k@oGOR258dKqxf2+YUxr#YLbTUaKVcez$`SkMvku%#^ z9WglUsa0yxW6Tz&?=Rpu@|eF30FF5t8gBjpjtL#^1HkcK#f=g(`83CaHr&-sw&I=A zNeKU~i>-CJ^W9aP|3^%T25oAV(mEQj3+W8tg~A|y zx?0xf&5NHzDXZ0E3zDNKH+&09nO!)4RlZ*S5qSF3`*HjqTtx&<&LVH;#P+t`GljsD zn{ZLy@g{XkQn5*{PYgSyoh4X67)gLGm*1M1;9VCuWxm`&=LLX&lbl?9w|rAi4+-e` zFxy(B25B#@*!!7%Q70YX=#pB(?dYyQF_gNf#63<>SmIw0$45SRHbp1VH5fd43V|+g zwsahrEvfMzME#`nOv*B;OXxQGpmk4Jf1+R`Nuf})s3r2}K~53P#Qq81sR+PiAzQBp zP>`TeaCB2CW zy`NgzHpM4);6>}j!p&HhN1r%lEK?<{MXC?z$~*OVSqjza2^(n?4Oz6f zY6yb93Zon>`t9EC88G|xjmv(D?YaiV8v}?ngi}g$-Fz{|Bpq7}zq znECj{tH~X+=+Fm@N`#UojuFWT4)IiP#vE_c!>PGUP7m2nIk}cet1V0hNL^QKbqn8( z_t-lu=4(;%D(CLWrLITXT*jAQ#M}-Zp72d)Mzf<@!CB0C7O8nknLS*i8cA)G2eutGIeIQyNgds zLi55GndD8}c+LbL`&&~EB2w=^vT{~uN-z3Ij^7xsrb}}fGNRg%C6(^@A%T6NIoQOJ zNne$2fgr#IfX*JJ%X%OjG}I}F2#)YRujuY(VEEo8yRHmxK8 zP@ac)j9G&2^aYp!fPoX_a_i{^aP1*vvlxBVZcx>nUE$RORflwHqGq68DwoXrGOiKm z1t^@l>MiUX@Awt~!4n}mUIY*W6IKr|z6zSbj#lUN@r<}8}E03&M$av%^_A95-1f*FU^5?R*o=;>3+jT1|g27eHGnlp5 z;5|jXd(%e&oLI`-W5kU~8MDdOR%8&|ygPSKCbm{ATE=#D|7WbBiiKgFSkK*g+Sx}) z*^Z&EPbHO+NsBB)yoxo6Cy$yRk1lqse3|nZrr!j{f~qF|(%2}hrszF!GtZb#17~`L z5QmN6*G(mRDN*`#2Ys<&dl^GC2EdMTs)Lyz%jNa}Mk}~Gik)1Z|I;P7D1hb%q#)q} zIj919vZJutBxt)ojd)NJ@S%Pk7?M8 zyTtfm@f%`pExPTu4w1*jru2DtslI|m0S{*)efZ@-Y-f3)&tt4hMwDr7DIf~0s;R$* zO69JVj2!+%I_aDBwCz|)hw`kJKj-z+E!eY$A;angZxlBT?Sl{zwm0k)+$_zxtc(=M z>T@>ign0sX2H4?Ne~E2_SRi76ZEp8~&hF0r>`R02zC}E(a~~b};Rd2PfGqs$h3xRW z$azLot(c4%W03i;1qx|!G@2a z=gcrBph|S0aR3E+DSrUAw|)&e4co%b10A~(&<#>xf1G~_bh8-n_~eJ#o3wRy+skcp zdd$qSBAP1dN^yHF1d)8b9&VjV{2Z;cR6f$glspp*R0=dy8JF&3a+A~1IX4Q)G&_1R z6OyL$rsqJ(+C6CDOBY!q5doV`Xt}+Ha?4cN@&j+;xLFg9=JtdYPoC|lVPI|GXLdC? zMhyigc+H>=FS8LqyM^Mr>8|8uPGG?A|U ztDS^Ase{AhAM4x&#TA{}+9@dE2Vsi+N(}MTg6b<<;APk{vJnwG3wyop^b>m_&$tyL zs!hyI&=RLVJ7LE2SV%!vXIJ=J!vt1TBIg#6#ggbrqI-fh4_!B_(EW}4y9-Ie25aJ5 zmM^6sh4ynolxM!q(V7{rm8sg;ab&y#R?T*chxCSsr9R(I(7ZUR_D$hx&s(o(oE^3M zy6;NLH{B5J<*l3~AgJLY{n5<#UJ0N3%{@n4_KD#c;kx&kd{1&{dwe7P(MIU|bVTR1 zDyJBjFZ0F{x>S}E!uRl<7zLd8yz$#T*JiG0DrrH@MsMcX=_xx?<|q>*rRV3%5n4_{ zBG2PSWf*ouxndt|cagLd&3M7LI@*wj=DRgP>|aNJ8+;8@JqM+y7sYiIE4tuoZR_t* zJv%JLDKIDza{Hu30zUVf7^)y?Kc~*CY{#OGz{{GqU@gZYw}GxEtc7HY>$)&H<`g0z z4SqRp)0)xI_?*OhRm}T&LL+|M@T3c!AGd#>^_F|x&IZL66x@;82#;YDodFdE8N43CxesMDB) zjo@)#l7)9j&p%;($a7LO?doS^M7L$5?y3O4IDNHGd{*uKbCDAp zo}aff>;n7P8I?q|^$gO=)=bi@D9G~hWw$dLHV#x;(dYZ&k7{}t#zo?tF4OHxsNQo; zvW3KaRVyS-G&t6awsZFbeR_e11=hsZPkin)Uh4mvlD@#mo|@;yHc*bDmK2g%p} z+E)2JSj&v*70?rK)d#pZet`|`69r^E;NwIy3m*ci){D&n;|?4f@gZ? ziEdLJb{+=OI%E1OjCvETd}L}#-;~=*QB#Mev2C)*6QA1F8|qQKlG?_4Uo^PCo?GJ6 zvE0%v<-rlf5ftRe@Fg`F^5G}c+oI40axT6&Uo!tOi{=2kKP{3M%Bq48dtUtlv$2MoASZsDSgyc;@?M7zk z0%P8*pg!*}BFCSgVV^n(j(C?I;@>V0VYT#3AULRD_bX^(y(1EO4PpYev-z&y3z5oq z-RwCH^$DCsA{Lg;n*Gxso6j$~?~fD&nw#-}TU*twYSRPl+HPYH993)Ofd zm{$D?C4N%)YaOFU+}3Y&R+)xg$ch8doHXQ8Gnw3PJgrfszbdBnUQN8HtWZL^r~Ev| zHA2m-^JCvC7fV4{6f@L8aFxd^%)ZnrwzpQVWkUan-+ArNAcQk&cFB44sicZ;%S1AZ zKv9!_@9@5=?Yr~}E#qj?a}!6xkQY@ z-8{u@la^gb{6>ytJQsN1SNf4$BEfMxaQ(Fy`m_ z$>QjXGwK*7o!RFS2vzrtRr0F$UhD4ESC1J{uR~yebQ4uPeCX!bVzif#s=6AVna^ja zYpVST6Sf%@?2UpQv@JO~9+|>Tlg@wic2mjok#{5*;%PW&s~zX8Oe}=ZTr~emk7txZ zMg<%gDlYvyApYk8@&5%9C1C$bg;zD~FsiLE^-HUuzZf|OlY zMn+YxKg!#a$&Nbp62IYMlcU)8waeEcqoTYp0-9G{E_`27Rqsj*PuI;ht@-?q#rVuk>2*uy6vwM4 z!j7`{!9RlZ{9?i1NFCL&WTi(*t*}j(fc530`SRpFi)bUe6uhKT@cK7zxRt|3XPw}H zx(wSn&0}6wnNNx5+8Vl=qLN;b`HD!yCW6Zy+Gwzt(g?F(_DSQ~mB`SqY*{B|+-{>) zD=*io(T{GSOkN*!um0qJ(*xz8*}oRCTMNx>{8`fB4eXLLUpe68Xu7yNS;1&M*@kOz%77afSAa!VH_2Mu1=c>sU zbRoBEK|OkrQ(BhVk)KPa)BA~*G}acMcKxPdmU06Mm<#4*nu~}D86)bG|Hj^XM>XAU z>!LwaEGVLYN|!24M4Hrq3J8ewE<^=HkRn}b5L6VTHv#Frh8}usNRt|Ri}Vsmqy|Xw zzI@+VYwvHZHTK^3+;Ej8XMQPt8W(`v9htahx0rOz zNUm-@Nd#+&4LSB$nu$hxXxL$|&ZKHZ3{^ zP*k$DWk?#3YGv`XyoP+Seo8@SMxg34tzHslxQVXULHea*;`0T^kfb%}q$bv6 zQRlf*G&Mv;T|fO*s^;e^%P`Y>&OB-JO_tL7Tu|?0c($GAw)=H@!jbV=e$8Dy6BMflr{y*?nvr%W3d^WNw2BDJ%dAk~4^ zq#}BQZX#Vzef0x*-d5{BD~bQtbbW3>=_swQ5dO@vXWxx5F!l&b2 zz#LbjC1+2Bu}y1o7CY7wu=T&ScS zkLRh37@FF}gsWT$-Z=)Z8LGt3F&dnTQO|(T#%Mw2hSW0vKuqjHS{bO8=)s2Y3_^@4u zG#hcD)mi`FkOuj}9uA*ZOD*0_F%z*R_;AVNvA4{MU+8}L(qR~5c;xOUce1)fDv!>F z<3h00^@(tOyH*!Hzm7Hz@!{mJlndIVIS4g5L0*kxq^_X|G-$#xL-;J{FB>u-7OYgm ziM$PmZIg_r**-xOQ{mvlWy@NSiF&m_I!UpCcM;0v5QU2R?oxEm{9JJNs3v0<;Vq3c zUd#cXzMz#uLN1!Wo7iVfyHytU{GqKiot%H}_567G`#V0fUpbly4FTOmMD^w(xr4Qz zhctuKoO$)jHndzu*hD_Gh}MZJi%^>@8yNB43aRWx-O2aPNUG%0z=+*sDZ125j6U+5sjTG-5Gbk+atLEMf zVX?Qmm#gr&DcmVygZ>NaL_&N9gQci005_w?SEJ^yd%7!eRSidwFj^1UCt=~&0^d;mR3T@{N3DJmBNXf zL06TT&__3!wm_ zRScf;v1Q^nM6(foP~$Q%RYmTCZ>KLP802q7fvmLt$UFL zN`FJ3x?qX$s#+L;4Byada#c#+^fse#EMW*HRa5ZQ*zfGCe-$MO{FjX}|2@PGuAL&-` zl^)+mAloYhJt$ATs70Bd`r~_4{U->5JNFy-z&fDQ;9oHf{f7w*->`kaui(6&y+_`d zs17i4T+c`EpPk2w#HNRcqXLxh11y;cT}hjQbU=DD)!+(4%)G%J7<6>N4T(%v}Z4f~{h zu+UaYLWn?2w7^vi_1hktKy{iihRSc~4pB~ox>$iB1VvTv`I^C`=Xz1GCC zARf$zh6`%2D*dYh@L;uu!d62^35hZ9RSjJ&hMnu3Y1jD^Y^@*E?ViT#c1{19k`~7K z`Z|8ZGRHjhEJ)Py_z=zz6KMF^@S(kXQJ{uUigb;|qng{;MVel+;Z`9QrtNJtU}|3!WUcWDSJYGY3EW$2go|!Wgj)i35@%mjdFjf8j2WXx zUg#s4kg#1bMGtp#g1DDBy@B&x2WJnzE*oKt_PpI9g1)q8`E8U z@_m-)Wvq-Q-{hE_R9Z_~4D;BJSiRy~HK)11AHA?XO#A`&NpGU#cVcaG3U(M9pIU9cx`rka`pco-6ip%D<7_uNpKkFzi*Zc(7$? zXs9KkVyJbeQd?J7SFXCMSAL+XO9HLYnlzg51Q7mO+PHY0R(#LYRe>A{r1}K84%uFg zd(JpFEb58%cP<16MRw0Sadhg~rs$IQ=k7#|-1ji);bv*1>x+IyK0T3qrLp9uTG^JO z)H_j&ZdEFw5k*0@sV`+t+2^odg<*s#WXg;=&TpuLGUBfj>43DZYm7F_^)u=#>ZD^( zvJqUyEME%|mX#czQ)EqK==j8O)oPPm#g%d4XMcNUj#znk>tM*eE7Gk8+8!GI=r z3rZNCK6A%T_PcfXsX#J`*y?)>XIt}Nq;pt)cmTJlWL*o(Pd%BYxHn?{^$zVyCr>qh@z7hpy|?{!-zq}`wNuWhM= zHrIC0mcOxIMMe$36;lbv>QL*{=0CJnS()k)InVRcvzBgR0ro2Z?ra7pF7vC9g&Xj2 zr+{Ki3bfMGQX`+P(ev7Dt5bMmTvkCrPH|@E%<5c8ZdzJdgqcsL`t7zy!Z*|;yoOk^ zUq3W&TsJab9I104#tHGh{vpB|s&pm(^U-t4M|FO227d|?8!j>^j(n%3c7RG;8s3EU zgjqcV9vi;tus25PsYQ)zj;Bgjiw&iWhg{H=y2l#uxGa`t%;dz?4KOvSHM-5o-lMc6 zKR38leMV8E7n$iMeS?DYO&zJgyohk!H6!SZ5>CH4R|Tn8vYhI$(krFE`o7z!Ajc<{ z`5wM4(w1%3rq3u?lHH1=yhiBz^T{ZJXVuIOenX^06D3hnExvw%cs}~ufrV2w=Flg)1J?Td;lXxmg&fY0S6y}(YHB_t z&2;(48rGqMs!?v7ToO78kl%z!|Ps=;5_*7Q{bnvQd!HA zz@vk=BBHvuwOk~+1GOOgN8I+)?{lU38%x&*7i~9U&wiiuR~a?GT~!JL1P<)Kcc=>I!_B7rq1_qqp2!Aef>s1S5y} zwsGv83Bbd*foM~_1$=lq&ff{p{RB=@HbC722=bh?+W!upz7Iaz*7h*|4SC!`{tcnZ zpTbiw{_E5J5yNg zb&T=2)|Cfu1bxVeh}QQJQiUrIqjGO)to~BVj5k(~SE%0&j&3f{`ogYOo;)ysRgV#u zugtV5OE@j0n83(Yq;w@*S!N|aVfM*!Z^pteVYew4B6nrE73V26BQH6FZgK|EZF5J# zInsURBC4O=bHOfiU|`~O-KafH{-?XD&qPAY*0f5i8n6gCzAzS|En8h}x`V^yy&GDP z+>B7kPOCz$0%h$Z1Gg*+v%Q(q)a26_;7)C|7=Lo&)7qCKPt$zE!d%A&W5$1cqc-Bd zeLi1T_$g~3>)H3V>8qZ0IZ*bX6WiQJ1Jv03ND4b4S(N|To`2LYz>QG2P3eS{|Auf= zjI$5v5dePvJAOo-%|)+I<%oBH_lhtq{Ikm+XS20W$oc7NW;M)&U7 zntX~q$L?l&>Tk$iP3jonlMKVAt%)pv;OsU*cc5zuB7|4V4bB8UJow_Tvv1Yvjf*_` z4>)!r4=El-d**A0QUdz8^gRdIf>^OO>G*`_kTbC@96|Qq62cjRhb_jWZ*RiK{hBX3 zHTVg!x#uCE+aaYa&}Zaqiq@f&07~ru+Jy)hA*zv5fC9ocJe$-&0O?71M+?+ z^ip;%!~AIgS!JJdEq$wlj%;ojhPnWtzVolNVAK<`Z&_p?Xzl!ivyJ?Mu{Cc8ct0Hi z?Yg*s?*TPMvzC$txF@1=FwBjX1=#ihc^|wOKRLgvKW&*R^m|q_W@`qk`V+z#NOkm_ z+EMy;&aiXJhwrw{|LZK01kwX|zt~>5IP_JzAaEm{4}SQk@IP3m^@Q3yK}L*)xs}n_ z;EHGy#2psJ4deGO@lGa@QH^%@wxf%0%?bw;=AAdV7@$wa$;eKO!CmWFrULFFrEcM7 zlC9s|=6EN3uat|^kV_(lJGVy#R`ax)hQ+O^U&~o+DF3Bm$jB1xm%#@1R`*6w{`kbm zi}g_T#lqZ)lwy~M0!8+B9%p5xpZQSIc3VN|o!%Ase*NSAoY%4(AcVo@$`yR=RLiak z)nbq|=ksHOVn^yT5GtH{*?rN(GqRdQi{XO@I}t!ja7X*`T0qzMP|Y6sYlZav3+}T* zVuo9fE1wTPD*jpezRyOWf7K=4iA#v>j#3x(b@!dCik*b!yb=F#X_4du317_uc4^wo zxDdU3y^q&>41T_6Wv|gYRks&67yw(kL^>GFr!d5lz7goUoK}{n)4$t3k6DW-UAf;p z&5})DBPf{r`SV0`YW1&_eD6Nqdz(Wa-(S)fk(8Ak(xN}7!uqzxV4&$k7(sS7v^UDz zEondKnW^fMfBgd$mQIM9oug3eX&tJZ=F4vf=-kd}$Obk(Pic+kE@PnHPv3zTMAW1b zlBT$@ha3q5{bJY(YRR9})1v5+*0(a9v@ZmV>$CC=hCPMSn(}7vVZKwm3}fR z>0GDLE*%=bYVhG0s~DyCmNWUN8fg}Vzu`qk3Bv(^yT&~xN;-k@LXi&beUC5VU}~6B zNgz|8)9^8%s724Q1>*arj!Ec&Xak^@lt%(z@RH@w7rer8?DvAu*1Ul*Yzdrq8?l$D za1kDx-rcqzNb15GuX4m%Y)CuotaRx)opLztX1ylM(B^cC*{4BP9w&vMson61OENPP zetk!*lQk|POG%`N(mENxcCkir3eWvPMl`|~Y2 zGzu(eZQj*Hu8mf>(^AV_EH${3k+fcNO4EMwW@K;ICOIzOEROVP51YQ1xaroYDC;D{ zTZmW<2NIX#eb(0M5(^au9x?D!9ba{}Z;sxeAJnNlUYP&djxUKVLxd^DZDeBFxn^lv zbD_nlit2GoO@tom%a3fm=)%yxk(!8%tIXlL{ierdcwe{h*!yR{g;p`n=-7SDnp(5@ zk7P{$bLG;N5$d2HP9Jd>92{3qZZ-zH+H8EFWxDp^N@2$nH_37v+-;ZBY8&!y z#_C@k{4#&mKfkd7Sy5Bp+!&|!jMf3y7L;}5N83DvXUB+#)=!Ok{pSCWCrIGlmjo6M z=o8FYj!T+zG!qF6?Fp2HthU8QD=4p{ksO;*rCLbzY`$IiHOo-$3x#$C zp+vxIs=eMz2q6yRSr@$Wu<^Tv$%b?qC%B(z8e}}7LDd%)@n3n#Y>iMI;h2^4QD)+G zpp#GIIY7VL%HZcmq<#8njxm!JJQ8@ed3)&qOmX20jQv_1wF3AaBs4|Y!}2>W0@<}H^X-El23dawql=&Uauv5ZNzm&WWs<(fQrKT}92`$S z#9v|{<+c5M2jDLo%MR$zE@CrCa{+4Jt|quYW(~-GCyvdCbO7W25csnL9nH4nIVKJu znn-5|-!%jxcK?ts_FYB-g0MCLb#N%7CjoA*IAtS@4yZnc6rqTMKyL2_1^nDNlpHg4 zs0g@i9zx2_Ct}E_f!-hUA1LxgTL{M-<%3Ug*6oM;VV`sgNPj`Ll8`L+r^^I3WE_$w{Z7Acs zvb=Shu=P3T=Qo5B>qDL_mZr7AM@0vg?CX^}xR`_JqPMwU(r|8nzkNe?Kt+aQb(Ss~ z2wW+hQAqV@DcPDe{-IIUvL{FS5^!k|D^}fTKF{=N+#xYD5CVF$dW>eP#$wIuZx9jILw&O=%bqwfvd6-^G}7RM%apT18uE zkWCmItk&F1)YYhGq_GlL-l=ngm-}uWZEKE=rEpe!A>wSDY44fpb)L;*x2g+w3bFQQ z7D%7rGa}9J8l{Wa&!B5)XbXYJ2d=m@kDnB_ ziPV*uNsEQ8t-!zNK$oKT!hniJO+A!oPBf<=VFA4dGR^>c!`?%BnR*Z<_MH6&=18EliGem9NB!aQgFgNtSd_lAQcn{ zZ723^Nk}m$TMGxlb8^bJ*ujg#$hN|qny$(#Z|qIt)Ae!3RyCO9(WEJ4XxsG+hxfuO z3!8OM;JU{*ou~9cXV;7R3)2Gojgx216HW{4665T~pIY;oGD}ef-R+*r=^F?Nv|{5& zHC5LmO&s%#o-aRDpgsbBh*kX!@t0bm`)@+A7nvwcZWKlGFrbRqGp0(p7gYPw!pv=CaF)xxO7Id39&t1v?igk@+HJRV!sv zxqH`E=zl}bp7|fiXqCfc^j+*>GMaj=i)^n*PT%gsp$Jlu6yy%9XuMf}*8{)z+<8yq zBI!Uh5_O>*Ru;;6(C4TaHixG~4+8+a zMuR~OXX63X*E)*@lx|?uuyD(R(&8bg?d?Rc0kU*^_l>_lDD#!sSVUQG*TUTA>^4{; zP^A>f-Q(yKnegmF$vF2U=NY*hNkX&PMNeKdWxiLLP-h;WiLfQslkxQf)59YvF>|iS zmtEhHEbq_1dVEy_`(dTvb3XH_Uu+hlwaXn>S5IzZm#D^)1ZjJ%J(;X7>a#hCi?n!o zYO|Q0b78bN7I4^WHl)g95T&N8b)#WbXIMVafA^vdXtzzbL#CeUW~*P@f-5fdz`W#PY?w4k`a9Gfj4wr(K1{b z3WQkE@Lmv?G;fb&O(V4cTmr=EAItk7^mtp94t3LFH0Xz4v|WlU8-^N1yRxNZl^8;kg1q!4hwNMpS1 zO-$-4FS;}{BReQ4LOj#Z9o;|B#=LQ0V7a(7q4Sxs>G4$^VGq7^Dc;C+p0p;K3yVI% z>(j{o;k|dHMn!89o~4x{u$|{z%Qec+-dLFzED-pmZC0a5MXip4QXtd_O&23xsBXVc{pO$#Mz01X-S@|D6To?YNzz{`V zgV~E*x@Bci+h>>lK$cPfSzh-6$g&FVf+Agn0wj7h3iz|rhyye_UCo!W$AO2fflLOC z+kPv2n#GBOd`Uqc_$U+@pyEdG1u)|s9qA_sVM`T!m+^26H5p5e08UE@2fv9Res%l` zoFYvToG1;P;x&*e+0(rO{Od*7PCmk)4Nv?DZ-!R@r^wd3#0U(HV;y|^;SQk4KcSF8 z;jDKG4~RfK(5)aWrFsXS4Sv^;j)2&eMc@-)Clz)4Sf@>{q4qV0E(a7&`6iz5Vmi$h zTl#H&rt>jVZXr)`R>wnZ<;~9AHy&vIP}_})f#K(!m8dJk_zuOz^$~Lfk8=gaF8bXo$qa69%RaQqM;ZEmY_LCnnKx8Y4AD3lLRW7&wWY?d zF>c+6&oISW5U0O3BWZnIDx%22 zZ(PfssFeDOb4(5Tw9B_}UF){Kv1#)6z9$E5yb0f%8AMc!rOxJQ*fEzqDT!**QM>de zLYs-%zqNjMc0kc-*XzTzHoQf{!t{yNOOW>+&x^(*GI*%oL}z%3$>uO8Iz27wfAD(U z{z$+yBk9-8`2*up@#bygKeH(RnmzWP>vpbE;4?Q-G#l_6&=2>=y6}F&^-g7bJ7M>S zCpUT{h?9J`pQDY0Swx*}@)e&hquv^+=o(*6pb0h1h>?srIezlE0Qr}HSNcS+9fEVh z>5lI-DC21{vS=33o95_-n<*PgNFG-jw4s&NwWW!4eT}NR2V(bK+pWx5np^oKfu+R- zXa_!Rh)t~$Dfz^>BtYsB*I2qO?MBC|qBZ;cgkpD_f`yll99|hzSAN!_zE@dt&E7gU zDYN+TH@IuMqj^kosTR?t(qJ0-PToGs<1OR}59f$F50kx4bcXDkNT!yLTJG(6h3wD? zj?I^(2>FBIu4!xc#O&97oC4xd%cH=3~d_lR3v@t!`V0aOKKU6Hm9pxM@;Uk zCxkwImXVp;s*g&`I^(8yt6R2>Kk~L(8rH2zamv@fn-wDfJKr-je*GI{AUaQy@hFq9 zYPEpCTbCnvy6-|A~ZO}r$8V1Jp z{IhI*DtNfR$ks>x_erex&26Mp7~gFfdy!tPq!w>d(`?`!-1BDEEGk0Qe(Vm8Car3H z4IlT|ziPL6;W5_DcQczVB6X+4(a_*cT7tCQgQCobmzK2aB5R3ofh|UY-~%W07OPQ= zWP_&crEx<&W4#=zQSTM~!iZz)`Q{cqOW)qgioP+S)`+3nCau7f^#|d5M%rIHMUc}9 zx3`MRHiq`KQa0)A`)9mxOL=^La!&GmP}W9%&eI(V_OxXq(O2wJ?F#1ZWW7GuD^#6G z3?aVeT@uDqMsb@tz7c4dr`$K;XU3XreqO9Ki=wjSY5%qYp>uK()MEQ|$>kRO1Ay)~ ztzX9Y4ANkfWp5w)qK3a~bN+{FA?Cp5e-Vc-diVh!_ss$g2fTA_zZnV;nKdF;fan>E zI;f#jO@XyZkID|G?-#%)Is?3ZLuQA)Y$*g&xOeQDGg+qB0lK1M3j|azWUZhkQ&8Y* zpJcDFFIX1-7qm*$mf^#HP$SG|oU2ObQ8U``-8I;PI8dW*1TOgH(lg?s@nkV29fpFg zfT*;3ALtH%vkaaNICMq!Y9|8PGR$X6nnIrrh!NRK+7zyykAN6a>_a>xM!^3CFCuLU z1-|;M8nwZVPbaV5XgQ$k0$L5qW6-4-j)U4}#=mJm(FAlK{F{n#LRwl@*$V*y&g7z^ zqNjx`c7?MsKB1xSrXB~Kxbn`@G%wlcR6$0S803im&=j|7jOwXSL3IhpS)SNHAY&tc z=H||}=qj!Y&?~;cQPJ8KyHA8@UPUe#x4->GY~(-^JQRdCZKW4if|yq|WEb1!pCk&@ zcs>~^jId_-QGoG8U$RRp%5$GD+pl`yk5$~7Xz5b;kss~px*-vLUgGv;!MlUircdI| zd00P17k+!1gE5&XVJI4Y@>9X&Hzf8)`W5}5>;I;7eqIzSIc1j}i)i#-$&*FQi`49h@ysV@!f5pY=?8&4I(wHO$1jzmnb=Q&nJyWx1iMN+=}4K9APfihx82r zxI|A~3$=vHZD}_HdSS@Y6aG7LS!D`dlnn}!hWzqSKam9OazZkarU3cg*vn69vd;+5 zzP8sNQTENzr=;IiKs;P4b<$rlF{>o!>(Jo0ZLz*#onF@CRU*1t7wSD?nypw7gislB z5OHvGq%Og!mV|7+X?M#zS5K~nvyN>%Mnxox_wlh4Z_~mb9w9i#oD}Dp+uGzt4|@OF z!rs0|p%JTbt1qpnE8uT+I)hB?cqm@ikP(#6lJs?0E25*%;KcOZZ`&T#y)1T5EHnQS%lOy@!kqkLz3G2)X2^@wT7v0;gH>rss_y0G(g z54VKqKNZ`D2^F$@%e3LnZZ10>G1@+KzGE`LB!eR=V}GEFh*>>+LlrqWc-2oVV67%0iO#eoCDtCswr#(CUsF&u@kK@>JW#wVR-Of=LY6 zCFmAmf$0yNa(yt z<7sz8CJ@f`usE*Mv!>%&?FJBLJ;}S@Uj?TG&D%|K#4^6#R0#kL$_)4$u?@56~4KrzNxOx=rlipHdH>*e)ng6a;`vj*n0Gf4P1E73;}49TWr}1 ztv|aq|1 zu(Tz)54s=%D#U-zWnqRhgUpYFFE~v~XF=HW$0$Vp^Gl*6emPsxQaTvNLQn!_VqEGDMc}$C$;xJURaVJo$gT=EcqweU6R`rSQ0(9h}I_NGi64=uE!h z=vYx(xwnq3+1M0e_diBIK{{9Oc&);#s>1gYkzVv!FLH2B_Wb8o_uZVKJOC2^w>yP<0sOmitON||QxoQsNQ!mYvj3*z=W)TBTUGY($V3}3UyruMwF zmtXJ?zab2Z75|h)ZgZnlmE)YO6%|Z5F!99_whI1vERbpygFd zw79D?rp;@9T5q9DAGtd{{=#$OlH;rJ7ppy2!u3scoo=n>K0TRhr{9)?uPN>lM(KR2 zGK#wdRET7c!k1|y00eB>05Fce(F)}JFII?>KAQW3BWwXu;5L=;38*1?0JRx|DL)hu z?Yqnd;&Sgf(1FkG*#GTx{hS^l*yV3T$89c@%m$Na>%R9&B$b5<}u0PTw`>CszRpEFoZOMTj(iT-NA8 zkW)=l2nSPpq#fY9UkLd9o3%uc7HU9Kx~#$5gRw}t@C9BJaD391pnv~{Y&vDDtpc^J zx*kaxwIu(B@H1iHzY@*hV-D$uW0%|!q#WcL#~-&_4P->7U+hX#-cA8DGjSS&nxCD( zQ_f?xi6XIwGo5hp;I1Z+gTb|>1h%ijiM{OK0f~gA7D{~NOZdlSxBUCEmqn7exr*~}<7#BfSAt_r3K-9Qh&o<2zIhneTYzlg^n-ThXO7gup97Djc) zkVZQ-lyo$C?vSMLmty{(B!%#RMX>CyJ3s_HCB$vm4_L>Ylt{V6-XS#F-D#5eRM1W4 z)cg0p(EGmh3%^uE)C~q(t6#cmm$M}j-Z&dcc_!!*AK6>I;Z@RFi@(Bfl`nyG_wp*H z!Kfr4vr_%1e7C5EVBY>oA< z6E~0r<$Hquy%Ap*1d5BV=gWkyaYujYwm2#rZFH+_yD~nGd!?_{98U<^x`waBHCiB$ zwY5lTrcKq@)3t6avhl`!pk^mt&M0Egg8!PyG^ zy-hlt_`=(G%XZ{nGDk>o%U|XBMU6K{BPrT&k+VOu3MS<^s&-q9;f|fOugyNHC<->D zi6EQBE-mMs(|=rv9=0yTa;1suM->*v@V~wFVRPvtEFj{3%UIdI(6p0f-0k|&p7Oh$ za$QHPUyp_+C^5zb=Sf;9*Ic&ij~MQx-Th%-I!TlJf`ZoHO}`(0O_M^OKPWlI`q~59 zqp%;EUs_gN9DGrwpXgsuQ9d}RE@5&YRkY^4YL*ZxP5<56D=I7eo>p(UJan2D)k>=i zt@SKh&0vy77;)-UIboTlWv&y5O@LFfv6{}PJIVm6~Epz)fNmIoEj%5eeH6Q(fpN#TkIxn1oY8X*?P8EDzm0sPfhjvYub7tQm0 zB=%742+h7MI)uWI|7)y82rkw=({#PsW2Ky;F^8!wH`MDR=Lx2`G~epOvmry0R21ZY zJ>s#KxnnT6q1UfJ{P}(@t1N_#W$Aie{& z-Qk$Nt4Twq8YzHdA}QOLrBz;ddvDa3*{MlO{g%dJ{`Vbv26LMxlOgu_hH={0#~ehp zOo2wZep&+Hw3el*MwsLnsnds+b4IVX*F)nn5@dcZ_}BBP(w5Zry)inT5p+HyI7(Hy z9qSIo16SFS~)zh@vz-EHR;riVM%}1 zXJjo;ukXKO!A>WjtfgQ~9l)Vx$nMY)H-L*wA(4H_Nxd=Y zglIM_C=B?PqljDt{Hie@D5&t(!pDR;wrMOgK|%mZM8ne&@G6;2eaa>fqu+M+y!abp zZAm6;%wrC$YkP^j!IVJk;NRYV2meo7hd~uS0M77U0)W`opAhmMz$99ffM&{% zr4DUkdjvrG{mr8=a5`3 zaR9Ep1puFA3e*6{E~4Q7XN~{Q8vieFj@1=rCYq~8-5Ki2KCQEV$CxxQ_s%kyOf9rX zD=xS7&mTRHKDBBlzo^%coK~)Xe`8X!P1w=LK@e)A*(FT1`#UG53VfOLLEF zXwds1P&{b=H6M=V0=p#o_E9BV~>Q$8&6(HiRh|wW~amZ=Z#~(mPIo2#NIEmN0*vw zJr&Y03Tl$=N5ozyp5*w+o!j?tlYy~L-f&?7Fr+Z*V%S4kLo9C@)oSlv=(y4#WUUEVGc6< z%t*tDrYWU=Fc`ea!!a)2m-5e+o97>_anb+h)_8@!i6~du$g$^jaC=RQfPx2yR=lMg z;K*MaP_IvI-GBB*dT&=1wRX%dC#-w6e}03nc*UIAae}YAY7f&Om0}J%pG>+GqhR(d z-{`f4L1FGQjbs<>Lk=nabshkf)1oLp4*ndk_D?08{~L0hhdlVd;PC%#Klm?dH2upV z^Z)xU%70Dv;NLIGigT4uS7js1-dk)>6UKadhBC5OK4yOVIW9X49&X`ve=(5bQ;jxCb!aHmH8Y9_?R?>W@sXn^ zL9I#Q8g$I+5KXq$Nj7=nf*mI~u zh@A-_cAG!(01F`Y@Ot{LAq|;kqzI02rfgysm-%`fNxMT`^HP-M&x=yiz89e53(vht zHcl*J?~D3k{A<-N#{?zU0+E)`abA^Y*QF|eeREnDEuC$wY>0Sfdd#ptIGfJUhKGKO z24T-(I}tfy$p(zu&>!NJuhvTk6#84PZ#ap>4zlGpd6KpU^**PLr}{P`nV9X9r8f-G zU*o>cV6R%ICEU)tV|rS3@;c2JFG1XOaqRhUO5$}>^Qpj->b9ZMkYBu}FEckKdJTsx zPkr-?izX^@=h6{{;Q%b3C`s-I`f03e zk{ zL5h89dv*ibViSVG!a4f)EA;5QL24QE&@yX-e``6{Rx+1bPQM+QfAb=$VtIXi~mD#J4md@>_vS zK;!PU`<3sl?Zg_p92RSv{f!jzljEPQ6l}#wNM7idJX|Tmdr$7zG!F(kBXNzE>lb=! zoQw$9h3rHa-f_LjkX65>B*BReKcVRFQ$2fvsLU~wuMT~}X8!+*gM$E3WOW`0geOKT z5aOy1IHV0MiF2YIQdEg^y*@X}X-k?_MtN>TKUZe)`9{AcPWG6e3GPm@fiE52%+kN# z%s$^Hsk20E=fMNxhYqQj3fK5*D{htWX>(i2noY(JyJ_}@h}^8h(=n(ksU-nU$Gs+kCF8?bQP0ceke=PtFP^Uxhc;PX_cq zcw>(cc-NkN@{K;-hmOI4;ZFzT^ZE^M+r(Ylh+k#OPNz;p%SdrwPGk;i}OA&P4W*8ik?1Cq1tQhcawTbWRCKwv)qJ)Ui zz49q_<4#TW-4_!G&mo2Cm*cKxp3Zz{p^#yhdxH6{kS<#9Hbaro-Ou`)*d-Sd1&j+e z65n^hDO60Qvn?YPwddFm3Mh<86mi{St~)Ke#UJ5kzQE6WXB8J^O>(r@&76zRy?c)4 z;ld+qm#ze^47-{dr7`PwZU78{OG7to^K>^JU{hHl)aw{cUF7+I+3)`iJ}J+~wN~SO@6>MDI)N%k<77b5Y|H8iKvc(u=6 zHd9rF{LF{gQ!Ng>7S86Yv9F+MuPEf`WrJDzx;TN@*mDQ%c-K$>JlJtsvL|b?GzhISwGUk$(-CjP%b#sDtZL zfTm+XCLUD$KyCNJ;5{ed%V(San3!BW4JeO(e%a&oX-Rt(eJQ8QkK1_}?M<2ghPdml zJG4m4RBe55L_}m|WCe?uhLx5CvF=Xw8V~dy)f0Bzdv-bd@f#aLA0G6b&--En9xnyh zO?jr%es*M_PtuJs@V@*Zo;D*cn(-xPv_U50fDixF$D7D-vus1{%#5}*dwPofjxwe_ zabIH?F3u4ZZ)(|^*{w^mUR7UNZDf&&pciHdbET_7eD^>P=HBy&<7joMlDspJ#ilFx z{lxH(dR;;WVHNtsTZ?m?H@v=wMDG;d%H3JLoy(%B1JS+!6J+qL#TW|-!5^Y7{@54@ zFvwJ_$v+j*^1*_C12xGxzWPOylE3sq;6lq_wt-4;+vh)k;06u zP%2s%l_PfHM+%nn9H2`!qzXCdMllS#r*vm?WSyLxXnN6vNr?v=pSvR|O*KK_$#00u zM9%{Q-&-vSc_(Ua^GKFe9%q`ruDiHGa3mDlEY#Omxy?WOQ2TWDyf~9Ky#admm2_y1 zF=s~pTJh;R(`wB9Dn$4SXgcVklx#au)cq9pFq;@FC$`tqWbG>HX(87>W{r<;^r^!N zy3w*a!#aJ&{R{KCKGh}PS}nNs#Kli}pKw1lV*-(pp1k&ao~;M%zTm&RIQ+sa({S_( zj;I-8NXV)D9+E_(ATYp2njqa2AaKKx?#FMTmmBgJ+X-j<}#tJsxO80ofS^h|Kk ztchUpWd7q!(Za`8x-=h|mBpN-#P%$c%kot>s>7!_to(bC1m}s;*c5||NmD<$ILni= zRHb(MO3Ji1*@Vx=7eK^?wVdUnfcRVC4tx3y=qFWmgy9elw%UA1gR!{qP<#6*C?0~5 zGv7nCGqBiH(mS8HZOmrgo(D1q(YBD^yYrgkk)lx;2T9iFgYVf&#Ebp`>)9s>>d$_; zd&jj^zfq^o6^s|mQT=$5*ysH9ga0<+)XL)*!z^g$+!USTlPA>mLxtjQ=motFw|Bkc zN&iC1b7Qz#cc>7jFj3>_&m&1hx`AtbTVF>&0PDqW%knBGtu#BvCihJ~zrH4I&GAbX zUxb(ja>hRqgN1jAfUx_y29_|ytRQ&VGo!LM4Hnp($o7YhmtlD=?pC3tc|)OQ>1KUlnE6=Ubg zGW5;sT&I$=x24D60d%`oUhG3yh*sZr7dVNKE1h1_OO$`W-2ekb}RPH z73EwLg9{o__kGZ9tbxTDoI6{flJfd2sP&R_N%g*9_8eaE?L;e`y4qap8 zsI0LG%%w`L=O=|rt5E9^uVvAHvm=p{bQ^KlM& zed7exz4BTny<(*k4w8(O3TweJPno(Q#F( zF&9r>(FtcGB3tr>iB`QS^9k$1oOR#9Wo_-F8qv3p(sLT9P#G#gsPIcF zQwv@(Y9id{)%kK_LD7WgV{9K15x+zdu$n&u1#2$pG}UHRyiqSNt+u{iF)(`2ASgI~ zYT15wb$EerjbDb*{KR7WjF+WPLn_~ECK)?DzDAY`9CQ*L&k|1#ALUKp*9`A86}`kn zdm<)`eGA?_#nD@Ik`V7N_LnNh-yzT8yxhZ! z`S%b0^FGW!T37#ufy!C{eN-E?2KSwR;j!}w6Au_E`pu^aCVmuZ>2Z&Vs#?Nv zQQ3pRVO&#TUaL*{bfoIV=Z^CCr5YINxGX(6OFKnR2kd?9_`gVd%b>WvZrvAxC4@jo zaDs)#Ex2270yOTD;7)L-2?>zk5K9AbZGdW^}hR@+IQc5>YjV+ z_9u#3MHOqVUUQCTJmdM@TiqZs1xyvVnxYgOV{#I!%;^5Q51%vqk}QaS5!}>oRUfUL z00gSeB%}Y*0DfBwZ-YzW^G1|=JMSZwF@vk+EMZbA+udI_>t(Bp>@?M$;=YW^Yk`EG z&PpkwB5Lg8QlnY9KfjXS)q8B2PMts)Ty9AJTEow>YQ>208{0rSVM(N?WA@_chZo!E zj%Pp4F||pq&hrO?%2AS0)wx4cLD459RsD0*3sXbFjwexrN!3w)2W(rZam}JSN_tdd zF94_dR+Q|%w+)DPcVzHqiiCC-3!6&^b(zjPd)Yh^0R~Kp3vA-8fM?>l-&sdEgBlR42tpjdiye!%=+vUFc_!_EAgE5CXBex8VyLQ_*-^Go8}o#@i*ou3~u zNTm_3w0^3?PV7`K4|j-mSVK%D+9W&21~z{{fI~@|+pu}z=VwBm(iZcS%hwaR#Z~!z zVCK`M(^uEaL>X0Yc@5`>HI;6r85Jkwc4Azh3eQy8P5I^*7^x&848F@wgoDQiL0$eMv-P$Y@%y=kIi!iMfW%FrzxjJqM zTgIq?Y;6B#nfFh3)L})rHVDo-y8%q^-s709nEYNcT{9bIY>E)_wd&F$Y2Za+JdwnG zri#(SLYL7e%^%k>YeC#rLpt>j26>3IHyI(6UkOlS@_w6G(8;x!ZI4@()xkZ&SkGFG zn8I@WIou1bX^Lcdc^|gdw4_AkM9dVLz*vzf4W!kh_%!+V{j9XreStWm2TN}w(+(`=C z<9lY&%EH3uEoBBiLxf{$oe)Po;^n1CHKB4zvkNa}r0P#UF$5zz{w&gkq#X`G*;D}ZC{&YuT;2%X0YU7Zw@_l>QMF!RmGiR9F8 zRMmazQtQu?6?VBVJ@b>6LRCSYGcIjz>^dU?=cDpR&4zQt?n-NPZP_L5=mob?c!|`? zqU^K!KAJX}`g|Sk^&BlZhT>cdn%u3~6rVK3X(3q(OT*0j;@l898VYEZ#c7%AAu8p} zx4AI4-++m^_Yo0P}nu7_(5}Hc!~_pjOO@=7<~LV9W_Ce*%gTAXU># zNdec!!`9p%Os-F|VT2wJZQvH_Cqc5CkgC zoN#uDw)x#$vE+upfmX5I3Nbh8j6olv!xckEn%dtK9`R-om86$P!VGCoNfyPZ%;0YD z)O;Mi-a7L)){`Y~P&JT6f2%a#;FEOQSRp@pPv-hIQwQl1B?cA97d8RGagM2vT80}6 zNRTGcDLQo1VlfHN!ZD|=YYR4D;*DGy{kp*043nDa+D@hHQ+t=x`^TP@H|zgC#@~xuOF`3brY3U%&AwX;^SOJl zCz++z5r3CadHC?>_5uwwsqo9X`6oBWZZ-P zy_>xJ3$8&l!Q;#SP7I{``JQ}mzArOIgnXa3EvxhYdG(CYiXpD#E}+RV#+Kco+*<vT@4a^>@()+OHNb>K%eD%aOq`xJZ3QQLjgSZQl3!mpszW?}2jGT2kPbtmV1kyben09oX z)|2x&49c&r_hZZrIaM~KZ5`xin#xEFjzJ`?^HXg36=vqAaTzEXsg5##O-V?6*&Cq| z2l0*MzD;{r_tg36oT!9TtKEKZWGu6{n9ELM@@c)7H&5!w>2g2w5%`=DEx>qGL*-q# zAnSeNUdb3NaT~B!@>LF88+HJHi+wb$Hy2m3OPFfeOwSR67*0pt|6|hI87XyVV2cl3D(J znC0W@Y(YCkZLb#k2+Fwcpl%wNzpg;NJ>H;oJVNVm`-ivRo!i3;1$2Gs8wy9Rsa7ck zSIHiwxWeMm-BNL~Xg6)!`sZ)!X16xGsIzf?N_Wc#jN1j;yx4DqdL0vSQJTSf+*uzGET-zf3Mj7|>EN0Mp|b8JZcI zf!@+Q<#-gp){G=GsYyD*u41FsxQ1Nu3P^}$AMo_?zA3%_X`V70BwspDh!eX3h;7Dva;Va>!XxdA#am2?zmbJ&O% z2KdAVe_ZZPoM6`?npG-WpMHPwcbHB23<>fwO8WdRpFJ{WKDQ#GlzvW`K4YM1@SxZz zs!0U@)q@p!#e@cM4b-4ain#a;tV5J^4Y(vYj3ikcXSAll`gNtHnW=Fu4Hkl25)F@r zs3-Up3)y@bwLTTzq3)KgJxRo6qKZQiyz~YbiR@uA8*iijg`8MxJ(i9F-@pLHOa7t- z@Yud;Cr^#^*%IU5wzG60s0y}Ewsl##g1xzmbUbb&ulPd2hhi7i@pNS=8K^i174qx8 z5zCLUzhO8(xry+Ugd56@qGKK0AMqTj5L6~9&x$I1SRc?Yq46@+iVJXog$nu^aGm3R zA!nj$la&s&iiHQA_*HXphh?ufcspb#-=5Q*d^_ zs=m(TX)Ss&cscROdZ<|C7a)M*&LYQ;qQ4B`vYuThHkB3FNHV9L52{3;# zd?y=vl`uFp*jR!EP*Crc-dda&+{!is`Om&%=54p_@MfXY-*;fY^_v_y!44r?_GqpI z1X1(#N{GQrNmCV`#hk#&Aw4|G24f?JOozl609%I=a$)B#rX~K;}J&+ z&zl6%t{lI0*ioL%*v++jpPR`hP&S6+FqOEZ`$&CeGAIqbq9=R~^en#YY(D@MY+zt# zV{-`lz#=NreM_gXUQonXETrc+xJCu)+iUAdQ9iiW&-gM6p_QAMD=>p%;p?9&mv7L> zxeGq2y00R8)l~0ty@0;>Q!~%%@g9~?62O6fV4c*6r4qcDfe2hx;fk4 z8fQ&2n`2>lwW@Di1eHo2Z=0T^$s1MsW$=yujlqhW?{)BIhW|YE<7<%};%>|EO@9h5 z4d^UAPAT{3Gg+C>+zM`7e;RF+vzxR_bY8GZO+!+oyw@ie&^4Xwe3F|N7BxP;A--!L zYUI3f?z>}lCJVZ?bZqY!rN34cCz&dGvpG%GrlV~F!0I|0L{MbelAZ&bO)U1O&OoWZ zrVYm($1NVs94>V2qDk}WFVdK}Hb2@As@^nkQJO@gPRn>R#~}>WKUOfpzDVgJQ2{Z3 zbuJFB;oyfCM5k6Ck1O?f^nCIBy!-d_593vz%SzA#tMZKY$ zZC(8}w6O_5@qtGq4HnLm9@JK*PT!#r$M+>GV?uwHX#8jH!xw`M7H_j*B_FOm6TdBK zZ=91foi7MI<&`7b$ZX4`YxPfZ1f@K^-BB)rhCG}}v?3!{>Q6py=f3WQFVn+XVGh#f zh>bK&c5>sF6pCVADIUcs+M@`gA+mKNJj=4_U?(X!710<|)ho~rCl z?MswAzRes#$<7o_^EDsJV8*E_U&VQ@q^{AYC2RZom+9U1Sa#*Yf)7mp;92i9J1cW& zL#-b64}!$xsdWMD9GW1*4)g0BrH&3AbU0G2_)qbQx7oL4>I?UQ)_t}YVNiFga$y{2 z3g>C<0UY-JMF~MkiBUDJ26(Csf%46z|M7Jf5r>B~+xaC~1a>3hPkaxHR_qt2G7cRx zPUQ`I9Ly3~sx*IV=As{&f8($o`0x+|`TIv7{-Y)Se^$IY|IbkY|3!W&amK~tX>0hc zUekPbv3-F^=8gIF{!E}v>X0pcbiOOL->U3qJP>W*?V>85nW0!rPVadvxiZ%tTQzpP zoG)%@W#=P7`kc&GnjVv-y!jE^0G*3Fc%r6>yw|m&&kNGG7T>P$xny)|{qIGo581+i zCX(FWGyTBSb~!gn!d6@5eMye$vi*G|U+(wxe~~atp+C4GYj!)XzB}XZTm65>i?nUd$*yWhaL}>j)k1zwM~d`mQb&S$+k=@&L*v02<&mOO zyLg&tTH?93W6$pO&RG6uELbzysu?y&kj~veNvrs6u_LV>h^Qjg0wQHA-U&~orZQDv zf-N?`zD7HMM$MJCP@%*Nujsy!CyuhkcT=k4Q=H+9zM7SLg>4%Huq@Dz zAtX7|k>)a|j+v{^Sw$_AUQET?U$!Yl4%)afEZD=gGbFJZ7+2@P4_a$+yRys!DUjcj8j?v?e$#_b?#f=;<1Vw&&t9wzD z>(B)2hLtdKJ|f{Di7o3(iN`UEWVs;SzDoI##dV}L!p`7{-6%6kQdb_sDkw_vO39dy z9{T`j$i)!(`aHcJ@bIazZEVf3T5AvgDQxz9Sx~WASUq}dx1yr<7M-F}TA^Rsw^0<4 zrK)}C{8CwV zlcVm#KA-0f$U+*%tEL)n-A|*pd?#qwFX{*TtmEr~ zszQ&^B?i^zbGjqc%=ix_i+2F-k{BKTml0*W`|}#KiQY; z*?PWV%1#qiaV9P3ye!w>0N>&bLK=FLr#n$iK*mkH#YlXqZ!iJ8;UzpoaqG-u<62t# zy(bA|waqluJg$fr5y^v_nbxzxx+I*S_wH$T{o7v^ZC|Z5TUG1tw?N@9DUXG6o4 zcu8#_(Z>a3ETV;d9#lHK3n<)c0|op-qmC* zU)M+Rr!+s*F85l+&dR__I|Oosk9kgm_b~P!ro*{0U<5D(Fw4Jz2)TWm<(swTz07*) zV;!h!TFpauGuxOF`1GmSk3Owk%7h-3Zxhz^s#uIFPyHRAGmC+@;fdd zQCm1^XW0bDc3L@mUWjeSh$O9ukYrZ7P}DJp>9~F;S|9x@7jMg(Zt?o+s_3RGBwP|B ztJ6Kmd|A});bAx6rD%2!>hv5EcpmJZG-5f%rMBi74cX)$Gm^W$ictSZBgQo6{S0DR z)iINO%r$_lFq`KvVg=IFn(<>bSyw`FON*!lNyfzTc$1g)fef|9?@;pc8&aab62*I} z*$7pZs(v6ci{WsnneDj>^TCYlWJj*PBlsjIuDlPzGd_A~4m*N24-?F3Jp9t%cJi3@ zQ2FM_?oyUilI?JPtte(+A)h=3HmkDHjU#3-TBo>^Yz@?ddGbvlJI4I|8|J(ozhW=Y zIr5Mw{ zH^d+g7cIhjMD*N)NH*u*!>{S=aK&7jM;5GVbx~vD0z3!mooR$vf!o6I7f+6USQ}AX z>xaOr|Gs6^rJ_>rdZ%o)x)?AF$_WT4OUhy(V53nZR#C&&d3*k3t>+URgvieo_FL#5 z42NrnD8bRUfjw&JL-!=Op`ofts!7zXhTMszBTnJgc5ODdDtdsr+L`_!gSu)Vx_E+u zFF;4uHrCz;r5+j5u_!dxH`&Q&q>&idaHHuv2yU2{4o9yc5r`Kg)FCOIY#1f5vuQoIBhGWE5n1)la8c$r_0qGNxU-ZPbleM z%Dn!DR-U?7GJXv#UULZ9MgVlnKpWk5{7=LRVRw>uds2w(?vg6o-L)k?M5@2~wi z$~l~bHq@MKl-G-5EsLqt&PPDmvUgtsMC}XHJ|v3q>65)6UAuW=NCfK$|)X}!X56gUY#o_D4 zt6drh@S7|#xSbD^>qesR&&2%q8X>P9Sn$B_nyrG}v_P+`^AGYqe5hEZ02-pcAqym_ zT+MgU0u`GjG4;Rd9%2J8N$i;ALUZ@c1wX{4JzLJ4rn>(^hX7*W^u!9{Z@t00ZiuWj z4g{PWE@iUigY@;W=J#rGZSHZa?9`i@RY<%m&#sFt>f7)Tx$e zS$duyxmat|RSRU9))sAPvXiyglWoNcyx_IfpZAN%9akcZHr~047F!-E&79J&umDF! zCJtV#oByjfiPH>rIe|nT6C4q*nm1KO3hD{&M88Dyi z+7XAo!|<1d8LzIn3JC=|)-8il^d&)`D-#RD_*gwFPNzG}XzDOtEQ?KgZpWiJ6uc0$Vt1m7^KP$QF4UqAjX z{|qPS;k`~|NewLta|FM*ZI`H(k&84Ejq165j z+&uc_kHIs}^_Yx#Kj}n_|j}X=TR1kw4QPZ?n#C4UVcz<~upPuP_S>mdu8t{O8NxEe^l;IVmZ}8xX#H-T*#OTZ zfbuD0U4PsIhtr07UzDQOfatz3)R4t_e6Upri5W&suUwp7g`_{(m zx$>|&quz|Mo{Ev~WEDQ)AGsMPBat~XljHZx839_N zGp{Q-`=&Mtis)8O0GYHZ=lmRZbpA{o%c3wziKY8Y&dA21u|KvX!r|>RB7>jb<(wp9 zlrg~;w27W0Aq7MKV3^*A{0I)d$GfC74kz8z0LDP8{@)rTrl!}{W=dqa|IgL@zpkj@ ze$ZGUlTv{2oqWx9(1Yc#w6KYr5Vzg95~@X>4lyl>v)L?Xg>}RgIkMRb{x%jPYX8Ov zVHA`u6l_elIC(a@`#m^w_jpIV2WPU*ZzEVNG}U4zRymKv=Y2%}cjuXSlW!6x6^3P6 z6yNLylVWU% zXttxomahZaM2bv9SZEs_A!Y3>OQXhzOL$Og*(guMs#)hwh+U_l&>#tvOJf%Fny7b7 zji@mRgQbw2V6;wNkUzkmh!311(GIrw5FbuQT?-`+;qdYuFeT9~1JB(<#TZ*3R36$SQ1dq3>UnQs=`R|sG&H-(j_uqYB(#+$?$m%6$W=^k#U~(q z5%-x*h@b20lHsc=S`&9yimk!~y{1i2_^Z5=yMuZ^Ag z==KjlJhI+gqkJy-ngbgKxs|& zviK|i@ZoL%RsmZ!au!zbLK@eniDl5iDwhIBg6FG=uncJMbrrZ=$SmA6ZA*8Un4(MSG|j*IP=?wFHQ;iU)YQYxcQ#s6=TvcA}pVIS#z9L z;SG20<{s{A{VRZc)9x){2QU>eJ_BFqgk(yO9N*v?xa^$iN~Uo4Ar@CESd&S!5GGIQ zlwfZ}$-PT+n1^6OVIl{Yx5rx4xUr!5f;}wc!idG3g>@^IlOJ=GPs2;)B(w@>YvlDQ zo<=c~-*w_!1tM9FZ~E^>nz`R}Df)$SSimY}?EG~>&cK6vqxkc#2Sdqi^cZ~nbM;?jSOd=dE&H%>-0ZP&l!1s# zLBs+{@|4yD$PH}J%E9)-??b~^tjZ(~$0)WuNWlH!Hq-`XYqsW_VzbJm%~kd3B<13* z5;28@2FF`W5?cfMuy+~Q?r6HNe}f_?&~&wQv;WcQ^krt+5P$AYPoR z%0fLk58UDkq5G(SJ8<}e$kZi!K;s%AsD}XffEK>TKfl|GG6q!&_#H{wh`%I>`gBRI z^Tyl<;%C4g?W(<1tsS-Tdch#x9b|VW^V*D8xs|5LL8~Py(fD~g#jzv7j3glj9glHv zYt8QU)g^vKd2wD*Syfe82@peFl389|Uv0m?@8D3j=}=NumZPtnR}u!|M2?c`zEH+m zW3`Ii_KFhVVtkJAs4zJcgA()uL*&wbp`RZW?o*}Y8?=XVS!(i&a>miWI9VO4Des+} zA2I_-!%8iOyrj5s<%W4F`;Nr}0#g;Z_R})qTkK{X(ZPkklT+L4wj^V@3F;8XJ_nSc z+On~Rx{nlO1O&QXHNVB&^* zzRS)2dimUM zenB$r4LD+y9Jjao#bAQdgHv9U5t$fovN7q1$oS#LiE4?9tF4<~QsKct>p;iO+{Ck{ z4l4fFP4v_9GwJtsZG5aZ{gd&ev{Gp}kpr$&WkM0_#*JTIjoBWR4{mEaj7G}z7b=kC z`HSP-VEkMuxrV2^R9CSzDYQay&5WbriV}wV=3UPXzZ%>1a_Jbe5$=#5Jpa5_5;;QS z0t6~O=_xAujFLdBmPTaeP(>X9c@dc~zuYL%3fssA-*6D$-0;_W-H~TZ67C$LmUW7y zgee&BYt}GVI!;ZmW47{}S~OMYv0RAhiRe{8pLSF>0!*49LsAR(^aoYa>sEmU?v@=a zXf1@u@>nqK>dMM)8}4q894`fW;Ra$S`@Q>}g_;~F*|Hvc2s>ntuN$myy_IIDTDzL$@0;8Yzb0wvz536-HyK~24PNDfLb@^Ulq@96+3}aF zTxfZyRrC^utPl3-bR6blG6L~C-i}b64QOy z+7kj%3HhnEmKb`E3X|;r?Ft^q_q|oV8aN)Xup`>up3jOb1_rcL588iUzCcQTo;0(A z@y%@Pqz1XD>+?8U?T?ueH1vQq9UK$&@uEYcjz>Q+dzeQAz}p#M`*07r=`r!FQayt#gB?e@Xh!Tm}IrVxhU= zWfw4);Q5=pEWdE+Qh-u|EjHTn28YWcC$3V!ktwe<{ze^5iMn5vsFKjUxDi#^;N*Mk zqDgub@RE_Q^Gs2J#xqh55vyR*@aVlU8UzK}rUCKjSm||9Glo@~CyftA=ywR|!T|p%JqadC82~Btb8Yo5!`~^> zt(6F&ve}$8)M#o(YD6)NpOhGZV<3z3iton(HdU-(17g~5-l?#Z5#J9pj&T&AM~uuK zVV*;L|G{A8*&3-kL)?U1^5}W@W`Cj)TFLFX+SLSHn8>xH_e-LTr0KV1Ym%cPw$l7YoRRpcZN~MbhwhM^5h>|=lDb}yPqMrydCUDkwNQuQnrO{3)N9Qw zB`-yl;UlS5dLQHHt^#eS7`euVSCn32?0glKf@5GhrZN8P*+6cEW~jS{$f5U#OS(g% zzRp!?T2%Ygf(0MXtsW%MMw}m&Ip=LP^rNKPi^$N0ruAJ^O;tq0W6!MNsAub`%i;lo zPqp5*#wghGpPhWL6c(>KswdN9xRmb)#etb84>{QA zB2=lgL&aNEs!sLO}^q>bi!PVfQAEV;dP<=YdW})C` zQg|+|l0P#a$5ACp>d`1>;AfQj>wf%OyL+-bI^cKX(_68su+TE%B#oPEhUas0 z!Rq7zPUbT)fs{WZj2t`VU8*^flC8s&OUgQ%mLx&ZRF6W8CM?+gaAG`!t=<()Jtn~* zq;UmS`tfu@Vd)7`Rto?03|L2Y-b|M3nf#;z=u#Wp>f0`GuC2B=ts`-XwDJgl$H49? zax)G-d6^`?4Rz5n{St`C{q+L#k%)5XMc!ZyR!*!?XPjHDcZ=JF^fKbJ%|962Yng#? zhn7>QVT=;c>MuV3_ zXkI8xWv}>=u~?6QbLp_|$M_S^@#miL?dUOg2hUgYWKJ}du3;&LpE#dXQ6&j{GW$4G z7^WET{5YXe$eklf+2h{^a3<(!mDDMXH@Xc5)t}nozYSXOzhNdKjE+?|47y%kycDE7 z9%&YSdiYwzQU0>&``-d~+r0I}ZpXxj^&j=V@xE?1ty~*PYt9JZm?My+|KwF7x{roh zg6TGse^k@cIFLFR~bY_DdQXuYX~ zn(jut>7Ni6JPTDwkn^7GlmJlHY_FXHV61V^2JyfRUwI#k53UA<$I?aynhg#12 z!=j)xZ<{hqi$E1d2MAOuJBf8mO3n>5m{0j>~3*;kSg|i)hRt{s|mzsn! zf_b1Q-T5IBtp)k_29sVC=-G$&78D(L-f&tQC7u~L+ry>?oPg)Tm*B2We{99)xBB~WGkOgU{8 z`bT=~dK|xOLzBb^)j5{cW<+x(@POw~^x_V0G#h9)t<&Dq3hnl;B?O~PViC!@K5lNs zlL*w30^Mqqsd;~o)<6!THG?XL4H8LEWG3kH`Wy2X$}P5hIlde(!0*FQm-g)u3JfV8l{#=BUrPr7I=69B38nt-EmXFXVuedOVQKC$I+y~06sVvzxLycfwy!}&Cvl5T43!Q z*r$KKxNQaItOM;JR}Fylys*0(k7gI&y-yhd#`e8W{^#X;_2{u%N_1U^AX@k2;WF({ zWWNgNkh^>}t3E0xvOGuBV8Z7i%U?$%Sf#!KssqQ{&o_-d9#ymK9|rR?0R62lv5lUK*Rqdb=>Z`=xKq(_!q7CB*1AQR)!Ru3xuIXP!Wo>Ge1$c?k5wW{BkgBDD>^LfsSqr=7SP zATbq4|D81By_^R!#!~LHaaPvQz~ZOeXkDJzUHd4lTlAX2)$4?~cb9uap$E^B(j{#4 zIf(UiWDU{+VuHMeVxw9aF9a&<9wW_CTxEAT@A^($0T7Ki^ALF}HG0C^`&9rVJj(?4 zBLx#xTjTt9?1fO4@}Fb}G5t)xE)TbS;WwBoSR=Pim`y^<(9I>4J zEYb(xO5uKy2#bCib611I$&fYhh<$nK;cmNmDHta_Hhxxx=QF-iwOqN) z^gDUd-XE$BJ{G*sfpRBz%zJnITO=%6LxWB>m)mvFt)4W^3At5y!|3Q^iSdI7{h=9y zjruz)yMkl&_O#rRuatFqo$jPAG^T`W)v>QwIp4V_pR9}(1m6U#eTdSt7m6l{xlXZ8 za+~wA{MemIqx`_f?(jJ_kIi|8mXB9D4d+hWLF;gSEGr%>cEu!6vgv|%NQlwnLw)+I z$~R+767`#&B>bC)1yT90>$@1IXWtlTQ>wIV1$N(ww^ni=yHyR6KOd}Td!5Vo#->z6 z6pJ>P>iJ{(Q%UWCd#pq>&`vpd&WnczTl$o-pj96J-h+R}{euy9ck%=P3|s&aYmznh z4*Ph>s?G;3gKIBWDOL#k+9$dv!h~`@Xs6r5Mjzb$!DXp!|MR=FkJ?HgHXH>hap5*T z%9v`YxGILPLtv5-%p_0(ebSMP#MMb!TgcX8U-L!0FxcA?y7wOB{$rL*IfeA=7)8`% zqOn!Up9UBV)b&_9Sd2WxG-&)5bqcNEZ?9Mjj)uYX8Oh%f=jD%BQ%OXaFq&Uze<-8R z%_|<<4i{2lArGBZddDvB>a4ndEjuW+d|}rrz6~1~M#wL`g!}TT+a`tJLm*^+` zf{wSF`)@SI7G-qj9&YuZheP~NI(LN6n*)OqR$DGY^M@4PH4AZ1k-8a?%`A%8L2vbW znf#fbNa{Adn42A-{>i4JMgO)Nxw3QZwzSf)M5uu9mv&9-OMH^#;2_62q3*K%Nv2#G zyFBXCFWjBP-HaWG1m>Rfco4c)YNiE(W&-HVS4g+Q+y@J@sg+IA+??7yg zlq9gk*0#KO)VfX}`L@iVXxQpv@rj{=|ACEzxl#gWLhp}j0N%v{M|d&@*3=nEAQWaD zdGKe`w`GV;qmm2rKbg;wVBkl9dK_DH!G%6Zi^!c0i-u44#VIt-Jr{^SUKH|P6`6SLH z<#B^h0SvlY?N0X7^MkVx`=FIQx-dzewmWFMa0LI8XoO!UJQopdg1HP&f_Vy1RNB&! z6dV7I95Rr3ucZ8g97mUbk&r*o^SDGXFmKdH0zN_=I3zHkn5=TTsr7dB_%xP&HcXMv zo_~6OH*QrZNijdJL#1<+Wykgub#dO=)bY;sx08FFn<-qO5jJL{ts7g^z`I^{N$d^ z-1BHu%>;=0OdROZl2pLG^nuY zleF>mhPCfLy6&&?4g0?{{N@YCH!e^CL2IjcILD@9Q`I>;(-|l3KW|^_zOX>UAc_BA z^mJ~EuB=!s(y>aZI^P5Qgc={@&xnORd50>3zGbWHWm_dn~iv4B@AS~)Y)Zwl`E(^lF)sjl{_+8 zlyhPq5y%~PBp=z8k#yJDaoEG4wz%u!jt#i|3lVMmi;ld|MlEwVl^?1T4Q5|O=iV)q z9Vn2AB{jW>rc<@^ms$!-H2s>V&fEV4ALHio<&9?)YkS;vZy!~46=1o*VDIzj|6zzq zPTrkV4Y=1kqa$l0x=G2sV~1oM?r)4cmo9 zPqPM+-?eHAhfq76qJkF)lqKbxf)zIje9irhAyRR&CRieN*FF){MN+}^Gi^MvzD!+9 zK{=nZgyHgaVM`RDzqID-*G}sRPYa`+gXC|oxU9Jd|&>k<~fqqe2xeng*;etlc&XUh1R;^75>FNMhyI?p8B_jR~V zOHM`x$(PrTgCkHdlOaLmn3pwclXTIWCyWmGMj5I_d?aihjxzhUYa(&GJrt!_@=+61$#s>$PL5x2^Nc3W$8bgN8!$4yMi3De(9Kr zOiS|jhi*Nxmu66}^@(=rU&{Ib^Dh!tfnAfr;F^a5>dhw9Y9C`2ZSH$i-HRD%YxJWn zpngm(PrD#N!Za2?CG5_;-OmJRyo))?n^2doY_b|OL4T#!KV)|U$2!gqVXyB3s3@kx z)$AcRweQeg?_;2fyxDYDR|+emnDx7~g`p5Ak#|GXdtr@!h4+gE=0H!;cYx=qx!f+H zja_W)>PBsi+kw)b{-?1PT`b=yb6;0x_cW=1RwyX*RjnU|SeykroSfv|lmG8CkpH)p zxW)g+xW9s~(+X5Hy6M5ZRUP0++~)oEdw`Glc;jM`|ExF^3i-W~Z0(M)EnqYFRv7B) z?5fI6QBXEAinP>oAk4~QrQPK5kj&eX_Q_pxX+3msf(NoB=4){#;y65tVCk{pv=7Cf z&nTg-Oa?zwdx66es{jCMYl;3ovHBNeR|AmPFTvgh0K%eU1A6;R3IO2tb>63#LFQL~ z{9WmJ(0+Np`49oby}uZ^hyg00Y(SUT%Q>id=mTIsSYY_tJ+B*ZiZ-ygYOV=(1^yb_ zlLsI)Sk8;W2LhbN9$fBg)AsR~ADK>%Sh{zc|IMDcbo-{!OlKhj z=p0ll%3Pr;$H5$-mDR&LPGlmRNyP?y5+D03H9jF9@4CT#8*?_?ZY0LWsR+0A12lA({fVk&>1_t-Rp~v~cA!Y*gosSs0YLJHZ^&{WA=_ZB z^N+y4fy!h6=v2=ez|jWW%p>W}6QCz_m;Xj7q)G!ku_*pWJql1)T2d`(0TnmPIC0ez{s7QpmQLU`-B&M z?CVakjSoBn0;Pms_RQP1X-7*8`FV{&4gUx z*#>>;b~SH5S}B13zMH{f(e-Y^--fMj_s?2-%)V`=Yj$ud1Et25g}o#Bde)Rq9d8RK zUy=KbG+u>OzhCs%R`zw`_>xIZE{vy^SZgETfS>vNjVxXjHGBuh+?&mlkl^CQ^4TR0XJ*b$obx5*P%D1Pgp+wgYlQ84H)&Wv-r^Y zU+-7Vf8H;EKf)Bh`#;!w>!>LIeeWBkkx)tm0TrY}x1HGZB&AEbyBS~z z1?iHGVL-ZD7>0rIzT9V@{jA^Kdp&FY&b{vEe)c*0Pi8SN*L8j88?Vp%^L{@9T){=c z0S5!I8PnTHB>V;|$E)kwd<8XB-L>y*^8d_vyQwa~f*ITO8EfZ@75|acCd|UO2@~v9Pb*9`lU`_kOI5Bb&^DY9m}H1w4DUXabevs|=2g z&6@}>V7-fjcrY7*5wa!d8&`Yb-GK=}6$z9b?4D9%Z5hnlWOC8)Rcw81qQhm%*te}I zrltoo&*`kZ2w#}NjkUasOR=&k_RWKcDW+sUOdUV+sW|dGaONEZxh{d9C*StmwP;TM zRK;wJv$%#?wxkHy*ffbT#hzWV)AixM*9f(^0W?%Es0jjcfd5*IvO}W6NmQD_4^=M6 ztoZAFBcrpw?(0=Bj%bXdMX%SpL$+BVbz2lgG6_OYBOgtK5LoJm*DepkDKB~KQoPz5 zmN?fv(AeM@7*B2#&GZZk-vrAG_P!J|hToe*mwHBdt<%NHQQFYesRZvIQaRS254c?v zfi!?sjAdk`J^WJHwe=OE$yilLldc@>2QLL%#FxXe>DFX?=t?5w$JFHqkF++%bT?v} z#Y&PrmHb$rqiOKs86-aen2p_t>pQ*4PA$T6^Hp1h+6RU zlL&(F4d}YwiIr_|y0fh|Y&)4mp1$oCDjx{Wi_pIxt|osbdhd>kEJT4JG$q)WEl?YK zOO|Ihr@3Ws%{Awwe0(d%bdJlxSGh#V?0n)aSL548Cf`dRaC`Dk?kOeB^bGtk*9Mzm z&14%R6{3@`%4L9_=w*e2Z^#kXfx98Z$r)??d6}n#@*MhCyf5!V6me~uNX0qIBNOa= zwV)qXU*(qCxvV3m!Z&B6twCwvkq+n?XC+GeIPerkb>4PuFD}5Sdn9&X3+KZc8R*ql zH&#rGCVb6Y_bN6l-(@XUZ=>;DceMV~7@ff8%Md7pP;J?V%5k%l-N)@+R8MOxWuK)+ z-s=(#%1TxZoMA3fHU(J&EBE9rcJ7Q|@4J$ZF}YsZYN(D^U<3Y_f4|fnG5+Iv3M_bk zTTsi!A;^N-K7qItteT{Z_bie^71X|+5n*SebSwzL#p3XBBXDe94Nx{QIo!jn<7 z)r>cURp-Fcj`s@X?9HabgB^}|9+LZQweF{0r7^yk#LOrexd^aGQIpZDaLgy@#AalW z$*_%lz;me`@;fRwGb8=o`X&$su?;3Zrq+&$X zRypuhG-(2JC@q)dYbSc-(2Li(GsXOzf}*$&W-@qz-2->#|jD7@*5{P2O#MjPY3 z4S|B4Z8e7n?#Au2zz01Cj337rzJ;hyM1BLV_ET5pGB#QC*Hx6ln;kAehX8Wchf+kU z7j7~#vHhBIa#9K;O3n1i1;xc5)RlO+?hP{Lm{G;GZr2KEj=H%Fo{4>n)lY*NO*ath zWhf~pycX`7bwaV(3-gTpH@zuty9QI&*s|t3cTNqTAa#Xhs3E!pDmDM-D0cEIWl|-;`sY zS=o$bM!gXKO&6CpBy8U-I{EB-+AI0Z#haUvCWP5^r(pN!u-N>FoNO&2to$_2C;cFd zE=EOSz!#v4SUJDxB9|FJ7vEg~bkWiTpo`onfG#dd+$O+4v(=xk*?UmxQ@6*c4**>x zkoXH-H2+N(R{^>>1O$oVcL8*f9}ufqfK4wdY68dsy2!MP0x;LBi{pRtAAnj5mMQEQ zl_>{AQW(};&&+j30c0QvXi5VRO`b3O7G3o>E}#Gy@U@^XfD7tt0s&nW=WlYR0tf;Y z*ynET_E%(D0741^sM+@pKU7c&$|VOLtp~b&ET#?oif$L^YwBN+&jf&cQua|z9>@8> z$$(k{U}HNbz`I^f{Xzp^TOXSQDwgIqY^wlZ+wfmtn+*WllmOUf&<3AyqCJbv0qzH< z32*|j{e=e5wsmrVw#g9zv`rhJZI-vcXe}APc~W{&0Icej0|~6qF!WZtnrygA(+cdwBQ$<{mu&_ecifOCNy%?%_y_ z8g%N~*ERX~)A;w(_;cTlyApJu__%ZStE?%il?@_)cPm=U3ti(0wYj6JZylL)h*q1t z!Ohbv7K{+G597KP6;@?~8>=xof}>4F^LGP23P~Lc9ju6*lS79-*Nlw2bs9I|tY7;~ zwy9^8k5iW3(fXWS_Pk?>X>t@VB1!Ah3@?&5@JNX1MlXATzT}Mmj%g0wLRod4ci#YYkO{x` zE~FU%c+s)}ncl=Oum;pebo~ybK8K(%P@vx#&<_R9`G7vWhifaSDA*@iJA|*1p<;7>wzC#f!X>XpYwO1 zc00gxgCuf*7@u3r(VJ1w_J=DlP^*FafG&H0dHRkRa2W+Dz@lUM00fmVx!v}UzAfnn;xR?6 z1_ITGosMPG+uB>?|I5t>7OW4z;NwxlMXlW!{2=*-#trug#75Eo;~g!Aadg*xPoo7M zS>4LH!ja?p87qoJz145MHjLS;%h{divAz8$av9o-=i0$ z9+}#uLqEoL_>YKPhUW+Vp|SN}BPs0vz&HH&SN@a(Aq0#R<}tOWgoLwkN)E7hS<2CqiKI;EDeHasCPY0n|(O25aEFbF;w&O^= zBD(HF-Ohf+4#KX!MOIyW-}>PyM^7mK@b1=%q}wq}SFH?fyEweKg63lO zb8zgPQHjC1iS4b_+wP!7(5ZSLJLp(p7IlR@oI^2M0pA~`+i_IYlDnJFFEn}|iLF$G zFyfov0j-SK9}4CF8ie9cKF=TWXbCUTj1s?~1t>_o7dtB{$Zmw7Y`ad%2*yuWS|K58 zztDQCQ@(A0bq%e67(yPX;Wi&!(A$ep+?RMktSYPrS5x9+MSixstnKcr7h(C7ACniE zt_2RfmLmHzTfN?Fn|N&r5f7d5rn8$Va>-&h>-v(ud6l?0Q$hDEUPHyDwKl=#ObP2^(3Np8}S)0*yAS%Bp-^u|B@hFit{+sy?T8 z?H%wEhQwyP_ca8MoG(hURp~#Hl*k#bP#imYj5XJ z8gCXX#Og{iHASB|vU;B!GwnTxYRjwH$)jsCXG?qfsZa7+8V4^2g|$e65~JNKKVd5L z%g_S5W3IR$W z?Flzo0At)+BoHhiHo17Y4mo-p($?GbL36FCJqX9whGn|Iu5_xnsan{^=;dgka#08C zZvUmvPgh&JGW#;y3LCpOmHCyyl;w(T`URy$<;A5jmchjt`Q+Xu!QP*_g%c_Z@5_s? zx6*lMttI0P6b9quVY~?@l*GoMp%(l8bUueEQ1F6-NTk(|wL`eX@<(&~FEqziZXwtO z*k<*MGHW8mZo1|tTbItqp;7o@mXf4lV_Hb!!^iPsRJWs5*`vb`;Q~C7eP#HeEmP%X zP}%YgwT$St&Iul8n8g8ivw!4YY@-abyuh73A3LO1SUhV$T`Q-S13C zu_9KD#>If1~ed@_k99a1C`ejmNnrg&AAJE8%Sm#KyY&h^iJ} z8}wzy!W$fEaA9CSL-oIA8cw!()Xyf971^qrh&gpe_8$PzFYnza+C0O6v{N6?%gaS* z9FTSznc;KdSA(4dZH;(S8KEl2JKx^RPVN3~=8M#~Q40P0dm3`$D|Jh30wNv|sB>OR z6aD*B;epVQSLn=7KD~1b>8}DjeM-ec?}N<76^`wN!LMk>_h=RlSnw)~zw9mdujmc2 zbk$Z3I>2Ju1Y|I&U4mKiWVH1|dgnB!W-@>PIjUL^RfWMfS_@?O3kMP9GOYcM1?cPH zQnm&;DzY-NJM+XWIHkQZ!c_ree~o2i1i$_cgHUmDEH6V1T^$Z??oUmthQPcsHaMd6)`Vs9C)ua;mI0*dh4)l0m{t?<|W~l6iMN#j61qG5fWe zN%H5D5G0}R3Is($ee!VCYe02#CgqUu=hf)cZEKgk-+7(qPiSiGr4_BG1<3>OPV@0e zxv&Bc>5Oa`lP=w}`fl~dEW~X=R-3vjaxhaDgc59?|Fzz>N{{p6oPDq)b^AE+zGtGk zFwTtev*fZzqXc)VXYe%)Ap`GQP*=iB7y}o-yq1&PXBCIh&QGzw5ooS*~~| zXW99f*72Zv#<|I%uJGp2`$N7CWx>Zgc|Mq~-s-p%eCK3Nlhey0+GS3~VaXUdFa6)2 z)A98&NnTU@R6UzOndA^Q!^o_S{XKWHQXuxuGv?gD9@h2EJHAJ4?R>@-9!%lJ0dsp2Iu`Rg$66+uxtQF$Ya$1C6D|>WbkW#n%qc|~nL8DgW zY?BzRoTKyIQu%2#W`swv?hPwlZkwO^aa<&<>l9w;i*$C#?#VlBf!wRRo+5f5PSg%z zsbCK(%PSl`5`AJZX0>y2W!LbSK>-v0L2{$ygkgS{3!&W>^|<|ZwdvOA-jVUpM3w(N zzi`(RXy`jdS%fs(zNTbl#!RV=dRsY1iVWHdyLjacP*}(%QA33fq6qNy$aSQ>(K3xP zMNWEjwV*?5ZeEWLqf(JMw}vgdGTS%tfJIb>>Bj!{gX7u&(mx)A{wooA%eQlXNd*1F zzViPlw*GV52d9Q}fjX+PPKKN40}E(t)*@1=MBqr>a}0(FC-ewgt}dZi!eAw>(#|aB z*)4pJW?jlmQ#4cR<^|2lbzVXaB8A#xmyDcVaDHUGw7rh>5Gu{b^AcD!$e`3L&^J`p z;A4&LNNF=1FeD;A?emwXL-mPGlE`E_ulA}p78`6RMm9U3xcAly;|I$N;Q|+&_S(< zb%A2>*jC$eFPa^S>oR7Z0y=f+Fed3_UXEu9>$Z{~rkv%dhx*e0XAW+z>!ox)h^ zyTXRyXTcL(1`+GicT}E6Fhn9)Ucv81?rk0w1!&)AeuBR}khmxKUXV75kF>IS=gM|v zh5CBD($5}zxlCpG1L-{k<-svce$B5_(&-#6VH3BPT_~vCp3kjh(h{A75tgW4K3yZEJhWMQ%s%J z>|>+Ix0jzUT1sx7Kit+G;h2MEg`E1;>AC``sIaW2GYFVy5t4ep1;!_)(i77P;?KM&S?MHE3^;azwCh?MmaCGLTz3woLhd|B{7sMlCD#)5C~%~ zkyHzpFCZ^1PAw~*VQccfNB+^9*j{yKZY)Q1Dz0p%2Qd(bq>LL+Mhzm^=ik))2r+)} zB(8*U*(m@x`0w`n8UI34vn%QP_ASNxEXOhg5kB(7jx3xLJ#{ClsaLYGKI8ay5S zyPPj*jjfUBVhs(3Q*RtAX%_s+$cgNnGSX~TFk_%~Z@7}uE{l?9o)x4CL@MpXo3^%( z*7`Xg!UdNW6)+x7%o`ige%AQgvf;Z-)bX&iz-?fhQw|z zmsI(t&tXRLCcotr-VI#OKOzzoc1$qQ(8En1wO=@!0z3RPn&aWiYRS_qmr#UlCl6$- zh`+~Kf&&|Kv9+?pitn;s<<6*N2I;F zzMYgFJ48|_NaYrJgzTY}R=pJbRHbQ5!(4@_f==?D+g?#~+mr+B0~ld;C;QSB(^n3x zgOV8sJL?zp3RFG}UAj6L1y1#795U5wP4g~{^vN2hN~NNRHG9>bl{4hcmnZYw7dwCL z+}g$KM%hn_x^|d@L??SR^YB(%vgqY=M(gU5m0t!K?e5GwofZd%sdcv5Fz@;ADo@2F zv&L5cLfa1S;f$6L6{oqRk*dA!vgem__rS8R<*$a9EzawEjZF;C9kev)-S)_QioSQ` zi^pP<7whvp-MmiKh@IZ`t!~ru+BvvsdDZcSqsS5ppDNgJ7$^A~cm9Za0V@h=uJ8nt zF_z*ken}W>grNa`+<3St4`^fal(8!C9q0ur>}nI5u$frnWh}cldW6HpU8pT29FW}1 z?N=@;rd-Hcg=KtdjyvKImv_bA2DTPISwglWZ4ViF-2%VVWzAy7+;1t>C4G4B4}!xgcGQzC@=jcwon%+OrCd5^t7?!)3c zO^>bqM8>2V|JMuDA7FM1ZDF<4{SnU8jgP;|3s49M~H1(E_Yt zjt)ik-SK9Wp9_^k-gX7Bs%5e8;}?NHx_)lLC9aK^Kra$PL2f1PiPcM@LU4hwzG|Eh+X+Q^-2#(O=*&OpyU zuMq!mEUq2SV|p&paf9SLl~_xyv8UW)BNuUfOKG2sFX`I!goi)lE*f9$N3*66q;rq` z$472%eJA=+q#`%N;!<$%Sm*B5Y4nOn!&~?9{+Owjwi4xh;w9M{c`h;@R}AO zVVJUZQevsLJXRL*vXO$=blu)F!BHjx7F!J!!N>=am(!1Fnl1-Doy|9!`LGQ(!DIWw z9+y;4l~TJMMvA@Y5}@9XlLYG~H@iURc)bBCRqExh>r4^}Y2o_r0bw{%i4P%Z|)>3;bDt!IKA6SN4 z)cE62ES$YHx4XhCEA(PO5$W5(Qr9l1^%vR z4Q;@m+hqNfs-NzmT-hrSWj6@=J&A+iO9}?K0NKPn5)=(8B=D#^yWbDkdH-d-k`w|h zL5>kXrBJ-aFddj}eZ{@q!vTiMiEny#Hvhu8y<{M;Wasr#*^>K=h(>;#wYK&#{xWi4 z_2f!rhFwZsYfgdi^%EVl*Ok}pmfa$ZBI1{Ub8eg*eqc_BVZ~wzv#C=`F!ysTwP*5V zu(hIB!6mCRl0Yzeai+z9qt18q^=E27CL7O0i8klPr2-COCT5V^?iZ-@-r)~02(61n z^A_l#T9vPIHBG&u-3M7qNp(3^Y5HJo8lfNV@wjptzEe#{o+8T&Z`%&1KC*F`=|2e1 zXemYFRN<@%LYDSM}#7CdFgiDo*WU-Qs zoy`_AN%~6SMVMRJrt9KHdVNn*2p@iU3wqvaLu*S$Xk>=J-C&KY!|1yr@REdnxeeMrS}6hT}ZEd)*wNE{p%PN$z56E$FN zI}#|JVx@1_m@Rd?+xl=R`}MZHen8Kb4s5$UbddTB;WGn>T_m5Ud7g{nZWUhXQ{nrE z=aOv7Pord0@+hjjr>CZ^55)YBff8)^ZHLl!KZ*?u)UA))0WCNjU}GiJhhDeLRlm^I zvmPj+HUZ2(s*1Sqy`}Z@^77spE^cm9THThI}hA-((T79$e@yf6xp58W-Cal>$)+*qW;1@!IX7*?MR>r&#NuG0O zh<^9Z@x7X0m9sg!%jYy|Tg*j0TlURP(^R%U;vPggn82V^pZfLjWb3SBVi$=uk>qjH;Z6|R`#{B`LiQ4E``FK&#L^ob--WNpb~je>21ASuP` zU>5PXm0`v$-E%^Ob5`Zm;mu%ID#PZUW1}#VF)8o|OvL)RLOnPTL}iSx5L(u~xm!-x zZS}lQyI|5b+vj-#?lv;5P(Cj;F7T(H&mrSegZ@#}m|q9M#qmt}Exkrw2E8SHi~Ex{ zEDSO2m-tb6K~|dkB2i@()H4MPf*EQ#$+)Nh4bvOuafITH+!b_E#CeOhKda>ZE^NYZ zUPQA7%xQQiZ|fqW$`@MEKdYf(;kos_UrtIUGCQnBIbHNaiIlV!ks?^^K8%tM%Sm~=uYv~@8*r0#2%X;FT=mw4?Q;O)NQqvHPpeKC% zLdCv+c)UXQpY1eSSdW4Ffoh+`wWh%@wEnGK*>TjGz|A!1>U7^I=So+w>zsGK=laHA zA1Gthq?#c|Xj>R>g#rL*;6;03w^EpyTQwQdBMDd=?c0K`RIEpCIERQfN9VG9j5ElL zQ%}oD_X4J3GK3X{NbZEo7$X9<{XKWNBfL*#ttO1 za`pP5%}vR1!06fVYJle?>f*Rf_-4-5BW$xO&RHg7=_jdr6@#3uuA~eb@e#p<7FwCz zTaA>G7nE2_*4#xYt?cu=mlH_?w$@uo}C1H~$V&WzzPzS`39ksW-hLFxwvfDuV`OO_vLFNQSzxgGK zeN4p-AK7e3hNQB;1O;j06cptlr3+G8SrY0N3PZo<8*N?oA7c(IAG`6xhnEtpv#IV` zW+;VAQSvuy%fuMAZ*)5q@uy|Jim?q3nEhcEgmn>xh&9xKEK$ zr$7nQ_ca(kPV3ZlDk8vnZF&T{a9S@g97oUrJsZ4TgL7kaD{rou|EN3t*HGU5m$n?m zE9b!WA#~OBDNB2g#Tnz-&svBo+@=-ED*9;(aC$wIxM+ zA@<>+pPK@62JF4nRnTgj1o$TB%?_}Ng~CA0oXNDqIU;9%wSmeLhp<-NMP8`&TgR%Z zsKKx46**M(t_X)LjuYfHb5TibhyNqalQZ7B?uW=VKg0m)*x*cOYA`{G zTdy%uftC3srKL8P?GtKwHSHjl8Qw1%V~(@uJ!_3acNpI5w9tTZD1F}TW+H|`-Ht9G zek2v$nbMlc`eK$b44Q3Q4xw^9%G1XhKTz&aQ4xG}-5x`%rDf*2#%!6AUu9MX$nT?j z_*)j!QRm?^im^}4heFv@Px6-e0>9Y^rQQA z=bT#V+?W*fG10YUsIJ#EDzR*r0xrZtL!1+i#NTEc7RBiXH=kXFP8OkaSIV?^HBPEx z@lij#M|HNU%tGGo;p0Q8IX>A^Gh9{C74LjTcBs0UGmjYmWIO@80=kml964sCEC|t5 z&QEB~Tx^hfG)_;gjl$G$F)Tdj21&%z+sc+$#*3FyZ zMw=y3wqdIbhUpO`3FVS6^Yhf3yow88l;X`-T4O^)N?zQ#5=LR~GIh76^lNY+4irkZE;DW_;)TiC{BtyygYQ-5{0*aTRez6JJAzb(D zKhkH}kth($h8iwQBJJUQmvPV)C!u>uUQb(KPC9-nZOXGJ6|(i11^xQ;(J8N(I)0 z513HZC>yDU7GPn(tD5$rGL(p=kDld2wC(sxKr7rHE&$`O*)~pD)@z3L$GsYS`7@S2 zni%`;BCF~%TTR78Lng|_Sqh4oB#jQel9s3!4MWTkV{k__^DPQ~M?!vD6mdu?BnRj@8Bn2-UqNE0_03F>Fn z+*aL|imqg;x0R6X+niOlZb}z!^>m>N@(V4XaVu^a`cPy+u0hK8dGI3*>m=y}EE%AX z&7no0Od@Y?!-Q>eyFag8mDVIUV~e7pw(Gws@3c9j-MlylRRrn+2Jx+a=*}Lq0s&Jh zjh96xoU|E3(?=b7sZgy~EKA?&zE6Ht;a65-rFP82(WXEBERI0BwD{E>7Q+W1PU{3k zFz74k`Y;Q1(z2)B7764bVXswXzIO$=Q1>N#mBPc*<-N!~+yAW_+Wz5u&51e(gq+m? zYfu#D6u4$VvPmp}ddCktr*5AjBtipzp`EOpRRokkO)uLQTj8T!2!UT{Z4#ZRsO#S5 zz>U=lz5r7K`yc)W9-cS8UE~?=w#YSViTS~`snxvo-HO$leMQ7Y8R$G5s&&~-XEP}c5;Z@24XaN~@oRbytLkfpm5zQdpYFv^vVCM@r*CQds=Ic( z1a^FM-r)DB5th_`-D%YxL%wFGE`lp;UP|}?qJaNerySEzJ)n0a_4T7vW&4vjiAF>~ z62Mg0KnOulcc`!c;>`_5$<==QHEjdZ)N|$%ZtmQ65H>w_SV_)F8@8lTQax3ILJC1aRdoz*4#|&N{y8}q{Vq>Pa-L& zu^o|tL}`h=6c=R!;^<-n#!x1 zwR!e@V3m93F#gLC^+d7|rQ*Tk7?tN_Djc4Cc)O23K6_C|{#GzxDIgmrmat*JtPTT5 z`Rx`i(YNS7)0LT`ci~8=$p{V)0@_~fwm@acmJgEe*h;CMkxab4k~jx%aGqTseYWMn^B1#kf z7T7~qJJfSJsAx#%rZAwGmO=_Mta3v_6yHm^4AOJ6#q-NO*a%!XM;SX+oPts0xTj!| z$ewj^wV#aE9!I{Jn4*Z=sky_bIkXiS^ofNd`{FrxgXDmhAG}?_XkL%N`<{ zoSfZK;Q{9{m2i?XRo(^T#l~DY($zeVSGZPQ1=U1$rG)ZNQr09hoOZDHyT4oqm6Dtl zgSfG^o&x=QIH-5Vnm6CUsY+&n66%*$56gukqg=IhHILJnx!kF0T&A4p zX?c&;3-^SLx{EvM>lw^+9x3XDGQjZgAc7nr53->nsiJewKW82yWl4K{2^DLR6AZS$X;0XfZkbJI$T79`FaaGzy-UTn*2YGw^ zonoi+93_7S8s$9mIFEqOi%0tYp*HsGUqb%>U)q`dr$*`@L{ooz;S5M7x_TXV4p`fc zL!m3q{?`4fV0fynC*l5ARc$D>sf;E+m5b9s=i7(uGLMmY)`i)MlmiRv@2Dw~pWF|) zgr;=Dv%entLuwsU{kOXJmWOaY-?L9Z?ZxcjUVhvYxr-Muh*2#=c|Q-^oX znb&XaL*@@J5zoDQA^F6Up_--bu3BhmcR#Dx$7YAE8M^j8$H+0Miuxz=;-Ft>eQcP}-rdBXqrZb0I3j;jQ)*JpP; zbAlYdIOd1C)|Yv<#J;b~k2WMpjHR|Y@=C`0R3UVR&HLERMqrKY44mg9YOTeog_VI{zSHFa5W? z|F^t9N?=6wO1*y!l=%zou^;O?wu{4f+|Mk(MP7>X$A?be7myVXTA8{+tWOPN!%7~S z>UOwl)hRb!MfBi4iKpa$BPW0^;T*V)nn&7>A|-^+E?3){4=u*jlz3Gi%~8pIO?p)r z!(l6nK}nO5edd>D5iFw?&1BnuL#;;JUbQRnx9!jG4HD>2I-31oS`Z2fZaSEKl{f(b zPjPe3KtK-It3>iY&7!yG1qqV(cGzT+=Jx@sBJKKUNNYB zPb>`SE?JcTqi@0VwiW!*_6WMBY59B&A)eX06^O*_<*ceXS|W>q!(HZt{-#XfpFlTC+-D7h$2sh@Sf7<)awKy}QHu@88{$! z4&nZDd%&q=L8O3r+1q%cT?EVup&y$V4K6%>WOupJKV;N>kkym0@R8?}x|FKa zjR9M+Xe$f7s@3O-_VecR1|)dIsI1fIDRb&fDYT2wy30kCz({dF3^`rnhYHduB_>o0vYe3?xr-8~MW*xe_FMnZJimnxYAZIA36o znxq`TO4{sD7Te$wdyoE}1#S^vMT;Wm=%mKXo;tX+cU!>3%QU0nUUXXW95Sl|pkxd?(17HnOndaKd68!7j+T?+3fn0lNy|Tmdy0?U+yd9L)TXixibR!z@m%a_C;iP!s968$a2Lv9^!*;*;%L+vowbL_=q|_DO3qG0A(xwTrOw+x zC2?o3ZTNFcSyjyFp0^vl-`9$VG-e0xwoQ+65#gpv_yze5FRcu9LfOZDl({tyyRmP! zOK5s`lgl&3(>stY%qyPZq+{QWY_Ve#UQKyft|)j%Z&x`^LXGvM_^b3?-38i5zJ^?e zr!@h+L05BiULTwXI-B>GcXtUh5JXLWs&>chF%Y;X?Ay8k)=2ld*Vqpjg{TL+sH^%W zbGC2WphZne(RL(-Irq~A#CSTp`8IV`wO3Ro=Asj0V>_faWl`QLlKD}Bt_@wxd;$&s zWW-$zypd5AUIV(&vvTds%IFsD}Pvz)8u3%jTi zi?1#M&{3bTV&?i@Nm8-)HkN zgicdViOc1puhaHArImm69Q(51O%sY>Jg0KFiChX0iQF5H2hQf~(^Rf1F%jchW?aY+ zYqPawh-aer5kAZWmXfz&R3+G}Ijw@?I=uD;ZFj|#)zlFs z6HQ8tYpYICG|TPM_hG79o~3aw0V-O^Hs5*pGrv$U1QyfVR`dm|=k!#8Ap)m5H&N|W z+2LziFL{CKl#Yh5@AArxFB3y(L?oY9mZJQmT}ce44HmO9p1XQ2ErqXKjj#Q7+^EV* zJ(I{i+|yrZTXg=`dx4Py)7DI$kM*;rJ})6080>wt%z4tXzGf}XSGB&+2vzSjRT0&Q z*kmZtKOw3{K+^@B%rCp3Q3QjB99|}-x9e`cdHB6_@)MI!b|wmA=p(PA9SXGwNGa1N zI|)WAGa8lhv~K7VCCfi+X}#T4&#owF7wDJ0BoH56GB%u^$>Qs<4ws;{b7bRis^FTQ z)MoeKIdmv7kjfs|1P9`>aMg(VP*Uz?M3|>AW#gI-vKJHTL4`7H0*1!@R&-sUl2VSW zxk{gSbI6?VSib#ygYOhs82t5pZgr|ET87~WI`nK(FevshtBI&DP=|Qni(cYlN`zAO zKR-4u&B_Ydg~4lub<3`s3z(Do4?FsoM2=|Cs}S>f`20W*~oPW*Hq7V3yd+{Q}$I2Y1`v9f%<0t0)rX5;F!bt=7Ps=R-N*KzDKRxeZ$V7YwhpX+`-y*%+P7ReZ#ulP0UAI- z_t^ly@I%uWQz3pYnHY$;AE#u{_+ZPWlL=n?>#fnl$|N%VfJATu(!99h;PhCIU(xn^ z#&i(AMg+LiWf^YjDI}G6Nxh|HoanPz=IsA6(pXgJQ&W6flLszDYZz**CYNk{9L+5NUyiE*Y88exzqMh4a;#Vfr|i#v$HLA4+asN*qX%s zXXWYGVM;A{6diY&3>wZ~eu^;QlJTe#4JV`t*+g8t&OW0m{yXgaFJbRh=6!vApdCP{ z`Or`|c5t1Q*_GlT22=T`_B7c~%_0?QM>0#cc&$n8$!Y0&88)YS*}X^dPiP&7Ni}=f zNcw8-mFei9RWOr22~m^83Vr+_WHzF759Zf^==6N(dQ)}v;Vj=Idmic9wU$C+CrPV6 zrE`1vbp={O!mKjaUQcR`EvFt=wIVpcw7dVL)FDG)UizF*(l$j8I|X%&RONIPkZ=_~ zCzzgat{)1_ja0>WEulyzJYa_Y(1LX}zAAVpe`43lmI0h8kHur)L!tlU;7ERhP_|*iM1E0-&FI#G|Ab;AMLMsAUF}RhdhDKpK?|D{v44!PmEs`VWHy_O z_{(>+@nsj|a;6Z8%xyC-&Y_hEQf5$c?j)Gs@Kf1$ z?j97^u=D8P*z9_|u)%_WP*ol+@^s4>gfq~2-*^V6bA!{>ynv~YBiTy!a0*YP*MH_| zR)*c@@_g0#h;p7IlAR{SD#6~T@%>xAl6c;dE8Lsw=d>IsEhp3DE@nTvjr}zuBMWf$ zL5Ry?Kn3{hkX^#y``Zj$e$>(;A_L!Zr8J7RnLZQ`ml%g3hzw2OL4Dd#(}3Koh~!81hk5hFhulPiM1aWM`|6XbAqggtAsbdIIKNnUv9!AtW}J)&&G0UJaZh} zt^BG|K{slLqZd+L+_0xf%L|p_rcTzLhg$m$aPql>XcbBw$@W^oM_Am7*XUu3pIxZv4w+tQEcYU_Hr@w%s@Pr3qu_F@<>+(Ks&^(ce*Vn@nLg&3Ve^NVx z{x~vYJ&2%R?Mqn=-s$c-;K#JTIpi)YQHtWe_=TqFKp1lXYg$y-?1?v?fkKfyp~LE% z<)s2O9v1w!gm#bzqVdW}jdkCP_*e!X*=*Z+N0|42@-jtF-&koK1IE1jQe|OtnJM8c zh6f8J6<_=(!BV!~VJ@r>QUWF{xzh2udhsDd{PdI=Ie;+4mpo7%uz{%VLEzr>ks#s@ zJ3F9a*7HBpW4o3pVDZ{UNTi*nB|pPL?&7MQ#o*e>nT5+jB+Ii&DSF8Q?ko<6!uw~c z^_g|iT+{l-sxNkK5qXqTCqhF#xF}RL6SnMgRbE!H_s~Kr4XQah)7a$J zcY;g0xwmVh*sH?JL8DIz3&>j%sD8O3*19A#3V6tm+c_SNcn(r^e^890l74UWiD~+l z-nv4#Hb0K;nbE!EQ19fjGREPPUuZ;#&gBqc=d>)%HX9_BlUH~zLCk{TTkp#)B#`!= z3C&Et9&T;UHuQHgsQig^ssVFRnX(&0_>)N=QAS`sH@=%N78^s^7muELdF)>okiVbO zdbBrow%kXht3Y=hrJzI6jf+{K7|g7oEgXNPb>B`^Wmi@=R%`mh@LgOTj0E}8vq4(3 z64`?MuFuDBf5r{cel(tvn=&-ynOniVl(PlKfs8x!n0+iP5qpthq3(aN_a=ZjU0dJy zInR^l2{E?_ZM1Q`|Q2;+H0@9_F8*_DvJsPrLL>=EDbj ztvQjCFgB&|z}fc8o9@~8<7>~~m)3qzuajv>Lr;!y|{=Gk3(eK4$Q;sf+2zfYl_e0ZmJv=J+oA^h^1pK|@Esb+)M#i`C z8#iy<$R;(9PW9aSaIN=teiu|>aAx&~r&~twUt$)%@aD8JcZY-(d^)74^YQgZs?JEs z!;<~RS7tXWK6>(}Dyv$Jd}c!Hou>+4&L5s#-1F}}+SY5lykPm#(D40tJlg&Gjg6-y zyxMH~jDgqYCl%wCP_PET6DB*pdC!aGBscPIA9 zpZS^Jz`wP6Y{aFJcPtqD!hzRT4?nl_Qn%^5dmlgW)R@DABY%Ep?zxs9G#0qgz&6(d_)yHXv97~v-TvsSkIlJos?y1o)9-v>^QhUQKaP55)y&Rad+op7jIZ=$ zXi%GvzMlU1N3r`OTfVlq!aap!=2m$sWyiMh8(X!_ZPU8;?F+G{-^Q5%xBfo#p7hBNeLwEe_HVQ9NbH$D`^DDp+_~uN*=0lDxc(%j<%Rak*zvblb|l^O z)9Ih4Y#6hqajhSo&G~tEOqCxWU9o?6`|BImD#+>_xh(n4M|*WR^TVXut1tcr%cyoA zIsNNqOcjn?`C6@MYX-+9^)Br5!SzXhuUmP>swcjv=}B)}>+5}wy*X!NwKJU;zgVz* z!{KfZRV+SUwCe1vJw+>jYfw~q!Lbu#_pB}Iwx?xARKF{Kt+K7hw4~Dye4mnaY|^KH zZ9lBbJM+F+`*4@L`nIZ4tN(_sx7^<^ckbPdUw_p9&es=h{_V(@h3B4&Sla&j-qYXy z<}b!uk8J$r^CcfIs1?+)QP-^TLwXO1Xt?3#=W47ucl_qmm3b9EzBDT{q~hh+`r8U_ zbj{xPL6`d;>DMf(_rkAUN?m!+`p*ZiZT92sjq*oN&mS58)$pW}hVvJE*s({;n| zKKX4z_18zH+;s5tmWYT~iZ=W>CUkCer{KZKJLk6?@@!{M=N?bqmiAWGfTrI(`sEBy z>zqa3X8)Y=KXIRxfAL_!|BTh+|7xOS`S*y542udKRM9ZJJ-hbp7dF&iew*Fv*EMWF zlxvW?XT(eH2Bzn!@cvPQTrYS#hlLLu6y>Vx-@fllQQ;B8y_MRJj2saW8T@qcgTbEm z5kn&){i+%9M&(Ws!=vtMKd5iyh_ERC%5A&#=<;Iw&TXIQ(XnTM`oy6A{-Hyk8WbKC zR!RJ9;3q1|TerT$!n{=;>k-_e@9+`9J;EZx2dJn$qFx;uR>`RJc;Cpd;Zc%ig#h)! zh!x7`rMYij+UvYLf9Y9qoS8RpIfz z1H*cRzZT{)D)j8zuX|+wut+?1AC5{gd|;S=^-|Ev$1tv%a8pIX3NEJXs$`(2Mpx<(HlXjw zp;0e(dF)|Dg3&^PIhq9H4NZblAyLJS6x?MPh~O`4suEcMX^3yH({xezguAJGFhX zYtO4meNX7nC&K!dDZ{=0d>NMJ!tn1EJ|Y~ItwPyW>>gEDTu)0?X=3A??J(#aPAgXZ z@yLkKun{A|hYt+Ci>@q3{*#&6?UR{mJ;R5EjR@`*HY)guh+%z)`!-?T0VCQ)4DDZG zz=$qUeZg{uFN->@SQQ($7o}xZ+}2sR&1GlF)kjyk(j0m2Y0@P4*8dLKsN5477#Ioa zLV#BGdA3=qj+D-5yL9$B612YivGCz8gF?&I->0x~TzAEukM#{7{#e-XkrnlW0_Lu+ z#Nz!7g3n!|!iH7o5f&ANg1yHnZNKg>g$?%!QJL>g_GtHbL}XMGCsZ~12E#j;{=rQg zCUfdc4c~ZP@DcC)MnR$+U$>^Hv1jaO5Gwf zSxX5}8J-SRr6}!ks?vUF#E3Av7)rxe6>lfT?{T=UOgtM2pVIYo4jcMXDLg;EIz<>D zAAg6rw{5?Okx`|QJo4_us-!;av?}!^BXkJwJ2YZorP4>6CG%BXecCW8_3Y92l`uP8 z>0?>em2jm%eBjwFzYTBnHR0Le?5ZH)t_rW2k|heBdphdXH4wA|Du5CYVU3=TcWUD8 z*(oA&SaXm3DsY>m2D+P?0c}S{MJT1FLIrt-@>9`au7GwCeIxq^_Y4~mRT+eYCa=_g zcMY?WdKa6+y~KhvN=cQU@*cVWOB1ZKL8y!`q!TFO)L&Ywk5yS85 z&^M}Yz=}lX+B5=;CQW#+U@WL=7&rsj2oAR2VS03{W*F70tM@@3!?+M=*x`eX1-BZ; z3-xq(yd#{qy$&C24DvIKB|QwIP8}6L$!i$3pVsfeMl{T{oEHqEO&j&SVQs@0Fi5`# z8x7kT#+0!*lo>byMWYWc;z>6yKyK>CEPb{t%Sawz7)kwc0aj8U!&r+nlb*vJSISE@ zjqLe@jDyRgjohVg7@6-jLAVM=e&#Pm!NHxlGL8J5iAMI!=Zq8S%MtEfeD^bUPVHTwa-(nQvJteA+ zk^4;);3AFO6yWA(WY6hutbN=tGAFe$GNbRuy#wy8jGdo6ZX8LRZe&k?8t|iy6iK_Q zQE+4@(j98#XRig^VBnb!zii+)4meZ>ejSX1OUD^&dm6@xly8t`5a4`b99cUDaHbkN z0WW(duIW7i=Oy5Ji*X`p0pM3gn3;eX1l-b%bi~X3W;E_~i~{8M;4f2+!u*4vTXm!Z z+Rf|(y8M866+pY@xbDaIBgorY_%B78GmXq~jg6hiS7H7MBOf#@JaG`|BVEuvKl2A8 zKQ{@uzF{OH|2vWIBT4g-RyOcn0K6K4mQz8CHb}3!QIMU4@F}>`LAMIV3B*qa{1b?? z_BlV4s~>1bx^>0(%E0>;;M4(hN<#UL1};sIzxhaesIduru?c*!X^;!gE@S<(;0y5I zrhd5NS`Yrn0gibqqK*B+8%>Q(gTWiye={!R?Zma+I7{A$d(JqubveplyKw>ha&X1_ zfazzf2du(V`;C&45~BosvVY+#Mp|TT90)Cp%3eT;KU7vxcR?jd>kjB9k?;6=No&`P=P=;Ql(G|3Q z(6|8p%HRGA^6LeE3^&eZuSY�AKvYxNwYo_BQep1wQL!9Q-@@te0V&N?QW_f{gX3 zUq=!@GmfpF1Gv*bm#w%*8@q((o<(}S5Enf6+Fy+A6IvTv-}tL>V$(t+E$Rj%WpHJD zf7&>7rfH{I{JvmA-0keRo9zdJF9)xXQlM-{*6S784LWdi*5qJQE1VtzYFn-uKl5 zR6MPR#MP?B{~=ZU$0Io3&+L3$@BKUt%-?ZJ5U7m>(r#!V-zw_R2 z!IIL~>`5(L?iTmWYfyI{+>&^+xql0{>+^Yw>&{a?4d#VT!RICNws>B+|AgoXo<`=p zc*2N}Z(xQ_o-lr-SvNjj`P7Z?;%&rNexGMHaz{tE@Psow{^H}8nGHP?JhA2y6+S+G ziFtqHc4l3C#eZFM`={>c-u|yU@Z;m_R`GapeZ%iqSt}mV75sA*r%bFjHB#Wi55ePi z`TG}}4G3S}&An|q3qJ5ca`~PKt-V9cB}@>p;^)PWoajG<;YonH^A^v$G-(mBqX#lw z1>q+RV#qIheIwbd|DAUb@gV|qBPaLXjAZTi<^C<|P3;n2cZs>&}b+%)eh+o|-bje|Y?oZ{x2g$Cxif`%m`w;6FNgf`1;;U1AQJgxCl_xgiRu zeh*KJNxRG?OXAP(4hLcX(j_z`G&D5p!70(M@x7-b;rL!pgFLS2iT*FKKwtKx_-Dq? zYhXsUeI4OdX_@BDVBr>%enSFv%@vYBi>V9E#qsg$KgLPRFD+ht^ltUFkm3Fx%{sPi1i4SLmZ!xJ$e1mVz+a{%WzW6$Ru^CPVs!ROI zuiIO@C4o0hpaD%$NzVMKS(VpvA$ekzEb4{H5 zNqpU9W;g#99uzR(d;6gRIs`ff9GHW^cnE|J{`Fig&v%PKtUi+&p8555uTMm3O^b`0 z7B}sSDWu5+_rxE;RS$SRb-AWIMFz|8Oqe3@V<%Od%TJU1r;>${-xkpxPYeI^;DS2! zCc0eilsYKw=@50@8z8^K{p-~LK{vO)C=3niPxU-^*A&lcAn|p<6bMlFk0{VOt)nL& zWqCzTjV?iWFckSWI(mG?XR5_F^&emGr5WGg7}?y@5b1y2y~U(QNaXYWltAV;`L_%F zdj1w-=Y11@W}@f8`lc!2 zTTDU)ijU9TR|9C(jbH8YPbLFB)NID}aCwstqk7gqvK!S};WJ@UEj*Io{Qde1xBq;G z$Gf=J;KC*5E^juG-h)g{nDhukLO_|Idxm=g_!{_7V$EApcgeN{3arH~S%%wtqfWN) z-=r7}9J3@oc~WoxRK|y+gfv%ARssvcDZU}f3uV1VFky&!=Gw_ECa+^S<>tk&U%3Hb zkTLvkoP_W$e{3r)z~Iy97qkfdAW#+lD9GO^z!-pO`BMaWzN=3G0BjqW%cr)8o|5o2 zYLmEmi{n@LPXTY@twH>cKd}P4JU!~$sheL-h752o_*%c?zHpMK-#2E1C1B^J{u9aE z?oU7aeER2~e?C2Ch6geR6o{2~c;Oy5sm0{LxrjJ#KI$epB-%aR?Z!3U<4<0PQ?KL4 z!_V!G28AmQnl|J)`O5HMD*2060!d!!cQ zA0`1$1x>w+HzDXRDvSa~|3B?Yt)Txr{W~Z?J2eyvKA<+Dd%E9T*TO!Ru%*_m(OB{m23`_gSVLwzg(rB9w zua5h5z@xI*7qm@jN3ZT`!2ZfN5^<-UM!QXHWY{jWqfe#(9kz@Mu$zjVMw?^((=OP_ zy?}d?^c~PvqK&v|P=MG(w0&sD&`zbjlrp@ku@m+d`wsGcngqKHI7QY}HZ$n5f5~gW zvx3-n+GeE9yleUsVt<{5O}}$mXR#k?TkeESM!RkkZ0wZ50Y*+-ALDFJl5uSHOe25m z3ZoEoN<%*eZKn&za$twS?uLDL47NV)#jS7L4nDv&?snMIe}#QGz{uZ~D0bVX0bb)Q zY$x_X?1IglGPJ7nb+8Yi@Wg(xAv51@0^E8@KM4Cx*qn(B3|kxdM4;BDQG(c!vEt$*42Q156`%AnHrFpOK9ElFa)+_ziL!NiQ142GpAk zzG-9WrQ&w6GW+upwq{5itd_w~!hKGdTrt{zW5FpZ%DY<~+IV&zrYwaiwi`zB><_(_Cqu zy?gg=sj_2>%Y}#Z^u2pMetd$X64c=6{cYN`*;sEuMOW~wlr|gNw0Y(JM<2?^9S@IG z9MgNPYGa>UwAw_i+4;0BF(0g>_8DR}Sh z6AjI#%a-HI^2(!3Yd~kZhsK)Cg1UF^od089&OLVpSxW-$4QkdX_?Q{gcj=hSj4`KP zy~_+L#N%F9l`p!R=2v~bGVd_M!cBA54nHXCTPpSq3R<|skFDjFGiFfxfT#{ZK_9#v z6qKK_Wqa|KEm`f6;Mkn)X`74lW|={Uv$AKI<|Bco+1Zs-Wk(g4>wNQ|poizW{9Hv9 zKQYb5Sy@wp%+Ce}na@24ZR6>^+jobUx0^F}ZFdD{O)<@(IRS5&X1!kZk=LLgv(u|? z_q2{dL7gYK{DQM?G0l>Sm1>#hrn++kjqH6}RVfN9>lBkLX0Jmc2} zA4%XQRkmCh4h-s5DGJ^dVVW)TvPR;2m6m2xA>i1Go-F|pX3%qY=8ef28)UZ02*CF? zIro~)26X7uvD4c*+qZbGZxdv`Q@LnIu4!TxCcg)im_egPzuNPm*WIq1JfIxZxWU#c zflbW;0|$i%nS(12HO*%O{M;AP+{3Sns0?yNcHN$L=X0j@bZ_M1#XgNqv+pj~1y_}R z&CSsMO)T@-uAU3XmHAk=?pDy_f4gD^J<+3Q&t6YG-PC$`chw+#-|O1j#%zjmzayw^ zyY^tD4j4Rr_@2(D)#VX0=+Ule=`|%+V4&Q}idRh2dZ-{M=nn8e^-C@fas{?(8q~Z+ zmB41C4`_Jj17<_g>cIe4aaM`dsA0o9P)P1O@3IM0QHn}GVcSOl>Wdz(PG%Gj{ zR4>Y-X%=3(%%>|kMXtS7a`){&Q0?HMymVLk;eAJrRy%O)_=%IJPUoLFdu}fn$ZtnL zz|Mdy@Pf;=D`0m3-?I0(vfS<*_@t$|wrur!w`QPv`lV%LZuRnQn=5U58vao~g$V)0 z4;9$OT8>{jzw~t89DU&5^gunOI<8<`T2E=?qnp6faqLgu6iS&3*$LT8c}E$`e$-^> zDU`dEuj`*ua+&fq?d6)r<`K0apZ!IqroDVU-UE$|fJ0q?ayWg|O~$3uhm6bTj*Bea zJn|;UP&Z_KmT~3W3DE^E9@}GVL4W8uv@Zv~ecf1#u>|TuneW_VEH->tQbx#_`j>+vr=Q)Eu)1nJ!j5BC!(nr-ZN{Wl1GpsR=t(pb-|Eh6%YXai! zH4Z?gQ=iy9{YmL_Ji02zxP&%#8~ahmw=@zTHbkE9gSmd-ob8R*A9Y)nH={ z+PB;<21|R-e$gvP@5stefK!x_^-*VOht>h-qRSUSE3dHzy3E04Z{gXE_TdBL%6aq$ zul!VWj?{=6#ueyTXLhbM)&QT?j~T|%Rnw8jE294$TRY3Rlz$ksIgWVwXn&tFR(D4~ z?B;KwFS?AgyVptoY2J?$jbh|=%j>s*?l*!?0Y>VuYR36P+tB{`BW*w9?4AwAxxJf2 z&n&ul&N!K}$T$ie;&Q=BV;#zJ9mWy%#)m=IYbN?q$`F5J19Z~!hcb?-ii+Xro|X8p@&=_^vUw zKtH*R@;Dz_t&>BSm0T(;MP|c&Tc!W z?Ogx(dGqHz^u_!yzY2|+VVbcsgU!#)Sp!WouFFT$AYVWJ}5Xn^fQkD-m|@*d;WzNyF7CDqg@|sb$7S!kN@q7+j{hD+3N0x zT8FeT(a5lY>3rv1cQ?7GX|v`n?!E8+2OezH?AE^mpW7NXGR-=-qcLh+JFrgO8*U7$ zchk+m_5WfvxW%tZ)oRsiRJpENwd-qMSIf`O?dS3OSHO?gTQQ(gWp^nh{{KBu&Nji- z2bXCC;}_$W7&9&JAX}~f$rr}e1b?&-7BA+7esa<88qd(>CA{xfG| z>oC`P*Z#QcbcF8qJM0JRA6^4p7V*}3{HNoWPXjm$jPm|}^bQ;}oi!e4kFE8+aXo|U zS#?1+TDU14{;3qh+tZX`> zZ^KF*Ud1}F^mXgdiubKU3Gb?F#e2B(!aJT1E*)cKynVL?+s@k5-&DK<7)haql`^a{ z;=fTEpK-5t0p39y-l661Sg8@!E!bz)f$zqa#+5XE;_LV2Z(E0cm|&sL!XnPlbp!|K zGZw~qt)jy7P?!GLL0_?;C#d*gD8~UV3wEdA0UhCwBVXlf#<)8zw6PZ9{#kc2ZLyR! zbP(Y^=w;x0k9Gd=4h#0FRea?V;9ZetzUzx=pf5?1IrM$BlmqJv%O5tmb>Z+%75{Q+ z{Hwyba#_+SDZXN%U6=fyx8oLFDU`fiH6G|gT=KX5Xp!a)A0r>oL9K#)se&8&q#Qh< zqsTu$5O?SuDs8;)m>6O~r?JqN0Ddy8vwM;xelhTpe3lgZz>!acCVqk+;AO`=X+giU zpi9X&`Xhi7Pf^Q`%WrV!_9hF>bp1;K?O7+#MWaw-N6{L=vBi%kRg6{8I3&<|&!ufjT+vQXiFh4?dEu^j_9<_EW8(3S4u z(cMyK$TyT7(DS7{j&GPR-+l2H5Bo>(CoH*84#h0@Ers5 z7kR~xf(PE^GxG@h$w~sxvkp-9t%F>p{G=-({p3M@#ZO+De+QO&KD%clWSGlBUzr6P zOTrd8_yZQ>_*_yqz{i-kBK{{feI;#9#@IVly|r;h=@M03xZCli&dBo>3pSi;2OvLE zhgOz0D)){v`m|Q&wUAA*=ZE1^HGC8ZZyV}J77^1%89TIBsWNy>&aN*z|!x|E+MIEox)I!NQluhT5pi;(3Z5})PFIzX3~ z@V*5bS<0I2w(o_$WuZO#aE1SNecD~cCyxLI%PxQCN|CQlJk~YDW1A^@BijE>;a-8C z@zz~}4}laHG5rz?eb1sOf%&KW#@K_&nHmk|yz|JGEt*(1z`^)>S1<(#`jR93frN z-yrcAU)nOfokqJv`OJ1kbavvbFTkK4!SdMr(sdTbaP0W1{4tNrE-TZ*I2H7yS{C|u zB#g)f(4X>V%WHqJl7|FX=o3=qW6Q9!+3PIb&S+g%;~@GY(%;B@K#r3>+dsJ9p$+T5 zu50Lvv65_^LC4qkO#@9yBRRZ+g|RCKf0iA_sw}q8)N!cqF`wshlN}yn8^&;~JJbo! zAK9tmOZ_Q9{2dm?ex!U@_QEgZ8C-nUI;4~Z;8UifkII2B^#J)ecVG*`0uFUXpK?<) zQF`b`=)?ukE$F2F0Ws1ub$B&Z21t)IIJRM)@I7Trku59t%zDm3zYp@3qWH(If0xc2 zMY^bSXdfs8q^$!lkk)*r-lg#&e~^bT-%nuZ^3}Y!_lrSdhlsvq=Rxq7@(WJ$t2-vNm3p`n z<;1c=-;$N}VJ9nnbbUn|;2`_}8YU0)x6bEnLwv{qz>zY>GwWIEu9{BE1;LGF%W!l@e)-5k-=%eYL%h6ez9nz{kvk08 zOF61_Ve%O5z?^wu5>4}uuFD4&zalhr%7FElc?WM$2f}!<#4Dl>58Q#MwPSoMr6*Gc zq0D!JZulT{(Q+PrcB01|wsjz#F3W&zMd_vV3DJ>IcPL+3?!NV4V<^gCP(_ph>=B6% znap~IGPrnRFX~ezRbOq~r5zZgbeMy%#P`Ww9A0?AGGI1 z{K4DQfmr6$uXLI1ncYXiQ`ca9OCNo+oi~KD`G+lk2PvmtnbSJ@|?FV@qZ8cMrdQTY+M_ZS2N9fNs47wBgtOd1V*sFAuJ8MyY zY0o+|w{=x{XL*o@`cD4Db0Oq&+KAeY92FTv`b!x=CZbKjdIU*BwaKczq0UHpr$Bep z__H2iZidub(oWln$JWfWbqGI^qnp_V7o1S}L_MdYoH%n)_0hwMCMYw_Lm2arI)ZTpg+JS1YCcVXR7Ix}u{k z*Qx8^65S%qZDHQM;6nWA&hB2T`fwcjE8QUVr5YB-W^5j^!$OCV{vzT}JxTb7dJ<%) z=6~Q%9>Z9fb?MXrNrQGA9n)gJ9^2OSpeOIX7slsoexV#uItBGLrpb4)?HsuV+Y~S_ z9;Y0w2>FA2+Vx)gfzU=_{*9`G)bA0NZQ-Uc>W@l45gLQ zzbkoxwFT&-s)YE^fuZYS{7K#IXDv6GKHDJTj&Ud0E%$<6NE7edK6ns3L7AZV|ML0M z(3fv?%1wBVeUYd)7+}FGx4qCtK}$qv=nW z)c^8#{37W~UBMG&C23CDkw^9|h_K6t@f5^G#Zd%m(s;Kj^mg4b*$`80o+Zb(nm4B6*R3(6}+L zn@8Rt@*Q)Qtn&xAh&*6fs``X}G{`fS4fRUQlactEf5?MdM&E3$gMKIaCvf%Uf99EV zq3%MRllf)+#~e8D1M0BdW?s2?PRgC|*zRK;N-6%Jz35J;XGc+Pbi`l!5CDsPQ+Ypp z4BRu+H}H<)N7WyJaczM`{PKPnFJY7pq`qU!*V-NPjI}53d5gNXT+jn}$2hL!egDFj z6;{36ph6WFUB$ zbltybqy+cX;e6v??Ku_oi+vPyzHKM_$7okzj2d5n3-g92NfY+2)G50jQ3rDP+lC`@ z9Jq=t!L}3mrxSmnMTsRgCharmAjE&q>=)H{n~qGdG(KUdOUhT;a%pkN3zd}CSCVXlJI9n7DQ^h8ebI}?J_@49dH3}g)J4f}pd z@mD$%`-zAsbtat-VGxvlcjyoQZ4-R#1Iky}3+lVp;TZdB4$$nrZp`l#{S|W%Bq8a; zM1s`$74EEW#7W8YLo41DnsMx=6o1Gc)W2Hr+iBL4r3qwJ?X%=RKw7%=m0dj!)DC3 z%3r>5e4opQyT-fd{@^9bbGAvmsGm>=)@f-SP?v$=Vdv*}-?TsPNYnSbrhx;;mnJ^( z%7>G8!a^AR?1T}2_M^bQ)feO&ao6cMVHqF(4h;KQ!t&XUBVqJ69f#lSFuvh+9Kp?w zqto@hGff@#kJ8s^mru(V*8kM!^7DcI^Zu`;K-qOW!IJnmPLM6 z!RSYpwwCQTF3e#S7_|8@=hiB~*ou5-I0}PuybygXf8^M&gL$sd^$M;TjD26!Y1yxW`M_4roW7!iiJd~d5c-(tdA2@?xx-2? zL7S(>k*GsbkF)hY>Y%iFsK3tTz&OD8si3RdQqaX2o_Ic5@H=;R9(cIw&V=sT(;c(M;J zV{9X#r@%!%I0vU-Uy8uh>2Q3IeW@HfmvEpr$7KtT??c}ju9Ljy%02a^>#Z!zC7{!E zEIfHYzF$JP^9QzCn=!YH`WX3O^GN9S>^leUOmE9;^+h+~I4}JOgZ&@mf2?0paN&P4 zZ3%R9uj((BJ|K@gbF78^!C2d;!h)*O7t_aIXhVHe>LSX3x;5u&6rS8KVfn-UZuZ;r zPN)0BGvB@k{T;o;k3X!7ln+wpwLZpjrR+eyPNpsf{;;iq>zSQCVG%}Tgnegt-3P<` z?)v00$-m4^ICDf`(4YM(J0`SNG{O7%1L;VMeUC~X@*Rg2n;UTGbQxw%>mu|P-HGy& z=|hiVIh@(GO2RUooY{Sq-2~cbe}1Igz*O2 zA13?j#KyAaByDxdBFwKBxctH1Fp@s=aCCL7ak+FQm$*q80} zx!HISA2qInv1!yTj-`lx54xrdsjTLgh-|@FALM89AdYz}zDWg7GoOdhXRqCH^c9jO z?7PjG-A8*{oYzB{iS-iVCu15Q1Ze>jgif>xx4eq+UzDBnt)hNw8qhAL+cWc7Nt5zF z6@A#uC)NzgH~#4P6~rs+2qF>c1&O95@76sj{N|>j&bRP&p|)w#{v$_A8lJY z;&Q3hT@9p(Hx_NiaN zefRVprS%MTlXID{*N?Q*I*nbREBg?I{~<%Rp?wjU_>sPO%)6m%_D!Gq0rNuskg&j) zbAL94RmJ#YO_^`YK7EeKYx|P;vaR#wW#Yg(hIP_1{>QRlzrD0!0L(nGZ+`vLZp;P6 zya$^uoJ*?OfC-X5e>k^>bkZ_VY-QGM+SP*stZeoNqimU1>F)(B!aMToM;6vVgUR zU>p&!>98J3bPw_f8C=c6 zdTpUU)@!4`qcZ6ES4B^x$FUohWq&;4NId9j9Q$P79A)6rBI8JEuD(}?URoF<_FOhi0ofq^otapQ+&q;7 z>*FPVy4+ZH%(pKbZBNpV?G@JFV{V*%;aT@(>%J|=w&ge@!|L$*&bW-{+m{Lc(&_l> zUi1@O^qu)EfAO3BU10cpclwR+-Ff!;?!e-Al$kC+#~)$KbqD;*U(2rB4VLuV`0g)a zW5o7^GL$wL%b4^fJ*2$hC*Sa$^DXoo*`r4Y@bOl&UwZfSwV+=+iY(+p9O1jWxSKNBi7|& zKEXN}i>9v3_6qBAF-CGD>O=r&T}^az>MK~AD{_u@K6M4QYm_xu_bYm=o}W*+q#Jcr z(u-rPbR0(}?pR|i_6PlF?@%wG48}U;GW6pd9PD)>eIQtO47+Qk(2p>6Sn5iIPy2y$ zyVxFJeKXRI!n~f&BIiZVK_5To?O=_wwEH?Nd4%mZ)>F$EC2=B8VNJEjT=wT+O*Pv4 zdr?Xy(8q&i9wg^zGA*p(wy=g9w$*CUv)GogUju8nQC_b~9|P8KW8U7Y(grDA4D&~@ zzQEUU3;s_jP>b|k#6)SWy$JH>*tWTFY zvy9L3A^nw{GR3yxxH{LzuuY&Gr%gmZbp?t&Nk8fdTGnV;$NDKYGh{Kx#`#_Q(VrLV zHsv?g-`jHQzb>aJXX@bM+Eu+jz#QLQ3(D^gAbYZ?_5WxCWFI5&9oA*5Jz2O{4B89X zM*z0Itp5jJ%iaLUgRI|1dn5a{pj}e?wO}6s*xCl>E*r4paXo~6SQ^TDb<77gc1*-N z@QJMr%=yRq_6o933+8uWzkmjK_ZZOOjoqI=A^WYsj+H%(PHo{{KZb$1h6egzu=h<3 zS#u5>K=x^Yz9ahypxznKYYgZv2IgMKUa1&IG{AfCFEkEeFPm-H11a~*A+j$(@?fNg zeP+0iz#6Q_=lXT-9m940sPDLn4fJndjrzQSo-h%ycPanh{1#4t) zf^*n+HS41;2G%kf`@R}(oZFLxeHJ{jmkn$g13EnR6!01tpTs@^zZn>d#6AMiviA)5 z)!6#x?brigvw=DZ*vky8ACWzfpmP}LOEWfxnX*R!_dD43{sUOE|2g&&sx5osU|pK5 z{fBOgJdelT1}5kdD*HKMeWrnRm`299`(+J2^aQmZK;Aal0{}8Z*7;+e5cWXG$NmVG z>?MHtiP$&7#6^DaH!d9A4LI*%eLwaa0=}5rDtj1UoY1&{y#>%WfxQ!kVvT=m1Gbfc zxex~Cw96g<=k}$d%&K7zggopSkpcd2A^h#Krv&Pq0Ug@F8X4JB_sFjwW1olVvflvo zFJle9Zy(>vz+7zXJM=U5;+bQ>Ho$&F2SMM8;D`OjInZrms9Wtfg?*{ecY%E!Y5{i_ z_Nq8&U~aL2J_gxGAv^YI1GY2vQ!otJUVwAJ*oplfc76Idcx|NY;ea;6fc-1`9j$uA zz}^lgvB!ei!y$dtjRw}u7_f(B@9F$)KO2~nVPO6=@>mHpJZ*6Qi&I;Eg#WMb{}B9F zjJ+?K8tB`Sy&<6c8|ZHXpN*6K9EvVqFwo~{U>%a|Hv!#R_D$ek5?Dt8nq{JHRKwmK z*k2d>TR^TGm^xUt`vuWGfb8@q2BIj;Ju72kLN;m4nT?*7ZK=5Nn>Jb$gV(lis_th8#; zH)gBF?U$I}E;YZaJ@B@w*Z+N4_vHx_To24`j%^uVHs^oQ{maL{T5x;y_Smd&VNFl1 z_ojD`dFLr}Mywz{vtFH9am{DX39RwqRP&>0ADf?idT;l8TQ(Y6r$YPBO!M=R)hoPZ zzWvUtCiX|@jtpRn1niK|eM(1H?N>}}kzl^|dN&ihB#iKXbKJlV-T&6szv>_}eDDwx zdnK4{B5KsD!wnP6sFBb1e$K?U2xg!5ea(IkgjNgfZ-(89-4g=ZcjfLp-5+oJHxqmF zJlU&pqoIGK6>4qC`zA?CdwZAmD<<=TF!l!Z- zY^i{I^(u5VuDc$kf1S(i^7CM41-IK(!Rvvm=<*8)sO0)D@2`O7=?`7mb}U%J_Q9U> z*uhcdd^>AM7OUB+M(yr4+)BgI- z@NC=J&ilsYGcVd`0vkFi*YR@g1?Q6S&b9EU(@LjDzPK&|^&4Xn6QTc3Qg`%gQ14)W z9_lEo+>>?h+KM$~mlpE@4~C(x;?0S}k-rIi29cj}H>KP~^A>oC~YMtzsM zKl?JIKY?q&p-W2qWtczMOX=h4JHDas0J2W*9AlAnmp*+F)I-^?KpTa+DP%A5{-MCr zwukCpK_4@8IF3I+H&EZ@xAX^XD0IoDcHFXks2pQJyCU$Zf6{Sm1~Ojsb%;apgRGY| zl6+zRC(atc8up(tcJzys73W4n-b&HmSpFTaLC2?FZiV_z?Dy7nrn>luioz!(PzidhD;~`V6i!Lz^c$IC)3+t{NG z&|kzRVEyL&S*``4<9Z+3keoY32fawfY-kTMecsi)HuRUGuSm%eQ}O`aN#gJaI+T<( z^G7>@^Z4m#3lo3MQ?#`uf1IBV_=;;KsMxz)W1?t{z8S2IgAOO*IBp7kSL7GVO!tXW zc0hM5g^%%i?(d=dSh=4E^hoJDU_Tn=C;OeqlPq`ECE@|ySZqZ34jyJ*q~n@s?CUB0 zXnYqMfEPI5n+|%p*r22s@^Ama+)XUbnaRv`W4&v|7IAj8oH zC4X?}VK&ichWZACih-?D~<&(OmqKd^r!KJmTNqV~{LEe)k>A&|!F|?B?1}t|8NoW9+c+q~D2lH+g{TU^ylX zTT<>EpCxShjyl41rq1_?41)`6MYyh<<;?ZL?6cxJBCb;+f3aSP{s1}0emAxyytM4~ z{my!*zn8fS9Mzsv=8)E`Pg*x9)gw4QsQOvi@5*|?Z_an%BK~$59afLuQlB9&@)Frh zy$A8j>qGyi->HYS!8Hz-?oTkT!;5z1`V)YY+NT(O0_-Q?9<)5WN6ztqO~t)xd9Dlh z_~ltJJgbK1^YHu}p4G!Mb$C_`&%@yvIXsJpdlmC+9-bw`vtoE24EL?&`7=CwhG+Qj zycs$B2YVs&3>=>0!*h6eJ`m5a(P!N7Je{J#e1m7z@N6HR1;n$0c-~Opn1P7(JA<{rg7JBa7$6ahz` zp~F3OdCm^c&EYvWJQL_d%2GM6=S1dmIdg|+>F}%{p8vCRD);%V30Suv-Y0UNPkwfy zIP@)`E3 z=6=jP!$+OpGeGu;roFDt1iB3WBH3S=dvbHnWaur3vlg^*$)43E*k_w({bas-FZP@! zKc2;&hz(_5Zk{*9eXhBWIQIoF!d}w(+kcQfB2T1!gMKvfWE^-dK=$&^&-@8}I<2Ii zYZrMF`($&!ZJrNQfPI*`w|CB*e(Ibd^oMb8>#aD;hkYm9E1LZrJEydhJ+O-kPJu@U zgD!r67Y)8`Cwpt=Z~qDXUEo>lVSOy=OF6TL=NO&M*(7}t+yk3?qy9TxOpJ?vbTP{D z3D35t`eJ{hw~vP%B(~J?BcR|zsh|DCPh~wNdQ425clPYru#jhaX3vg`wQ+Fc)z=|J z4E%5te}?tO5t|ry)nR)oE4L@LYP_2e-0qN*wx9kq?0I+Wmu38hJwF5S@AI}amnJ9B ztqDwcHaFN4>yC-_bR3xwD4y~Ym{8k%z)=Nz3*J zZ4)~5tI$bu>I6M=9Az5fdA=ke*@;hzEsgYqcw3c?pOA2-kzM6m%9cfrILgxMkk~^XC5V+>y zx%0o(2oTCr^ME(g9TPL#0;z~Ma;a_`j=Q}*O)0-MiF8YsF8wa?fY;NUKoLefZ%I7j zC9loNFcYQl08@&0jk#^M=d=LCPTh`M?v0Q3Manb_ysR*Yc< z00)}O9`Vk#oyX-409PWZ74a3s&l5Al?T+8H*}mmzXa(oO$d8? z43CAPi;W5KK129x%w=z{6CXDiFxGnyE0DRlBiK21fUq4|khHFCE}0v`O0I-T?CjIb zV4!(7IbS>(pA`Ub(>*b<-u+{ z^b0gcfo%Z`_>+J$oE@W;^BCyoVfS^)X&4~3xvQ`8pESnu0~1pa~qn?igt{@ev3>(-=8{KpWV=`W&KqOcJ%5G0x_vVhCG zmn2F^r~~;sgXu5i+ZuD&Yy|M+NczL)&e((pk{>_F2&)1k6N0BXKEdZOD;Lh6ssv6$ zu9vYr^t>p{G~C~_8)D5NA9C1~$27npjgz$*KcN{o9v+^KH?vX@T#PI3MU{R$&c(AO zu#H7q6c3}L8qV$^B{twbw&1R~W4AFwW&@&w^w&T%50CdYP*kb2xenddI)h>m8nM9x zvlpVp4@~$j9ZjXng$jU|rDiS)IELvn{#@@7cqSxlt<>>&M{%Q(yvje$pesf$*O=Af zYY-uissK;UT?G7d@CEeudLlrQK(kIqZyXhwI8Pj;C8!+|7v~P~t|Z0@UC`3P&2B1r z-UR$(;wXa2oSuh;c!{GtAxzdqW_5?SJ#&v$Mf_0=mC(|A5b4Kyn}HmOW_>WHJK$Ns z3N*vK?nqVuw&ud-#M9+B*PWVMveFyksoEhSFwrcGUk8?Tp|KUQ9s*%@|967fxY_Qn zHUoh*3E)zwBP5$EChzPO6hho0^KtXxjXk-xUxf(H!R$DaQC?r2Ot@Kvs4^ z9zTWgP=W3RC$RQ7u5yLT%xJc+7B^mCmcC?tb-&h)Cx2=A7}jmXLy3__yh}@x0(x9 z@*V(&rSZ+$sG!h*9A$Y;!iv_c5nwDYg$~0qIz+)2Xr5!Ct(4+~Pl;x(N3|Xj43ylj zlmn>%R3Q|A+GZA_BYxxtg3}lPttUM*vcDAyN;-*^XM?kWK&*GTlYZdRggOulKq~I5 z%_94307yT>v&g$mSPk)On>#k5@kD%x^p%OX1S&;ujhVPs)wmh!)+g3ZTq?RAe>Nm` zLzB62lldKWq@{stQqkZ^{$st%HI}Bi;(Lf;^81X~v8BH9)EW9xT)&@%OeR`z6rBhp zxBIIF%H43o!Vn@awKZm;?JKt%7Toagj2QrM-;!?w1HTJeHk(DybPzZWjlAu|fD*7V zv+0Gl-*GYS8Ln9O-1%Qr{xXKTtHek288Ni%sN=<|#8v#**qO>(l)cyxrGmu7x?#t; z$vI43Wx{?@KH{yih8)^{1c`rn15|lbpBM)I^1>(eY{yhVbV7KO&k{(*P>KE9esy=? zfA0S~DNwebI9L&(-S26^KI{Kn|9fe`F=bxl0cY&R9?K}h7x2?z1a;_O>CgbCVmJTo2Z@;H9ZJs&y$ zT=vX+5Buin%l6}U&adXaJX|L!^94Ak--ia=H;)(Rc4WNW1mTX;6=DvSz$Ly?CWQHq;miJBT;m2D zPNsb;{KWOFXRt0s;BnrBQ^r?=SL!Fc0yuI$0c3*jI&fKsi~Ms>e<>f9zZ8(X`ur$b zNa4YkIN--w-+eOqYvBQ|l_OoXJUEx5&q3jO!cuzr0RG)0(|76&zH%)N;ZY8A??18- z_oG!jZZne)6n=NIfET^4lIw^$kAZSj%Tw|{c|!Jj2Y$X~?*me2n^`W+2NIETvgfaG z&qBptYAyxah*MZQE9ev{xj}v;5TRe01WI3oPK1p(blgvbvXAF-aJ>xkCv&!JI9G#3 z8mfOhf%o{#y%xAmR@yGgDqMOW>#bPF&-ph3+vZbA{8~SD+RP94b0!X_)0bHrvG$NW zKzYdWa?W8XE-f#~AHrYD>F>mw!MMIQHKLm2pX(jz$^CpaEy3y60ww-Z-w=lDmbf>g z$ZNKH%s*VbZ zaAw)bx_-(D;*IrG>DXIE|kI>0$)od3plmH6(r=Rryv^k?%g;efJT{+xrm4QsFn zkL$aA*D0&K|D*B;jcgv}+)=jo8>u6r{MlBC4g)$AU@uuZ6;@@_fh-UFu`k?oSu&*F zW1sUDIfq%#_0)T)bFNyc+*ffW1%YdW6^~N5B3sB?pu21>y|(L#4ln7DE-V|l^O^GjaRFamYX0>V*%yHG+%~ceNL?cN zlRe3irpN)}i_8n24ot#Tu#0ha17YutS8K3^|DF6}uL{8C-a&N2U%c~Tp6zGc9OtWl zIQ5$40Vn&oP$!2R;MzleFAbxfrA|7~{_(>;tk0CS*VI)xR~Rs*4J3X(*FS=E_=jkL zBQl!u&#nWk6UW!ZN%RuRYW}hQ!H4sUcTQ|)ZO0nk-7(L~`bh>P4Sds9JPv&gd&jWs zX>V}tq~3!-&RGHvFz=)X&w@F&Dh_kD=8@6 zL#O^{JOdePf^L=r)iKNT6Pw|Q1!|>P#f_fFx;hr!>=g+9Hs6&!>z^CIpdCr|C z?J3)Q*J|+H_u9ZV#g|DSZtz zVEqK0_I(`*KEXN}(2BOAv(|;{`0-8|%JXt$?kRN&@+#ys(?5#!#w5JfZKZzM{L1a9Y9mnQ$IFeod? zmMnd?1j~r~3L|~$VRWa`m)p8H@U-I*mgwbLH|HIfy3!Zk~efyV) zr_jL0IXN6>x->7xz`4M+><*`UJ?tlJ>3)7Eq7X~U4TG(6l>m>=Qf-LMfpRy$vq5&UZ?|fq>nyBj%^lUh|LO`vcEyk#TR+Wu;70>?qwi2qF(E~ z>ANcH(mYE!+GWQ&KwaSAcW(+Dt$zz`ZP=%928Z2nBF*=n1GYllcH!qt25vs|PtWc>o>+>eU$ z+yy6H9y*WqUFrb7Ieg4#z~$QYt#8~SXF9Q-id>a)AbnLk?CiVd%L9PR^nCeE=Ipb- z2J7_IUK1#Jz;bvCxbDT8De?% z*!2{1#+CkU+oL+KnjU5HOq`i_`(j(b@;I5YSkm{kFPMMQ`5e#V;r=z{&iNvYviV1T z&{n75{95ia>C^!flIGO|_MYCXt2#dG1J}2(ESV=MTk;LxdDa&C?YJgp|B_LPMyU4; zQ)J6&?#aeI0I{AMbbt)xel6rL+?|X5!gFQw&vKwX=$sR1mlts-zsgx;EVnPirLMA$ zi{0&m16v{)k-@NN*+2@)(;#2;LS75vJHLGaqR%2^?R!hNc^mMim}X42+M9k6i|n(>)9{_cV- zp$tP?M!Cj|b`;A;_7Af2F1!FfBoAcAJgxASJd?VL28>I`{Zv@Lv_7EeP6o@EOW=q13$TI8^B~t%Nt=QG8OfVXZ(U~&LI)%N zjr4cHZed&E@E>R(x|`5~eHebSH;|Nr&Aal9_M3N+wdg-r<281fJ7r0_pgjQ{_1a(3 zO~qGoRo+DAlsyND`N!H9X;(=j;eT7V!s}HX)76*m z=iD1Vz}6>K`=e!{(13adaL@Yq5h(}ZCm$G^hbdDWIiO{Tt_Q5ops(5!89c1ZUt~4+ zJk6J~rrtsR*K&yTym)N4cvWRCyWbi%tfjVL1-)R%vg zwG3nVvHS%e)L)#VA?u(4oOv!H4Aw`RAM!KQ{+|r5c}L4Zo?k)!+J(Jp>8PU%{{t59 zq-p6HQ>AtV^UwV$i6he#p3(X}&!L13unBGWIrJ?_`P=Q1lrQ4wa+YsQ2M>-P)3#}O zrtuaFtqZg$H5BjH)<7TgO{!r1i@xs-qLMOU1KkESfD8rC{wn^MOn)Ol2Q0jn!Lz|0oPTBD~@4PG8vESkn_UQsz z62A!bwTLzl9M-LsX@iIh<>8s_zsPsdnUE`oXR+5RZ6s$cKUCIXrX~?{A59Ny( zCt}$s`YBmLH1^@V7~O^n?~!IG6MfDu`2^8Q^KYMnN7>AMJn1xVIc1MB;+}ZyW8wMh z&UH~eU$*@(Vy`sGCuJY=sq?JwHeF~dF#kLgsl*w_XI@lY=6>efcU^3G)Op4GHax)Q z`t%EOUN3NEy(jPJ`i%WfC?iFOu+ycDt@)qfC66}$%Q=7u&pMNXerG8Mk&y})cvzRA z7jW+qtj!fYf&GQ$uXK!ArH=|yZ4UEp=T+AQ)fQ2=Q}r49a)DPMbFptVovxQsK6t0j zO1|6(TIu}j_KduGE(d2)BOK)i<)%J+mAWDObi_6jJfL?V|M`%A49|3!C#Qc0{4X{Q zS=I9~il zoe*^A{-;b+%RznTxww=8(l_W^2Pi+lJ8UQERCx9$D0!yOPmnb2GGLmt<8?aH&e;5N z;JY!B4)ei1n3>};GLG~B&Dgh;)CXmUc2aCKmLqU+F6cK}UXcbWkH|BgDYMtX4&%A6 zn@85M$FguXE8DUU9w1sOA3idWdu>QP2Cr*6s`6KDAkU3f<*#&L;UD0hpOsi@LxXOj z|3RnZULz8oWy`)8@;JipT`*jDpE^Q@-M0h^04K%&tdn#*(T_%a9o?L?wdhPy-ep{(NyWc zW%_Fvn{o|~{rYl-0rEpVoV3q)2lfy5433oe=lHXT?#y53_AHTJR?EPIIP_JR0u|02p7>RaT?H1t0eV!sr{ z11KBTPq-5s<}3d9$v^2$`il*Kz8*T&R@wGEfN*@6XPn5H+TfiHy-mTLVT*7sCuyMC z8k8^mxQ9GxB(TvJFXQX*XZcH5yI$>E@RIZ;NIyUG&AbvH`;z$d1Af%MX-{cK4w88b zNc&{kVmm$XKk}c7{w&%5o_HbeT8@*ynNQCBaMBR?s{C{2gi1b2Z6K8&j>B`WO_5c= zgJY50&jrvG&+Gi-PF~>Ntdw2EpX1FJ@^%W^+CI^3;Q{QIvY|KHBKv#{kpaH-AI~D& z*|q^5_Za7ym(o5lO}pJWe~9}4F+DY=or<|0+>2e+P1@8-jvY@@`R5*{W%G~nN4;Xd zjl}V>0i?_jpZn#f46A8vef6&v&!nYeUL9STbsK(aFa2t^y$O6!2hL{evv3vP>+(3d z@-tPRfjjxuk^6WSneKZ>nA8Z4foI$KB7Y)H&_U)&pe@+ z>WS=KqIpbs4*7?_j4!eb$uqi6Q+^_@I&a{J@Go9f8StA;1JMBxmwTL1$JTO{e5=pe z(0yy9DRH}q{bjiC%<0S(a&~q;`tEs#Ht+I`IYhj3-(c>cn~c4l&hcDf#MQdFw7tM* z4`mMWz$-hZr=k(_!8&KlG494WbpSlzKEhX>7kNFpQg*-Anq#+lcmA$9x zvQzhw7W2gM59%1wcT4*mb>%3=W9g(lK^OvJ*nh@xJ}UbZjzf=^as^My-Ik@?&zCw3 z_rp53cat4P=^WJK8TJtNlv3?~IsT_Ui?~~PZaDXu=bv5vjHmN0&-T0I2S1>aIOE_^`TmL6f`{f`ei!+VJNILjc9LVOHvhBDA+J(ya?duIX9@^{kKjshQega*NB&42 z6k%)};0Kzqzmht(=t|Jf*blPL-d9e`J%SOZNN2-@k0fA!!%ejnimtn-_blyGWl&u{ zo!Mp8tk#md8Txv8Azt`DL(2)P;~HQ0OF$L z8qdPwIRj#^fv32S67?01ArY9gMZovZI>{GgF=^NBkuo4SEh`SfA&M@z6ePV z@gxkwa^JbFuQ!nT$i7rv{z^`f2UHz6N1c|iZQEbUS$ymt=7WE{Gw)2pZeI>T4-q?w z<2aD})N3e@IQK}xNtuhBE#Z9Lra#hQJq`~k!??mZ6w)|)QQ5Ue! zw180f{rUV0jcwZI{V-8rQwQO`=ybY_m_Ok|+*KN&8=iUTeiY|ySjZ&NtIFk{Z5Cml z*!YFQRpcuk3A>C_G*NY{w9mIx2cylRWQyo*XpiMAWbW5S{K)^j3&I3k9)4+J4CCku zI4eYGi}Fjsp7$3px58=L2+#Loxky^7?V~JZ`$3(Y~hz7Vo~8aj7ei3IMMm+vKPGqbqaEodYkO~$lTg`5)%;`NNU&kVIII&bey9m z@KLuY!`WX=C;hdglcuW=9jKerS% z9?<1Qnj}HT=6K;Q?B&bz1bOG#;J#ND-g&XVoc$=eKTX4tJJZnlw|Qa5_=jYVV8Z2I z!Gx*rzVLmYnU3IsJI@A@Hia}GOq&MDnCHN~fiE71JODqj%*hX&1HpNAEO**fQqPGK z;yS!fJcXW$CL8fix{?p5w>ft{m%kt@q%5w&^BTS{O{iaMcu9nhi+Zy5`yG7W^cWuL z5uW57IIDc9xeaV{Ip2IGb2Het zrY_WRdA>?rCp~@W>kC`PZxN64F+@&a+(~pq2d;+idsktdbP1a@u+tIvcqVMxzd~cs znRm%E)3W1~ua{2#86ROZzbd*CX4$-y53k{Bf4MU)!Kd`@z$H9=+2Q2~pmT$N+ z@XO=pgM&^}=UcyPSUMfwyKh)|z6$(*l>UFdm*au|2Wa^F9RdF1^S_A#Rl5)E-##*| zZ&XD<9qd^DUL3@dl z5TqPfw~Hu|F?-mGu_I@ZLP-Z5pK&F>K*uNW7yJTa@A|M3D{Ts}E!#7mc{B6Ib~&5z zFqbm*WU6F-=*MfBtbCb2Ps50;T_M+MCgb;!BDcX7evrzak&a|wLuD@FHJ~!53U<$APmm{p{!t S_SHxXjz)mF{NMgH2L1q>imNLC literal 0 HcmV?d00001 diff --git a/Control Panel/DE2_USB_API.pof b/Control Panel/DE2_USB_API.pof new file mode 100644 index 0000000000000000000000000000000000000000..681d15db6d43e54b8fbc7a1de50018bf003d344b GIT binary patch literal 2097354 zcmeFa3!EKAl|Np6a|0J-*V~<*NoO3B?oLm*8IjDysL}QJOLtFCxCsN9876LEQIZ%m zt|$*9WOrH8ebbY4LLd)e|5;Zh6Ot8tEa2*A`LB;;V$iIzhM?}Eu0n+Ui!RC?hzb(r z|2V^3bxu`vb@#Hxj4_Fw#gA{tvFAgpZdmuB59&*n z=-oG5`<@$Cz5o4J-=M$!>Ki`z-fKUgzv;p@-TlyeuUVtdZ=0{Ty=mV3HvHP&q+fRR z4L82`U03VftKRiKy^!C;A`X(oULF?y{D&{wtotU5jl39KNg?jXSl0KRTWu!#4kj)kw?l>iWS!aN|I|t z98XVqhM~e((}0X0GKizN;Md}bh^Cz4z678QY{Zp7CQe@)@;qZmQTTx;1_*+JF!D;o z#E;|W;;t&~yxa?g!q$5t{1DIY_@NR$o-xK_V{i!saTbvRMj3#(7sn)hMH)m^-<3*5 z^t`z*?%Ktxi5pQn{#fMTwN37+X8iivH76D?KJfWp{MnxgR4J!Ok7EVhC>}JPXFg|| z{17K(qEIRsl`YY^Ozd(TFsgz;w5ohszD{zZjQ$nTeFH;HO(F^r_-zk{H0{2<&$YJB zYyI`!y&{t0qZgv+zI$K%$VWI*BA(~wk^G7Z1}^ z)z6UTz=JQ`dMiIrzU|vi9yxO0izmPF4Uw0Zci^9Y{i#nSxkU_R;wj46M}+}g?%MU- z+_|%q=M00&pgQo>qd_?O@X3cCe);6dqelf6M~}R)ZQJAD`}Jo&lfd9HFTe1@_U$|c zzbYT7CLG4IKl{xOf0&T^=tIA_^2*2m?U(O=e+deeQ<;Wemk%5cmHqOIFWz<6qV}O_ z(+Kjv{^mdaWF4E+g@I=*ov*e*XDIi{cdg zx_l@@`Sq{wyDx4JaKO>SC%U>G|My@0^`7n@!L(R?KdB+c8fZ>UJo(psWkc68@2r&m3L4qoB zf9+Q{-~9NuPh4_|fHDDMGmiA*-}%Km-jR@qpgi~7ym@hR@ayt{>Qg^V>QS3Y7|SmD z)w*?8^_}SK93Mp{34;qa*)(OAS=RCVf(4y@9;X+v^UJ^b)rJj;7|=D3;0K;&G#D_4 zM5n`r;&f2Bn2e|m?=J+DvGPb2jAbvMIC1SYC%^jD7?a_pKv)hCd&h^`JhJR}1LfMa zFKpVx6$2|{#IHsp^Y&X`dho&f?s;MB*0_4Zo1$c`ii_U~oN{j>^gb^-VV`P*PKnQW z;S6uu5&h>F`l*pHwxcJj=z~WnkXkKuhM?T_@!$UBC);oP&0~+jt^w&dE{}izr7wLc z?rZpU`M{|=0+;_rD8soE{nK3^`Src`Zr}3a?%i>nD9z)KzxEWzam<9_ zRF;+CBWyY{E{V#+Fj}_wmuuF{n*OWnuV<``*XfZP33LL2!K?Xu28r8(&;I1akAJ*m z+zoH&YN>yDv__mDq!BF6?ZHY@a%8_hCb4}5&Z+ywqu>1PGtY4GESclO+oBeohY1u! zY$TlFX~rUH?C95j{pn9vy9d?yK*#X1z<{G6RwN7z~pjAK`39T|w#8Vcx?XQM4*}Io7oFb5+vXXxSny-u*yH(S;GGo|CePxDc z>SG=f#5eq;910mFh?u7D>h+k$<{l1Nm*nH9>GYJD6oVs>C|>WCXo z9x1u0N)TpziE80XJKQe1&hsN>-=k0toyfXJX0yI3~3OVdhZ@F4i`h)2B8@0BK@z_az9m#^@pA zXJ7IuJ5s77?q(jXnew2{8`3MyZ3}RfU5x`olksDRu*S_{a)nq^a>6hPae?t|p6N0m_$ev}|HUmG z^u$h~9IK+LF5y!v_<}ikg+wu&;}`<~9twirzVTzuV;mJ11(|s&5rH!4F;fxGu^dBL zrif;2Plz;!c*^oY;hYbiP9)$<*JRCm51P6}`Pfnk2Z!zO98l?kl$-NYz)EUN`}7o7x)gD^ zF4vxV#>?S#iu6937s5 zNQGuC_!%BYGEuP8IC1(E3I!MR^$P*YMVle`yTZbbuz1%mam}+3N|f5A3w}e%@Q5JL ze^MBp`IMIFAwSV&9K(Qy2=_hs>iDWYr^E)RkdsLQUi4SP)Ld@d0Hab4Ujp&3Q=nKm zDPoOLdOU#(ogfxF=MBqh4!b|?t)H}rNI7rQ)YE1ePXf^{!wMM>fZ=J2+dM>-_-Od! z@!uD}`@yc;E3I&k|`J)>u``3##J zOu*wx!Ve5dRStajY%~?;&q;$Nx^qzR5zYMCs22 zK?ro|e-c5%ux?X;e~C3o7}NR2Q?{1xEo_dApkK=@P3NBo<~XHZZcBX5c>ZH(?)v~}98)4%0T|H_RAZ#uZ) z$jQx5p9p^P%V6zIT`2XU>CNkJ3?95GT1Y4^{ovg(iu3Z*pA;23a`R?X=qES+w`OSk z#M<_G`Nk*Lo^|_;2j6|N7i%?oO(VZn{>3l;I@A(!Gi|4zh{;;Ib7J1EEG<4e#*gwjPh4Ij30Sn-y4oRXUb zm5)zzos=;w86dvpL&M_4tuH0fF}eu^j$CpRC5dphIr_Z*@4!4;onFnJaQu|K2&5!z?oh3D3_gJm;6%>v6PK#jwV*(3W>XWHwQ?SaV8N!@KJ?;qc4nMT$hf_hiVRl`)RP~nf1N*B7Oc+y@`W3xePw<7 z!_xWUOTxAgg>Af6{)OFWn_Ml6xA_*60jKMbw|PXShNE{PW4h_fh&JAG8B z>z+&UjrAGkOe>l|C~l3v-Ew?hlS3@7R)X7B7DJn`28ohEyEK;+OZ1 zA!*Gdm39!wnTTNVx!2ZAKH95gL}pInQ-g!jP-3|VYAX)#N@E5$ZboD-h5s# zx$$j?NQ&#Un#1GQzWA#8V_a7X*wR1H%c*q?yxE~G>lS9sj_2O%1d(iExGK>Zaz{?< z{%rVp`jkvllas~~dDpyGhxM9Bsc=a~o2nUV*jlHCiNVxYZ}6^xrdGakv;552C(l0l z2Qslz>DZK~Rkm;JpZ1OQ?XSPNUDV~_4VWa_{efB0jb96I+`N9>VDO&l(O+$jXrAbk z3*H>h6Fpy8*B(#(JbV+Tes+Ig`tL~ws@cOgV!~+mhUL=8X%$^E!4#uuSYH~KuQG3I zef5a?tV#3jdg883UJBIvk4@F{DcG?vkI&4!%Jx4YH2u1DqQ!su3&8V6se2rsALVf= z+AUq-;@a>#d9+_*|c2``6)R*KTkKfN##Gf^ zf0jBmoElGQhHEtNOug^lfB#=}sZptkN0FMFcX; zDYoA*8rPpTZ>7NJ6DkL;P&Tg=v^p;b8|xCYp&wuRKW3I?23DC3dCMl$47D?% z!HfS@zmYs%$SczrBRz5%n8d>%MLmF@&6hO{mYcsZj6)EE#w(bHH) z%ahDne3}(;H2|F|Dz@vY8)#DA##PIQy{>ht;)gw}+3g;^hF)c;qgk`;a{l^PRoRoO z^0OxApelf4y*Wjd#|q-~>HaXR_tV#7>?zK`c;wg%A4|$JfnZHu8VfQt((rd*eg|v9 zY7{-eD2{RcXKp5lP3>%FMiA@S?!sF+Q_H?iJI`|KvEPBSvO0(UWb!YpjAfqX8(*)@ z2osGx18U=yd6qxSd6qHwDq|WsVtFhH``JVpvSvPe=)&h%p^hU*OfCN6TYp$@udw30 zKd(ug2}dTfkGYO91~*QZoRe(mFl6us#y*ZbDdP08!t`SoCiX`Xh~$>q68E8g`>s-C zT`rPpPa0Ulj~}n!QZSBF-4KjxSU)2H?M&f`x72qMwSEW+{9buyC8oo~PrtuBSZ?A( z{w7|_ik$Si24+)cV|D^6X&ftU#`>DHJ+x&JCV;9(Mk){(|D{^&eQkZGl*Il7LVAb3LhJ3|8`Je8eoO;C=#7Zsx zJ)70Gnc?Yq3!}h`ZXp)CL5_LM|&|%5Sw&$!TJm=(j`A)M3*V1 zR_3J3@2tcxt#D_;<#owdOl*l5w#fi63Qzo>vrKU{@|Y7_7>EZVBZr!gla`Rth2yeP zOF%99bDByi3%^y#DoZRGGuO8MZ(I>o zNs7r{{~`DoHMGwps*U%f6DFSolv37sPNl}u=-TVTYQE-j>ZJBi{%7^a`43@Mr6lMj za!>r?40$c1&8oOs2Jg0jE%wyfFDwk;@YEygeS>GQteF|H{BQznR^@P)IdbS9=8gKs zeK6YlE!d`Rl$Z3!l;yyk@rdNao4YFK85rE!IPGgS-@0GFe&hO^gN3(5&!7GL`3o0q zT`+5F<9+L|za86t-7MdJ%M&kDzxcBG&C%DUM^A2ExS?%B;rYAs&kw8}+B*Hm(ex*e zOh5kg*|%4}(qCubw;a4BdS>I(PX#Z0Z1=*UQQo{?zkc)jn+Jm*Pmf;O98r}%xnR-O z#sksxXQS!Q7jABk>s0vy%;uX=spj>!1P^YA7E-mAK7aSxp z>nrf_k`ONn^PyTR1ETS63q`_+aQPE)d}6_?N*msDCm?>Ig|7J_Ox?^hrrmPwE8fg-exR3I zUh!uBS9mjFve*47bbLgUGe24}oJ%HkpSUyFRBTdk%9-&ZnuJ@OebR?n?wk=9NR#~p;{4q}`gr&>^5#3Lnz1K**t!`yA$gQw`^xIU~{OFfaKcRg0prXxz9NW_^S!9?&FHP4wr zaF}))we+z(c*Tnu(*#TP9%5t4^2(gdD|0e+nE090oQ#jpkHW_F7hTWDhIiFhL&sZ3&>!lL+DS1e*GyC`Eo&QH^v3Xa2q z@KlcOk2i3x=}QdDB5qwity7skvA^wA0?)V3Jk?^Wnn2@7o_}P5Xa6L|a^$12#UzAG zQa}66OmbP5a~->VLChyUF{ufo$`yVB;3N0FGLbO3rXIgQpSrv{@{A}*AXqqcspENi z*O$hop~ni7YFtP3@ai4DR9X9N{fRg$m9Nv3x=r=k@1Fa6y}cO2TcW?;-*7?CMc?#@ zKZ!n8W;u1bYcKetwrKR7k$*>%G1o4_w`)_VTJ^hFCFT*9ScT0s?xdKE$z==+{TKGa z8TJjzF*zj@@MC8E9961gI0K6AmD!m8VQb5Dmw$)Smtd=s?sjXrECm>CH5?fdDz*6j zV|8R%#^ULisM3)kM~Vj^IsRZlQMY_@!K4j%&+^C?yeK9MtGmSyaYjG9uFWhQ#< zD!j*!YgLZo`~Ixz{xmn{sh;cH?w<9lb4E=C+EzTv6ELXPk^|#&04$y^ap|)kCgM2U ziwdJQj6br)@)e1rYMf=bOp!2Eu4^5!7Ob4M5-P`(9=YY`bft68D|t!<6~EhBon%6H zf|5LI@%;}^2*jwFs!Uz#;A#hI7Ycf&BpD)N=DPT`)|RnXrewz5 zD3uv(@gY)HzhxSLzJ1AAAYRRJ<-&U}4t<$`pp35~Cq#Oao(NAP5B`XhhD8AY$abXO+2W_W-u+)*x=D2I)qzbgeipOVDx|pO=ywXwuHO|qi3)loWWh197;y~NK%Pf-1S)1>+yler<-y@lLS)fEJOvjdP?A3*@)CO_|n5&U!>V3U9?5T z`o9toW=B%#lbRG8lZ`GDlG!P`qwi6OW@9f>gdYQCb7BnjRE#vGCfP#*zeIq*plE~y zOMx@X0xT8kV<>U^7tX`gh)_wg%IivGO{E%J0I^kG_;puQ_);Tak3Zr}cowy4J$}rR z9P?JElFPcB#Sr(Xd^r9xCh=+AnuUob5pq;B!FVHSPx^YcN%Eeo(cF??5j$#7=2Hydy3o+>?@)B@A%MnoGf)%g*_W&j1W za;?ph&%6Wl8rp+k=+2+i+=sb-9{KTKowW(?{bL||f zU8mxX@jrXz?V3Npy#h#9vte2$m7Gnfi!or%S~J6yvK2(Wq?=MUFOhZUrxl^X0}rpc z=$PxtVvi3y<733ZPJ7H$X@oafwPuI#gPu9*_u`X?GJBh%r9=MCz1gOsN1$L^hnf!N z>`X3TYeG}^l=B?MExGRSU=GElD1)g>tOKui0AYWMbI|gqVuMSKtz3=n#AJcl26I;}MMN?<*4mT^iv+7nkJ)q4k++o^L!wtEVl64RDW%GF}?am3NcF56G z=6D^Fvcnqyj2t`Ix`MTwyV6;zggtKW97#7G4bvU+Jq8l!k(?^5(4Ft8Q)d?IaI{VdmU(bAGxpXBvkT(~}kZ-HN+IRh3z5FP%E)4$zG`19D4xK*{Be?gHqp`OYCV zwDW0wjiR*S>r<>v4fI{!fP*(~wx!so3sbvIjeN(e6{eUjk_dVgjA*L;^-gO?L1Qxu zbEH;~i?y}t+JaWUa7XJS1w~G6I@HJdzb@yrOSfJr*{;;)1^&*^WNn{hOHR!Bc-cWh zvDu96k=@7L0$~h;IVgZFlMo~xTM|)y_A`%cI)}B%KsTGV(*bCbB9}4ikSR@-!yQ;S zg+eqk?lE((rvq0&*`vaW;Wl?+H6CEzQxS9dq))Xl3YitBq#r zu(>$he|I6!HfmDToKja7nDsBI*>b^D)8~hvbEeDm()okanC$0!Lft%vZAn256zo)f zPm?cs`3us@%Dlp^`~UFi8VtL{-gAHpn&~+|t`kU>e->-ZsLTlsMP`f7^HbSECcx23VcBko?>K~NI=@tEG!LtK zCL5SuCcD5wgB5Sv#lp7y5;v`w2I~i<-z$TqwD;$7a~<`ViyZ215Zg>SZcgsML6u}? zx~*rawl?U>PQc`xzB*)H;~^FMKzP<(vw78u8IqODvrq{ecF(y^ad$18-H=|KS2MYS zwP#c4dAUupt4i#WeAYTA?-$Z$ey+6J@Gz*LvApGVOetUh>^^4-(-mo2TGl1b-+IbL zT9rIzh|M%r>!AtU;1RQswhP8$D{V_!ht%Gc>Qb%wUMPp%1*U6(5;-h(LA>N$KYD;E+A@|@~5r_xqIa2#6 zmcxI@hehbA4h9!DLT%uNGzMn?|HK>DfJLbJW!=qZd}paCF^l+xbxe`XHV4w>siYcg z7e4>|X$CH7iL%r0S*skCZ9>x50Ke|mYy@l+wpKrc;MfMIu?p2FAc#2EmZrZ3mn&MYS%VO?^m5cr9qaBOg7qU=IqC!L(Sbri|a~` z@`PtCaQ4WG((O5p@p)NZl{?t$Q>qnFXU1w-4Z1km#eLJPvzq3%Ye?0R2B?AP?p$VV zYaz9*H)=eW3hW9pdpt_jzM@0wTo5|lvOMEQQLa@x(%M>>65bYtcJCYu&9KzEAl%n* z-w>}3Gk`ZIJqsbisI^ZFhe))Qg%fC`Q4^yx%g_lH2W83A(L)X#b#NgF!;h4M!qE*& zGJ$15)O9OND~jeij+ceNB;Y$-rU&$Rp0P!aQl8;LKK!(|N3mru zt;sEt8L^z6GP0ShN_cQP9t9(HYGdt}xPjYTq$Gg%lg3-yBo$C@P8 znPv?VXm6%$Ei)p+Rw($IIrc;&vK)B&Re+kbDd$p~T8XZpU~QB_dYm^UT|yE?2$^Gv z{+Rkd1U;nnHLzCs9eCvE|Lt-zd7JJ*TNz(+Xbn{-2hW!JG(1q47V2r)5N{H|<+Z$= z#lTk)0(E)o|jftHHsppo2eiO@;oPi7Jr4F7sN1A*^I~QF1kUL>#~@%W|%eu zkcCq2G3Zl$wqy|5OzIQC3K2*ir!A!Ef$05w7(vCCPw)slmU$kuoh#B%yu}|5JNRiK zvS3UqBI#IpVyHYwF=H?NknM4quK*=xf$QSg4l7Fyhv;l7d)Qzz(hzVk7h6SjbC@Qx z!(ap}i?LG_t>AOKDH)BIr>Z%0?XNPK7eE^$4MSfNQ9kQF^;{6d^;33N1}zAJrE{jD zunrnAB@4)Rx-vHDMcqn+53^}>)P5SAqZC3^{Rk`QW*RntrlvvgtyablQg%Lb&}31_ zh|3l5nv+e5OxmWd4EwK_ImWOun6rT8(fgPH9fDzr09yk7Ax7ddAgn0hOrjGZ4C}0! z_g3j#n`UQbbG=9GzADcJqyQXs33E#sg%t{DI>5`#yYt-Fcr)ecJu?R6&OWuP*PEsM zv*ErN1e>GHg-wO$OmqKUbIyv$*c{&G&ag_ zgZny97z3{{@0g{nkJjhb=5q7Q-qzODg?{aZ{A0NjuIrv}%pK@aoJ+lB@L!U0cP`7X zP`j?ED0q9}>0C6Hl0P2|Aou!k(5fiQDf$?A*;#r?+Ug@>&<*-o_Ry=M`r4_{6K$n!c}Ika|_2E%NX>Q zsdsvDd9MjJt=m^w;Jm`x=yWB%SSoQ-1*+r9xpb4dZ|{~u0act=c;UD&VT&39r3o=Ix11QIxAyr-GT(7QE zzwI5v-_C~Ds_)=`ok-u;H3$V21OvvvzyQBO_AJUFN$+0~^{?3S`4zYBU$HfQjwn2s zmB+k(R(aICUb%t)tu|oR9+QV;c~-^Y0eO`Stm7XCiOdwbDN^QU8QlWk#??mSYNru8 z*IVN>E|90S)34D19?;1Mlr9N2wD*ca3x1l?)C85QC0NaPE$@nwdJ*3R!BpZ-tsxwuEz-mN71BuXSC@D@>oCzC(09?~h zGAp8x0=f?#(8U)&{Eq}z=ZG5y$7Pk=xC*A3K!X`EB9x5k+}EexamO7TPWM(+EZm1{ zdWdrAL;=Njn=QR&3lv{P;F);h;;I`dKE?x`#Gu@aUqF@QB&6;aHKF`yV-U;%AvrDM z=GA8M8Vl|#Uvd%%AcS|R{E8}*J-~{G;>ldtpH63wNkP7aG?{lw&Tz#CPUZ_o{jpcR)o-hEW{%a&Jt8^ zSiXFD!*Zvg&mp3FwnO|?3e=`5EZrGsccr($A%T0gJfIE8uj7BfrI9Equ!4j#fGEM> z`T49~mLwK=I$fGryus8kdiGb25TEeIxq(NPOxcZ9V8 zh@ikEX^i6Re{T-IG7jiAi?97UXJ-@?Hw_d)CvkJ4 zPkIO&LPP`t373c{%vC~^)d-&>+O~ua!$hqrH)n#*&Z$|6@ImO$5K@ZP)YOF8UvGo@ zN3nE+lsuFfrc=z3R-i$i93eu&zoK9OAG!nkS8IqEbK4>H)397#u6D|>PPp`gfw?qz zYdr73^{-~I%Xw(&b3p@AszeJOIH&P!XfeBoG!|jVcHX(-Z|}VPZ|_7KbJ|n2s7Se| z2fWn~vnV3s5@9YANzvATXmbG~rnIazYdr7h*ANNGR^}_S+m-X3i}2Uct}fY0zpi6m zPeKn)44`TQnNrIUwn@7Icanve69^&PaFPl(7iC(Uzc7F%o`}jD2Jr-i)cyDVF~t#@ zV8oaX;tY5^Il-kE)U~fOt}^cOLbFhc0o_HXN;9lZv4hRvGHRG zi;C=Y6o@AGZ~-Y)%e`QQBuNl(J`t*U1>~T0KdGP9EtHX(mN$yr!<8ymOf4y)pv2KW zap^A;So}VYeF6dA;R5aB!w;3I((TGOW4P#YL;4r5dns2t_dg>LN_eQO=#nPm08lkj*G*RxTCOnngT|2Tk2Kx; z0IZmHI)+AhQkuo7YhrvBwQ}|PXpyz(A7YJ?brvgZw|JOfL zDpUwTQq}2l@&{X?)K5~9a^MUF2MnCuxDHkj{c(BeSj8ocq>alw+9=@JxKBv30WXi3 znxjH!?1!}xW5SBdk5OVxyI@ekPty`(4Y+f21I<;TLK8_!$E0J-ACQ^VXbS59dXxx7 zq>|;GJ9jp4ijFW(3SD0(~UZ3sJ5Q9beQ|I2HEdUq;nAMb;E zc#qJh(hUNCj%Gtg5kF2e4Cy}ju9Uzq=I&JRqYB&L9Dk=umIUDxpnJ{ihyGrX!wu4s z91*Y3vj=u2Z3^{_u*z|kZA05};}#IfwgsXkyA~IxG;K*jI$_vC&!7b8Q)uhCyx*A# zyLLzzx7DWYRWNs}Y+J}1p_ZUZxWZdhT4hbgzH>fGva>e zSD**ey2Ohy2{$-=DHs|Nfoqpa(-xw}MUHUg>TO)6E$DvjH?C}J84#h2eD zE-J(Z{!=13YFgOlq5{>X?VT%N-6rk|Z@%HCZEV|u)u{|#7doqz<_|CZzIm8FAv{yO z|6Se#gO>VVk`ivu^48`_aUd#?K7lu67%ds0Q@KG)+L``9fK{+(ks!9SZOTI&LjedN zKru2Hv|+dp!77mjz0~9F5|P~EiJ=2zxreel#F1r0fGLfKFLg?lHl)%0QrHxw#io+x7 z#4V-5=PP3-`Wr|gi5w|-!7RAhHb~wN8T~j_Nm^C@EEK3iwyiAlc7-q4O?_`LfB+;u z_u)y{wuBS~a3&}4umfpLw0#eBx)Y0jEW%2POxvrj#0V{vC517Ywk&)37BXxv-vVZ- zG~=>z;x{E|a49EKg&MmD4UY$$6T}0uY_G*Qv`r=z;XqBmxx+~sgZDPbg@-oewlcbg zNh>S_UOHz>(T#DLB})ixj3GzyL?~5oCY4Kp9XK>*n-)gxa+Ujtu;a@%E$`gGbxHL( z-u#A_z{N2wG!H6GngoHHlj6#|@HVbqEAk7)TW;Nnn6$b5!lYdcT+@KfMeh_Q?dQCz z<)#?Gg}RxPMGG}cqcFlUA~Fyfz@x_zog&c!ty|pnsZ!O2k^!nx!nj#ZB%YAxhBR>_ zwtp%2U%9N`pz)3rJO(8}_UzRd4B&;u{Y1 zdKnnlzhZ9-S5~;b_kkv%G20t2mM@k&xO28-P`w0yOW?=!g`L9ZUlEZf&I9#Z6(+5) zX}20&(L`j^B0+Ih=><~YBnPOTfG0aK*OaA4e^HR7Qnq6}z*d^2WT4(F@v zdFA@S0&t*FL4pS)?!0{GC?;(Bu7~*!Jfufp!twyrp~D@A?AHV#C=(i6pfRRWHw+bn z`5dH3%uTAIL`GLrP%>aqdJPMfR~4zt1}quW;I#BR=K&zMhe?$P3ykbnAW)`HmG&Y^ zi{V(Bi37pVXrmdeDvifp<{k7#%#=URe2#<@*zrC1Fs6xwDGVCGAY71ZKOm(jiTYofj24{r)806j#Iqh z$#N20#n_fu3WVzE=^;#Fl&CJ{0avm}kDtOOg>k3wAzO^gK;F?t#9CDYu9v-7MgZCc z9xcBw+jN|ZXk1K~qujI@ZKRbLJl5P*m9LQN;@$sHDiGxfl5%>XrSXJJxTLNm)pye9 zCVuEvxdIqKCHS}^jc(#M7K1eIj=5Gr5nqY7sY2n27}OYQ<;w@K-k{eM9;9$sWIis& zhp;aHyO^6e*DXZ5CKyq_wkjTS6?!)5s;I)H>WhmF?*d+&a9mHoFsWsj3ex}sP>J6p z3>c_|8F$j}HSHxcwO`w<-5L+Oaw`l2uRu{?N28$CnjprASOb=2wV8TV!>fsdgjDcW z5oRh{sJ)%{-sQnB2GJD^1T7H++*r+7fCyvtll!ZU)n@L=l8&flt;QTjii=uKbZ2g2 zOVa#hG58B&8RD^6d%$a5&IccbLc_3dkI^8y#tKuSL_IJTcb*$A-wC7b^Oq+RHPCKi zaF)6(&Rt+P3X(7nD%3jh4#Wba5GgQt2Tb|kY*UKaC(O^s-iYu8FzHdLYvb{Ja(8;o z3I)`X;zEH&fh~l-F!p|W#lSp94-yxrnu}<-b~KZg?8y&&6CE{|GNspT0bw~VvGXH* zC()AwEawm63iv;@E!u`*7AcVdpxxZWo~^4v8;i*4 z25F1``6TBZBu~bcAfv==oDQ6;33VPZibX3-TB>0jV)^EodCpTAw8c06W5T^j{^15~ zmY43Oxw^)IJAnMAlmk+R(T*z$6{f=YELwK^KnWOKP%mQ;L&j|h9AhOO5BbmtEjKHL zD2^DSk;m6(YQme(i9q)|j z`EYyow?{;c!F#-uA(QWP_AHr@rNP;6(}c$YwRA6JyaW`@!HF&GS&ySY25mexOExt- z`nj_yJr||eBjQa}WeW-3^pa#`&aUDEb*7Q@#t*3U7mvw`IYrWvV&DMREJk01!8>d?cBYDs z@De_^n-m8*qS5=UGzS5y5FMb#s9X?Lr<$cqb%vo;C#)GiSOA8E)fdSsd0KDjhQ1N)2Kk2uU=ZogTNws;WBDxlV6Hr7D z*Zc@4^OW}NOtsPC>j-G96?GHUtpI>oYtUr4J*y!JQ%zh(PNT)}lqyeJF#xL^Y{E(52tXOk%r z5(M5mQ*TibDh*nf`+)pmEaE^7a!G+m0hE*4a=G4uQBD4f_#}-6bx8(WY(3JTS?Mxa zK2Wvlfl7*N%nOAp{Mbw43iM4TCdwnM=*a~y#1^dq8zZqI6FZ3a^Cde-K;IY-dlN=f zmt-6sf{(yipYg^JWtWZ@{}7i%INquDHDIU}_Cl;QpxWAn4?}GVo+zO*+@P(}2oX$v zGRb1IY!x3gj4fJD^pY~*+#!tYAU0`Z^IyvlPIn!96?JT)H8~S0R zu+sP|>`)qg?RVX!OP6AJF&HZQIc=z-Oc&Mrq3$7i1Q2I2#J`6RV!yq)m#aj0myD70VC!GagO0&4~}) zMF@~+foI|Y+F>L?4q#_P3sh37+7Up5lNO_1hldJwF4-c)h@&q%C{FtV(S>G@DlA&U zk(^IXGSWkIkHsy04qtY_XFmGXxSfSYi7}uP(B^kUT>%MP0SeX}p)J1q`y7Fa{2W38 z1o2}xX!o|{6GMQn0wMyxeKny@;6=9)>od+^RnAlKQ$MRhf;zq0Hf{AnBXBtn32XC` zX!?hb8)d{pbTA1TOa>iAhbnqD_Jk@{d{gmU+PO{3xrN##zKD?{c=03*3D_hCX(5`) z810&$$IvTnTH-x$n9#N2IafPPwCZ5Q5bXnv4{)=sw1S3K9dyWQ-?oRiv_fF}g*8NC zOMpoWpf?w6R5od81OSi!`m3TyN|cGvY(ZPkH=)*R_I&D;rf)stZKCZH*c58G{2jWhbT3QdCLN^<{)E{oDYJ{M6vT+5y~#s^fBBtjeUJHaclc`GGqiksY}(OO~L z)-Z3etdo;2MOs&k7IDQ5lY{q#w;i|Zy|nsz6P3M+}5qAaMB~r z8i+A~s!80uWV{wpiE$e*j_n@%aP4u257-LpmYcU=XY`ohe^DZ}1;3{_5sL4*8yB2j zocTvuw+)n+5>O})9dlEL#*&>-Xb>{w^;8g25k2Z8?a4AkD(WAXCmh9 zvxm(#&D$QLAzxyE)fNrCd?`)Y;i@Dbd8^ceRFAx4sA;%$yE9%-fVb~OhzW;A zg>Qsu48&8vP5~>>W9!zyfbGX#tml9&MiY3)Ktjajq=ZL&0mo<9h^-i*g;B%p+gtx% z^gv^?Z*8(Zr7W8Hv_rND7BPFqo@upx%ZU2jPZi}D2myt)F7q~>IZj@h<-03(!+ z^H6EL7Hg2=hT{twtF2qS;n+eZB_}OeBgLy45~`pl7Ur$YjlLwhbdE_y(hLn+8ldF^ zw(6SFf&}vOM>B4{nDE5tu;^eJapQ=n%}wp%oVQYOaZ?D*Uol%HP>C5;v>iysN8V03 zcFX;r(TrOg6SKn>BLFL!;#QQiis83Q2vsje=%mF60wHj1GGrx}++y&ksZ z7`S{zt^E|{yU{TYsq)OznYeKYGDa#f#ShXWIP9v!Tqp%>BuOMc!KX@n}|oxh64><;rm)h2@*yjY$97Ui@s zN}zC&<)hpfn&I{~A;y5pUv4K&7t0nUr!JLeBaTkSb1~!`^BZTlWH?tWPBk{16&6R#DxxEg2;==5F ztXQh%jEs?JfZy(mR;#DmyB3Qn>vy+ZL_zszz!xt+k+*8Ez z3C;_AwE~!(V!?- zMwz+8f`Jhf!_{;jDGo8xyRg6ao_!oX2^GX9Rs8lR5~+%n4WA(DvM*L1#p z3{6|IhLZ^dT6|ymN9(RcKh+b9F*Pf*~K5YJ+_N$k8xIwzm-)HSv8nt=_7hn zy?6%Mj4hz@m?xo84#Nk2VPJ5r0pPTjVb%(X$S8E!X-0ly%NT}nVi{BSUExqOT3=H{Y-pIO-ejDHF=a=yBujG> z{204yOB(bL4u8xvUTgr1l7*io9$}c0s@F$vI#&$$qVFjK6!tB|p7SNoN1C3uTq#xY z%2gs=DJId2B~8k0X=G1E4@5h|S_vr+2_Xiha7T9V6?>EMDN41ph8;}FdARPOSPP2V zGiLV^ovL3Y+x`*sZ6b(%hL5*!1|b#^7jXg-@5JuKa8<>dWUE10bfdKv-U_z}uq)mq z%i`@$OVI4)f9KQjR6=F>yu=ZOW1y@>8#$yamNAJ}A_vtj%;u3ZQu@Wd0*@(`LnFFC zjV#_m3wkD04bP6s<(4klyEP5o*xuzrI$H>;fi+ZmyNcH{F`hh(LkO8XUoaw63?>=K zxkJW*#PPcSr+DKvb~#E51UVhbhh&a{Hw>arYu%#3c)dvXZkW|G#9#hzzMeFdFi{lE7$%KWr;wB{E zlF9@Kgfz+^;y`qTK>)78##eYv`R}Kci$_9X!*X5AQJA<5TV=$Sh94Mv;+pbTfjDkd zK9PX}U9TcbfcJb@Hi^HUhGWO@YBtPRu_%GRIeOZ0+gJgDiagY|VGmqh%Z5ey6vX5l zCnxEav74}&jfO!E26+{5Ex0=eHUYI`Waz|;h$Cp11s;xm2(7{07v5c=>R4~f`#c;- z_$Ow;ex}-jHHZ_)=K*fK1&GOc5JPFiEG!tTWxy`Gcna^{d1?|EK7y1TWqAUYL5ckV z_Vf2WlJVOr^VQgimoCeH<27F~(Z*{N1f=uW_L(U&F#C-K`Hj|s-rnB%%B_y#2U8DX zGWnWAtC|akavwdEgAmNgWOJ^uZYey1mX;4G#y|&>rP;j!hP1u& zn_>pt8g>v%87wI7e%qQ0+(&b%{ z)w8V&O>6qznXTFL_D{QVwx#ayoF#X7m#kVQKj+EK$5gx{@h@{OF}rrH+IA?ntv3kI z-_-J;xl4IZp=IIxOWfP?&xD^-_Bpc*>-ds)ch2+{f7`in+P9tW1P{u)gYO>M_qOjD zAF)3ABM_wF$kq>h^3so7XkO5p`*bR3=?$+_%vDCygLf=YFLpXU*SWLhvdn@Tua>NIbdy}+8YcGH~q7Q$_v znH@Ri>~nOZor{sHxpsb?nsKJhH_X3ZTbQ#JiT*Q4AAF0A?cQ`~kb8sqirdzU5rS^R zmR8`qLIHN`3NgzKeVV%g3*>Tgr{Xx9g5X;PunyWeZLz_QIrA-Zi%lqJ-*mzoe=7&+zM=sc+?#=@pce>{;e#*L6T^a=U zuGseC&AW4?3*C)tLNJzv4NqNc4+4cT*pQWIS24qwy~{*@2hjI|m(!lt1vcthjseF;jBQhqArFCMyV z`#)o7Ij3{2WMS7Zu6tsWJ-$ZCq@sDqi4%6F9KZ$uhIVAdOE1QY#&*UF z^BU6(6Z;e5#b+g#YB-#?mCy@QEWa|`Ceg}@Y%Y!G$c626_c-8JKh1PUIvi!!y4W-@ z8)4@|#W!YT481V53)_d;67yDiZARqksrhYY_B_A2GgZJAdy6xlmevGa@~#`OvzedX zCb5?EVLR1~9cT3^wkF@>C~R>+wNaR1VQD8eN7EE$bxaxTUV?FTC#Uvf=QGI)7;-Eq z__;K@2;X}N@J$$rm@|X95j7QUJ4>|Q#OMl}Km8%}n^ur2kJPWgl ze!m&G88hfy71;9~Pyw5OHs&+kg-#`nYAS38c2w_4P&? ztaQ7^4BZT6faNvc;n@TE6)0$S>drK_iSy5;O?xkp^UbTTE`Hpl_q*+MV5dVpwO9h{ zu`p z!55UE4TSXQVkI4Q!D~3te#NGqdVFb^LKk7DR(gI)%`q$3XJr?f0SXTk9h+tMSe5?dPvu-9_S)jTDb6wWb4hW7aY&22C zY6m;fZVRL((qc3(sSG3v{IsmNL>paVmntSUw4^JxV+}KPX=?!+AyP6u<@9C4jDyNA zx)L&hN`o0>9ZEiB+k=#6t1^@-n=PTzitVNwz05Z3BAoW+)ee{$auTvu>_Zni zdB!#>1@vlp;wUzM3pNw>QYKPdXHJRqUe`|3KFn+LT!*5XK`sL%&fPe_X-u-eVr5JvRH(4#?e@c1(!)ijW=lLXaT4)yXjSu;Zjv z&GA+$4%_4S^10Zf++P#0R_P$pJmG=lx}vVNfL&nPsXeZKNC}LkDcCTQKLuT`+v_>l zld=$AaPFU6ue)idQ8K|*OY^DOX8QFVTDZ92?p3mxeoZ~(_NUJ`w9b6mySzQWWR<1d z(b}`AL($Ib57U;{z0H6GFKN#^*)6iSK5`*@9J%wgVERU5YaumTx=__X zxTVp)IhxZQD*4$GL@2kTZ~^vv&8^&Qg|A!Cw(tVe3NS4-eQu6*^&fXK&elR^<(AI1 zt>&_Yf6SZ<9k)N#lEHrHpu2?~(!C)6FKDzN8=?YinsXl0_E@@<%SJ}(ww$&tWlNNH zjuA>B)@$GsSs7nVp^FN;E!MUiP>>A`4jUjyugu+_12B`6hi~B(7zaF4{6w>b&JSPV z!y;zbbd1D5APogB+bG$ZhXJ@F>|v=fZFhN@&Dd`ln<-@eMOHJI=08tE7sH^?L+mq& z_Ebn=@FUiK_(otzIOESx`R2fUoC_O)9ii3nBiBvi%~3;>`cn@rdlMT?g(gW}+ z(4>c~P4iF*sY?p7=xLQ5#F-SRJXD7t=?XjE(q^W<5y#+`6(3wpk0=5wg5%`j9AOet3gVoR3R#q0&3w=DXcjC z&u!F51T+!?3V~vhEs0dFr)WVo7f3sr(d{z4C~Rv`!ITUQ1T`7M14PM322laMU`o77 z*bNtI4u|5d8OVYifO%O~h+Yx_=))KAfG;H9vC$48(G6HAdSC<+>|6k(_M$!l^`I6b zBH`z!s6>LoJ1-xAz2a?~s4a;9C?sS+9wO;sM1a$nz19iQc~Xm_Wza|SK#3^8q!AZP zFQjyQ-GO#LWx1?XNUG#%LFas;Xas#W^JwCL$w{dj4XJ)~ZFwat!qu^bFy5ALw@v4^E_kDvkMBF1<~S_Kodq3ZyZIFLsQpA^ zO?NV0cfL^#ooj-bYPVhtuPuHki%Czvgu{$4Epe~^@c7mnw_!?1IuFwrTv-<&h00) zg_RA*gX6&issqk`#FH*jxAi<6u$h|Dy-j(jFTB4Q<_^BNA!WS`Vtok|!19|6B#yX^ zv@2u}Bmq$nhp4yim0S1DXRX0rnaBb?hG~o)76!#U^~B#@4L0Jkizk&KVdcuW8rPif zD&vb!@3joLA@m-GWf>NF05(wPFDjzB<%On6y*ygOHw$Jj zwA7M9uO~yeulf(G<%X!&G@=&8Roow0-lL}XBSX^mU43P1%gifg1b5sTgEp~emcgKuGlZHKr6Nyk9vEjU8v5VHEpkXq4Ce3G9snPoMMK- zj@$*0F5YEbjmC63pX&2MZ=Zbhsk6XSV5$_GiyeS>!QJV9J8kmazdPc?;A;yQVh(P_ z^103vjm?K=Hh1n;vCg7-Tfel-+1cEAUeobGL;A|~%`?`k4~N0m9OWwyyltn})Z;yL zhq_IEP%(}Nat8aL-j)yS-K2qO&Vxq4b~1lJfjDk~JZN(b2q?mSR3#_?ajP{LXuq)C zG~b#Vn6^iLtHibxQaj9L?hTfA*qYPlEkP}uuAx6&)?JvbDej>HA69<7Yn^YkJZehH zzNh-HxPAYM-NDW++CtQ2eQtLyKt*N(m+gZ?P0gP^c6dlrk2=R4=VUWAn5SOS{IA+W zH#g%?JE>_8z0j=AoN;vU`q!Rp{^+v7p^r8{^u^|(w`tph=QV9`@Sk(ML`$Xxt{c=791nPJ_=B<2rs|TDRU;=8cES9xkEmgn6GB!K|%~_pQJF_Vw+z-z?vL%M&l0KRB-BXP?}BWKneK ztmxg_*WMIueslD->Cuy$7j9_VPGo+y*SEj^=JsdKK8Ye9-hd)^e_&R0xWRMc}J!{NyREBH;p&T!3{@FZU)tU^2=cDO2vqv6sED4@Q8DCPvyO^`~*W zL|*FzL0dDr4PU}{CU!vGkdYNAFo;Puz<3yI274ReMI0zEm=bXYoc(~zJ_FdUbPtkb z6~A|L)`T^chmAsL0P_gNVKh~O>mD9dg(v+8t6TbkE5RmWgn8~pV79_u$HTRE>gl7oDqAG`L4WWZX7?ery@ zZyZ+J)W%E*Cm+M4Gz6sNFqmh1`BaFaw;AamRI)iaO|CuqYHU0aYW^TZo<2;4S84p6 zme!P-5lUMjj5!A22NkrDrl(C+dHk8FsI3lnmrM?-h6s>SY|hIS418=UL?yl=eFf?z zB*7*lS3*K61oiVNjz=KQBqDRwf-2kT)>M}gxK5faT2!%$OB5oGpkX<{Tu*`(UlfuE zfTKc1KusB&H~rP7xV4BOxmrO*5U3?=B*9}ne8A)Fu~f?IQ!)IIqt7u=uqg}Lg;?2_ z05Qj_l*czB%_v6&Ft+He=f*`4%T@$(yTEbC!hCpUK4{Q{(FPdOFzWL>A;I?r7=8it zK{1zu7txQ*C=tc4!k-vW1Ps35!egu#CqktH4{mppdd=aV2NW0KqWI1K$}!uL8VYE0 z-AD;wo(D@Ef?%0UYN3bqQQG42KK z$%pz>8gsET2Fq&C{o6kwrJu+2r$NAgCRvgcXg&fbm(yBDJ5T@-@Fb!K6u^^oD$s%Z zX#4_wke_0YJWN@lATY9gS%zJZARfR8A_a#Apx_asY@}6eAV9>x_aoRG-h6t>^(A5h zF+_`VOew^1yg0A21E0rKDInoPUT=Zw01~E>?&u8}a_eaTB;Az52P>c-B>4G_WXNkP z9n()mOI-RoM{86-y1-5|-z%Jl>U3sMpB#>erB8f>s+N;PY0N5Ka%HZ7CY3*dMeoQIb7B;;0vmCga$r+cD_zDs#_?UbLs|*13CjW{{2U=P)8JP`Yv$5c3_lK zXj&UX4y2@rvAMqBTFirThw_3>;x@y0l46+Q{@dyE$R&+3dGyumUV2p>In$PG=^v&| z9<53UXMi(NV|;h1P64Bpcl~%uHm{`S!Y%jkoni@JO|#Ptk_xw$MnFP*JPeEb>C;>J zJ@_DNXWHwQ?SaV83~FYwj7A!mIh^*p5yp{mwdYyKDK+| z(6;H{Iz+D8M4Y<`durqHAje(E(`P@k`QcllOK0w$ck#JFL1<(jhEye^J6Si)LO2>tNjS9rg&f zz?wv#2YxE|Zm^bMF9i~vFwc%r`8XZ!u~^HNw%`57`q~^Nq6vB$(uSo4dn+VoiJS6L zo??BA3`8Kgm`7s{JxNSoc@Ei10~=&zn2Xg5%;?F%BGlsy&>6PmGF3VHi*o^yYB=#w z#-0{P&y%OIoW!jQfNJ^L5)g@7q3<>xyJvr+VBQ#5Ag5Z62^e%8>nd% z_BtC2M*dh~4OMmVvBTEh!>FtD$*9k5Y+sUFx&T^z11JOFyy8Z*_umV^m8gG-jj%H5rsf?O-Zyg0?D$UeY~6Gx~Hc# zqsSk8nmFD(7lCyXJy|FI%7-^MS%VDXOBi*Rj1D9 zd){--`~J^+PCa`ZuI_2rT0uK6EGnz+Bk(8ujgBQ3?bLap^lfCxG%H;$mgkTE{zd5x zY0KaM=8~bq@F!{PUr0teH*XhmS(t}x2^MRsT5f~^&$$zujKjMANRfgpO1VgORI*k^ zQ-zDE0s1R>yI5$Qi1ZbEOhQZ20jcXjf_{m6@Lu^{P+|$ttG@W2@6Hh_zA*JPkR-a` zR*b(0eO2K0a_9~nE&m?BX8t+(Ty&&*x*9?y{o9mZ|~+eKJfMde!|;@qU+b1p%wyu z<3BILJPTew?KOPMfQNp2aZ}1$zJEJU8$8 z;2Xo(3l0CqTEFkY?^C@o-djEcuB6SJ!g6m2^UNdXZ0_Ii>fd-wyU)3zroja)ItI@lAG+wUSIVmb26fe_)cJo+Yi?ML z>X$>S>8`Fm9FTdp%Kuz6UA?MXt=4YH)v9mQ%byt#<@(8A-~-(lDZmS!x=?A*6UV=; zb2q5+RMj9?sdQqss|BN0?N-~>ftqz5tHKP?%Xi(nv*jtr#XoGj3KSR{pn?8oHpYa4 zwU~dO65dEU)rZ4s_Tiw~sY1|@{4;sUyh44eZ=u2e&j0@b)$%6}$};A^e?|4*rx!0Q zk-nYMef#sh71dSGzjj{gA3J{mHat5QzQqdcIkmgyclHew|>cYq0e0FfdjYyVk)Z+gcpa87Eo+@ueD>Rm0fn;*r7J)G(sF8D+eP*@X~!raeNUIWa2NSZ!svAI-8~ zLZR5UD@KsVdR&jzqZdi$^S}8AqMsa}epT1~IE-BI*z>`V_=Z0A^|zaLx4!sbljt$FABt9G zEz^=EZJk`ft3;UrDS@G&89Pt1u)sD^m@)>7SSSran8<2eC|pCL3Dj*-i*(ktGq!7e zC~??YBy8MoCG+n`x~`C(rU!8_#ZSvBNoqGLm4W!;7}xnFa)gnK_7RvxJKGg(3APAY z0Xr9T^3j=7LDZ`ZjSQv}LGOyZq(WW=VzP0-F+gR=Z6Kkp+bJvel2mL22?^R&$$^I! zmJ2O{1ica%VgE|K%FFA~?52NwH`WAFR;fz_dc0 zHPU`j5@)@(8zsCY=U%)ZXM9(ol_?`1ke;2$%C)$N-1rdCfJdt9Do}By+!?MBIo0vl zm-~vO6LYgt;t1biV`S_2Y~i8Cag=HC-&ohQz0AOxaZ~E1x2S_dA|JymGC!Iv*l!@a0ckR3V%h zJ0!`OJ9(#_c=Ws%yx#75>-L}P_{#KrMU!x2U>x01+=$7%j>wJQQ6B$k9qs2SPeQb- z?Qy@et1&CTKCv3JW3^eu%XSt0kw1MUSangCUC?iP+N~`8)}&!meKWnXp)&mOrUM-{ zrAqnuE6S&2jZ$nCmlVEH6>DL&BCmq!W2!}&RCGE%;`v9swQA73yu&b5>ngu*#EE4& z`5QU)v~&EJ^0Qv5;Lh%vs=BMk{k&P5-PK5vPSDQPx;NBbLCHn!TQShB4*c0gwa-_3 z;jQx(VuB{ALM+SFUu&O?I%Bo&*mdDlwf}qW<3aN2Ks3ZI9Agge+Qto_yh_OoB4 z&5x+Hl$7@KJAp?520Mg%O3m1MR|?uO zM4k4gc8why^H%S26^%AqCH=laty`%*ueEAQJ1~8+y`^ToS@)*EsqW3%dF`St>CmR?5B>M_3b32}Iy>jBQ4p-O~&im(Hc!%T~JezwLf`l~%}~e$P|?_cLeCQ-XgAv*Mpd#ZE1vmpy-;(Q@-+ zKiPo#tu*pe=S-qcJ$L^H7fhm$UT6}1o=O`3Q%NuJ5X&{`Dx!uFe?FgHA(fuEyy*=E2%WHS}o%yaz5q3lYcHWP9iWuLrk zD<8-k=BNC?{FPreVz)&QZ?omuOGe9X!jkyStvp3%zcrczG5Ul#R2V zQC$bkfDSx6pD7!v>g5k_>9>OZWND}Zn5Ew;qOD1BO)3I&gzdn4nyXfLBF|KT$PoFU zANj&|Tirqqd(p}-heqqr%^4#$YUc75yO8-XDCDEehH+AeRpAD*CAQ=`<|-$)D&)bg zrvOSC`AKEkT>^Pjrd^4alC8bQ6*vPYqK#CX|Lt-VHfc~YjDP%N{gybRae6n(1s+8k zC+#RUWnIyJx=^A4`rV}yJeZr)kc-lnJPKR4Bo{le3ebW!Y-1;{`T4tzizq-uPh3aY z(#UYPsC20djIpd{2kH^v<;NK)sUSiNj_zR)p}cD$q`_(la+gHaeqj)ddM*nnPyT8~ zJQq?G7E{JB^>9pep{E2R8)2(Or|(0=KJf{g`bq`F1;+fJ-(l;pibp7FBLVjy9~~YR zk5McnFwRag#F6~93yo&jQ^0#ZIu5;B(oc-}^=n8R0#*7?dBj#EP1j+7>&Ph+d8L~^ zG$!_&HiuM58j?WN>@(B>gjVv?!$D$OQCC_tqIS~{7YM)4iuu(@}Eki zDxK0YzLvjrZ0acC#4WOfehMh6E-wwQJ@^U4gCAr5_b%nv6Mte$;tN$E8||U}bm8r| zm%fDNwX|L@MH{LtIJpaqe}2Kv_Iy09B%an^9#W@E$v7#mdYLS|k}JvBnm+78hZ z^A9)+(d8EP3dJ*b=h9icqXwEj|MDp+Ej5(7FQ&ARwrI^g*6=lYM?ic6olOK6awiNYUKBSH ztb$wK2+_Hp|F8dnmn{iFkCBUZ3>jO5vnii~DftbS)x z8LMNSLuNf02L==xILTs5jh17mP%GxP$>CRwVt6${kO|!m02?L3rB>KtP>2yjdP@Kd zp`%uKf)!x=C8Yq~8Esm|){iw+$1_?75cTbtA4V>dAfk|aN}&{L9e|eM4vykK)0Q14 zF~JkF9!8~v(=8wy#TV+KjJp=kjMb<^)B@_Vwnw&*Il6Ec7`k7W-1*3T}$8=_&N zTv9taugCugpg`--5hbE9yyvgpc1h3`1r%iy2G9wTrsSeoKwc+_i%%d*x27udA%qXx z)l03f|KpM#Bf}ud;9e-oRVof2$D;kV6$C){G^nYe)CB$t?Po!DAgd@5U?YsEA;Tke zX3CIyOC5DFY*!Ee^Z)g`B);gREitq5?x1VUN<-|@`utdXywM#)6YZccE~xPfSG!xKj$Kss z#N|Rap&9T>xaY%o=$7*ToaT?xM&O)i_}^Vm`B3UniVGAC-QS;8BQvV3e#u4SG%wDS zrjPrb4kJKYt@S3&{dKik`H!kpIi+xQzq4NXGwhPpyN1s=&NKPWaX&t;RKrpSAN5Fo z4E?_GMBS>*jx~~5HNi5rX!ti&wF;VQ_@A%VE~=?gcWkU2)*cT}2A7Nao=|Ygd1I|R z5dKm%+~VF*nXX<}IdF{7f(JaGJRY)C%@TR-@ql_+VJ_-ccf9(~O;4-QP!hJKPH5AE zrrM&Ziyp5!9U-qYhLliIDcOR~>#kDmVR;3p!3BleJI0k5V>I3re&hb5byWURXS@N( zGOIj+AVR?^!c_G?*sa>#u^>QEmNvLt(_6St0tU5{+V?s@f|j%cSVDIJ2GAh|tzgCd z#gBLo>N=E!mXQ#;q{@EBky>1<{GOJ7pyNvwJ&Lwgsbf_=~&n1dAGAm)n-c#7sRMj)!+ctxu#pav|G*94tBS+tBvlsF6VV2m&?ap zesz^-$L>|S9j~nhRO<0c_hhZxLlbHP;W6Ni=iP3~evCoM%gq&U?mp*y^svICbajU8 zbksigdhre}KhMpN&7AkNc-!l_x(`b)Bw0!SC#0*Bq$A@NQvb$C^Ub@Vf4UvW{{~cW z(}63up0~gGi@o4%8UD#o!TEcwPyV!ftu+vF4l20pk^(04XVtF_{XjznKRBZmfA)Ca zx#hLHR|!_|+PzNe^`ouVSH`b9ER-J@c=8xZ`Ne1BdqgRJZRy&ZPOZI3c2W0sE2jVh zyQpu{yQl|8ZaU8X=Am>ke%W*18x&K6p6U-;kgEPYv;ftAuzX+V?3{P@@z%1JTJIqj z(1Il_--Ag^0x-xf>e~e^xaWdh)I^c3+Gnw604>l_HlEmc>IaiwyX-qxeBm7nX7h_s zd6sFH)n5>F=92|rJ&I*jm07o6X<1e#<6sm7K~Q1Y!J7(l>0~eR3%)Jjb2OiDH1}G7 zsV4v;!ho!XBl#n=$Ca1`X>AtTOF)?xB8nTU5{Bd|Q{ zyQ~f7j7iT75e+b=S7GEN?!J0rgu@1!GRys5ChCi-0yQ)ZW?_RB>T4`}AQQxbwvT$& zi6E$;KnBsx1NmG3d(NqgGAbfn?QD~0{VXOkQ?O}%mkc^}N0bwYqCU~!N z>;&~0*{xM_SvJ96L5U|XJ!AqsNhq8cV(6t_GJ?+(1%vCzEtRXIK2&NnRu9xdifU6E zBqF&DB2pl|D9&eucyaNGl*o8z3@CK5!tsSNsZN0L%49_&6BU&K^Vjo}+YT=83)sd$12;tA=LWJ4&)lzuDC#l4~q zGz4$##0*=!Bdt(kq<|Yak+6Oyw7h|kRCxZf4Mr6uKemM=&D0t@;$8`pNl==y2PgPu zRiWON%vk&rm+KLloVG@DJ6)H5IP@h2Z^RxLiN{?qkw`))V zggqi_#T83PNytNrvT-HNk_(xoIm+2qX%7K$qJOs~L1-cY(srgIx&~A_9cm;QaRiw^ z{xL>1?wH|aI!<4ZGTG_?QrMnnj}VlZ6jMij+yo1cq$7~2ZR(sO>xxY#9L<(_4vlNl z4jIi&bWq>P=yc%&3^pMknTtnT!Mh=M(j9R+BiluH(Cv&*gzCa)P0)0N5u_|IH5c2O z|L9+{v}sB-f^oIwUiGf&ldcV3!iTmc1Rx0wu;MgTlf!oM!}g4m7uKfD+8ilSxO=<5Hx?pM73vU>3t4dyN8bEO zDJY)4$gTL!H~xUbu$r8RwWLsnfG}1*Uo>qe0FfDcb)q^rdVBf=Z?uIsg2f0r7hN5462utRAx{o2h|SrYiS&KD1c-650~*Q3aX0^8%dkvC7Lex zg741%;@`r>?KCPGX{ty$E^w?UJA{v1#wrw9or6wJhZ3sO_iWuG`(Q6v(P+D3-x8VZ z)ZWnoR+jxA!$sGOGVS52ZOU#}sFcr7^6`fJF!gYzD{Tk6Qg?bY$THt69OD0_UAX9T3R?#C@RyRaSC}=bUHaN z>f}dar|HPSE$!jFhbltWw2;^4glbisY^JQlsc!b9wS7;#&kQD_n3YkQ!gu>L2ih*< zp7bRd6U5a$1JZkKrGOEFu&!$GebRA#h5l>Sp03Q_w%?vE=L_*M>Ajv;L?uv7XOe$r zp57pbr{z7b?9tP`LUBnu?=Jzc@Qkv@ad>Uc9)p1x2hkQK2hI9a92L1nQGg#%WC9=& zt37U`tkL?i4$>J9{kY2wece^a1OqA@2sWL)AF^>Y2Pc-L9Y>nJ=)}#qnJGHX4CGV7 zRk0fECjS_y9-{0E^W6Sj%m@K3EH5O}onJXy`*SgF%*>uoMbBg_`iYZoAH4 z1Gfod7`Addu7U^Y3BmkdHORCj<#-FnktZet0Yd=-5OD*|(lQcI7cDJme_qMko`d5S z-M@U+`^xkXXV3X+WO}_S&WIMA{tXvZXJ}-4NC_h3L+-LxGdt_OwlnOF%nbVR%z6~< z>7kLC_4%?tvkYJ$-yYh7M&7-%F}sUKm`(8ve(8vS8kRJKR(oZkULXm&o`4w<|AjsT za2wsA9@r%l^Kv_3f!}pmZYLhb4W*LhEdzF{J-&akvutYU%12rJUJZmHMc+L#gVwyB z!}LZz;ksYlF!FM4NDUm=--$inQPboDm)r+B`Qps7)kErlqI%_#*+CzA(?IHJmiC7)m3Eq>X-1~=g>n%S zjG4ia$A%|oa>dTFVrN*O3PrsIrx`AI8y!__dYR(P)zUt5yQZj1XADD(&MpiwxDSlR z?1zm}-8d-rTt8nm!a6*DvVyN>hBj^(dDNXz8=a9)Imbpizvy?au62Kd zGER-JrP_}NFDOB4z|cB^j_hg-s!X-E0SF2MllN9@Yus$m=(@7;A*o?D!jMll1+(dX zxH0?djTgpdOV{ek?RxJRwL8s71tK!5rgjPJLhaUccpQtUO`|hbc&PI;jLOLEC<-!h z_U3Pnib5O_KbyHV(oB3%=$!_K>BGl% zpSu48r~dRk+Vlat06A+;!zCZM`oX)`KY!{26>Z<&i?Qj==f??Xk)BSsU|d0&?hSr$ z|EZ1as&CzT_0+PLVvT{U{okKCv-C%MzXqJKc=#G{#(9Ury*`*iAgzmEI&ksX&aVwU z_z@tGAMMq+$@C{VNN(&;lS6mKcW-&B`O@`ONSo3EO>BZ@PoZy0|;sUpT9es?qaY_+FZ~73$_sp)Bggnp?QY0 zjhVmTswm@)zVS#6o0IoV@l7HvK}`1SC2UAXlUNgjoixUIEw$V&}GB!7}c%D;*n2IOr zplMgl`ov*pS!T>CRh^gr{s&q=rykmof;dD0Jy-}pBDF&<5}SE}J&-5xO9P3_AhIIE z0yj*b?DVFk-d=+1H0>x3~h{Rgxb_~B?sHhV27eq;8i1qphJcT>e1slI4cOmMxIh3%rkWrJib}>pFDrtK z!ktKOQ4%m-BA5vu+wc|yL3i4da!aGPAu0ns?8ULeqFPg3o2Y!3 zf-eq)59h=vBnG=67-|CC&F_S?Y-q9D+-}AcTbDo=?bA6=%9N*h(PRzv%-MGMTqEWLbWI-HLuZm zFbbtuG*2x<`%?lXtg-3(cSo66pQdhS1Qnvn8pc62=FYZgZwOfMXbmPqSNfz1&^ai3 zz=CZ+?Px2SwmlHE^<+iT3P?+5Qj8TYbxX}!3MVZi82r5iuTw1l1 z@KX*?r|CnjTsUfm#%Pi;MzNfR(vvZ)&uQ6O@U^}1B%+x+s9Q~8@rb4+EEzIJhQ4RE z=8uX_v4n0PL z6k}@*jq1S!Ngc-K@K_kg3k&v2tM&9V2?i|2gy9`P!2mms`r7DssD>0!9rY$lO(A;oe zL9~ZS?adovKy)LP4mAItekqkA7#@iwAc_nr1cB9Qf7)1titTpNw3OJpQEut*6rzvR zp`qYE;$SFw;w~_#72M zoOvi-2$S+QEFxSJSvxHK7$^gh1mI_+N~lv>2^+c3H6@$2qU*@y0lU$|ZCw*Gp-xf~ z7)?r6Gu21G;@R|LCf+3lVFFItq(XV4eRIL*2v5h62_)D~TXVQQ(}r|ci3-FeEe64) z*`>eZiGzUD4c}O28EGLvv!QpNw*+%LN-tfGUSTl%7wka|h*_+p=mN*Yvop%LP3AmR z2xt}N5LyIcNL_Rmw5jldc#;{pOXlK5aKjFort#gsk||I-*ecDC-A@?~MsuB&)0MnY zbjc+&$`G2rh%9tk5`{WQ+PX79N8ctDqlsRN~AeAt(;UBe8OvCPjj;P=oTcUQ|kAhMegecFbJw z$or_TpmZwtz`Vxn0-qZY#PS27MqIwGVU>lM^vCWc;(Oi8gv==+*Xtp5QNF zy=#U6m4+GNg;`Y(X|}+tDb}fjXhTJLrOcC0w+5R_$_3QDcCIbo`G6>SjW;n<8{67f z6$<4r?_1jo+iTM|6pDjR=SBuP1!6KcxTkaH$gDG5oU3dz?vC~)Zc;KC!2HAvYGzRP zT{EydRiR6raX`@#Y9+TM{eeo*v#8-%2xrj?>^>|>+GF&2X(@H`=J2mGjHE!v8So7d zFVmjM0YK$B5uK)OUthQ_zpd6Z8*0^{<4ik&KXX0fb<(#dv%SD3fV~;N>FiyCYV6W# zNn!#)kSSduq_;786CkoE&xmHL+@iF4@Z$u-{dfR58)rhXfNSwz zT-u2OVPzYpfj{9w(vpcK4RPM@n|;oEIe*{Zmyb6)=-!_b#AIYv8Ni&Yb{xI2c7JEE2wZ|HJ-chi++BiE%ze}sfQR30 zHEYK#+fVqb^XKoI9m9MAN;DX{(X$omGq{dhuLt#ZwLOmc!7jiL`JJtzM}zyYiGm;S zo<<4Fj4Ba;N2;|x9cH|SXAF+;LSA0hepudL9{HrVF@O0?e)TlC2sl;a{+SQ8bDlrF z3}cp?H~VLYa^9t#|7Bz*ZeI-45FY$w8BF2D!MwS;k}n>;zUi3T`j3eX%K_vdzP9aE=+&e)29 z&e(O%_m7nt$4XSWu`8a!0w#REM66w_v7`{FWP+B~`&YJByW?x!#w$19Ea=G>YqPUZ zpkevM+C{7vN>9{Fc|cSEjD4MYqr3Yry3}e2%xVOH4H(3q-Uj}*tl%kinLDCp2G=^p zm~-q0&7g4V)erEQr!6yX+xLR?T$Yj5^z=wgP?`}^Y=+ZzWU*A`9Bvl z&b1b}EAJ1^4EguZEH5^jYG&DG8-}lOo?AAv{=GvZ$A>!Y8*4|eDt51$y7S(!I5)U@ z_?Dn}v|8NTy|Yl9ocWd7Txn1D&g(Yp`NKQ9@4w{}d!G2j?4R!G-X!)!NAKK0D~{h1 zHfF)RZmHL1!6F55hMi}2jm+-4Wn5jW8dP%Edv`THzw7&5)#z3yCj3uN+~Q#>LmVab z6bdO~UD=rZC>8CLt}WGXx!K3ihBjPFh-$4f4oF0#(i6c8CEf7;Z2i5M4XN((Td#h1 z3I=IBNK0IdJIn%dNHp^-vz(ahGxdtEp7Evs((f5Tbl>*E;9QSp4Cp<`$RN)9_#iW$ zxHKK2GKk6G!3^I!y z)chFMEZbA;=i*bcUFIksJ4iR>@a2K+>6ZsG7{IKko#JB!n?AEP1%GaDef{DT{K07E zXqejOEPwJC85P)MBQ`M3^REq_T%o@{F!_%^oc!MIr}Z}umR)*}{>H%vZ#wWen9-&< zHJlmRe8s6{&wYO>72Q}n^^w*On*Y~db8h{cKPI3pWHdv;g;n&gC@UL7xi5&VG_ zvIB!@u4<@)(Gcbj4xRx37x=0Cj+;#XhgNof%;lzX&_!+wo zxrXW?>v{d}3JP+H017Qh8V5xMVxiscR?yd{-QbzPF{7n)+cD>rU-&&^wdcl&sg$t# z@thyD*kNK^V%k~5+xBo6WPMc~zNE?#?slJ-U+z_tp=!_!mMQDBolM5br~M?_%hsHF zXb0VT5Dg-kvP~ctTt1kM=*q$JtQV~LG&?|oM)NdDFE{Nc2CV4Qm7wsnNdN0pQVIFS zZT@i8tB#smeq$iNsrz$=i1Yn?Bu{$vtI3* zo$NkYF&DXG^j(C`d#Ul0Y@+Bje<43%Y({)S#?099E6=auBYeD*Gt=Q6uA(QA5C6$Z zh7nOTMcpS+T?Bc=o3b(X=!em)z97U&3>hD}5c^5@X93majoG9p%D35 z^sx!p54o1jtY%mbf*{J)OtzL06VwQM@r>Waq~LJw8tbM1WU&^RZ`w`?0TCH{l6LD&HG}w4$hxiK?N(#0cMbi1^HFAESc^F;A;0Ht3EkP>9>1 z-h3~^&E!!C8u1c4$jtxU?_#Nu%>yo?0QG10$EHoN{9!WUro&B+($>=?X& z;TOBea5gYh6$28a|s5)ls4*{psWx+7NQrSlyuooRzLn?Gc#;^gBj!S zfEuMug>{$__6Gz@j1&4XBfrLIR!=G1VW(wVhg*Sd0#gN%!%`Zmk|=HjwD&FL5=xun zLM=7>ef7FM?Hb|ypCmR3H|0A6hB0g?c!)_2Ia&i=kPGB1rs!y)Q3g$`ph;;MRh!w3 zrbLglggfPf5VjR9kpU!t6G=561VAZFdXhOGOSB^AmEYdqtMT5f%xwg_(o=Qf({emH zOOzt=@|GZkG)KnHc$b%Rd2bjXEX%$slj+-<~CtDHph6|xro)1k1?+RohuQ?~{M*Z-X05-1# z_Vj@7vYwi>E8(rLHXZC44+1gJTgg=#7BYaijb`a!g}jUy-p(cjQ}l3nktQ6?ga%6J zluO`#q=s#wz|(=TB@;#%b4Y)u7f^c~(u|BHN<(~8^bl>LMLVtLwkQ5SF8R~j` zLJkS2Ims9mW?_=O9z+@SOc^zJM4RjjD2V)_NA=MTTaU5xl3liWFc_|TiIcxGOp=z>c4I%R=rB0>zKTSgs6r^ZjjFd!)g^{kxq1TRe8KiNL#X?;q3M=l`UGJosnnq!mm^)+fiiV`>TqiRp z1j&K2kr@GRX4(#DpG_m!CW*ut9=RkeuI)y;L)bivJjk4=OA=-5mGlrtg8d)gHll4U zuW;C7e<@S zSkk!w`ZDynjQz^S$Gx_ENw64a?3-h;x#WY_t;{+;MLkr8giXyF*xl*LL{ioc0y2E| zDrV~|1Ptl&LO&WT9dztW%~4BJX1QW+seDp~4sV+rkkfY3uQt+t6o9T!AYZe9tw~`R z9u{aS5*?hMHk6uZ*I6DAeoW`CfP@%-`1KjZ$^|Ni=m-EX_fgLTjNjFp}KcCS(M- zY0_(>KBnfJZ(iC}554$vQ;uz}j+x;zVg_0G`MZ1Wm7Wm(0`06 zRusW!;~}r)HO~}0|0yhjMo+PvHnJpNrjsJsRod6LffORQQ{`F(ow6C$?7p_!u2K+4 z611zHpLAWIXefhnqZq2KMyZ4agfgPJfuK04n$y*w2rxD<(iC0yrrrAorwjYz$H1+g zYfgTOuVfaMd*5mW+5Ew2+N4>mPx7_3slmd*o14vi8~ehJQ+NPNlb~EKeTKnvY7pBb zRt+6Qlv-v-la6h}3IQ-0%n*hGZGoZn!8~@-rzWJVm;{Lh6|kIzM%~^8tD5a9&rBM( zwSz)&Zh*nXyVTnBiELRdFYrag+u0l3T>csF;5S=G3VXd_Z)17?`f{?du)iJ~2h}5< z$%lCNcXCJu_css4>k9`tnCTM*I&ES9bWVAkh^;as@nF-L#;_{iXeu!r0V@+j4Yz~p zudvTbtajA^d1Tl%+odpIlxWtTGoj|}W!LwjF z5QG4Zn*ibpF$WWv&r?AS6ie+>WCv1&XW(IDlax9`jlFx5&WE8kfXxtqfofNu{IdsU za)+AFIxpwThvG9B0jWm|zaD=iJa{_(hvwO`czWeSlV>)pITXKK_~4XUafG^V{DtGk zhBkhAYW>J_laCZWQFyITm>L>6>y_7zJQP!L)dBodYs=S7_?_E_i%)q6XZWD&^w5>b zw{w$EImZulI$rJQKyB3v$%e48VFKf)Qe#71>f7i97`k=d?|1L0Z>@rQjjI&{s?G;R zY6pStx>dbLtMq92g8M{}JPtNS6>GDB=Xb|P24@EXz_03c)f(VeOVYM=?hO{`%|>KqlAHsnpc6Lrtl0Txm`I7uxvcLV0b$ zug4?b3Lh&!M~gn28=P4`^%!I+dsAu>1gXGy@|dSi$KP(9RYI?_x3O?pS&ek+G&L;# zZ2Ya(5wC)$^JqW0=%zf(T=)$-)oV)@X_>B;pT-@h!EH}b8^R}1B-RU6Aw!)vK| zXYkPG$GpcrC_HfFOu6vc$+O-uYWHQh;r7C7WxkL~#UCv{H~Cyl!#@m1$HRdZ_4cy zzVt%16I?4q=!`9c2wOD#HOm<|yY>lWHnv94F9H7`Gl<(=f|lwi zr2*wYAL!<|oZb#T}DYQaBht zg$l{1_K@gAYV7@+WIX9dcPF)sNST(GeMy#^gF9j^EYH2R2^I?ze<^sbY#WoC>L#9;<2JzB{{&(Fxt+%x7O zFqrnVtajSg6@S0~&3n$k&{DfAFtIP+8<+2U_&$J~)XHhkIf(0D-?8?-79iR!Vionh z-SOf#J6~TVpVfSWJ6bFcRa; znd>%v=ax;UHm*u{xV`7nAKmMmdVQvanO2Gv=4FQ)=3R2^4CY`IQb1$jGnf{xzc z_Q9Lj6vyE<>1RR(tYd?lh6>qw_5(i0+5OSFR%|#lDom6ys$OAK;4&tvrfr_{?ty5X ztBg0JXd*I83eCt8*tZ(%g+h_0@J2i_`DDvOgK!&Pgf!QosVv|7Y>YIBrf#0_ez}!r zFIYvhSih%+MP0JI|7|3!IQ>oqT4hRTWKp2KGnT5kbyV8$pj-3cbzQ zVt^(9V=pGUL{q#3@+HZ$vRJYZjBNSEON1#L1CTt*FFIn@I6?B!MEuOl z?<#_mHq|ppMkQ_HAR{YL7Zp}DquKrh`#Dwh5<51j8H}>CVs{8;7TVMo2*LL2Z5n}uq%KVjj4aWW3XNa?);p9v!~hdF!r((k^L zQ+u;g2uf~Ll^RBw0c4;EqeNt%VDhw(654W1v0kn)Dj}`W4g$ky$9C4$ic%L@zYAw10RYqzKIevn%!?2QDEv;lk_7Vd6M6}y*bMr zqdu^x?d~(-RCKu*#I6muQ&}sDRT3x5TS=_k_+2O;iV&raOKhfvtWjvS2R;+=)%1Kj zA3k{(+x}o3k5i0t+5T*KGz&4&Y4pURh^mF;v=oBfQaUusKM}yt3pdBAn$_U6C|EQn zP9Stp7~h>P0dAtBDT7xeWGM#?BeH(}FR4j?R{EUmjv-1rpPyLAZDjhUSFtl{XN5ZQ zk=vGOtlQ8`B69-hG+!|yAOMnZO(s0Q2~~MT!zuo;ZX}baPw9j$=uG!HagHBWsJT{DOchf0~On1!j%<%EBaO};TRu{DkGM@ zmD7T}Ac&MDgDw;k7rCx=!ZC~$|Kp@`!1Y1Art`(T=Nth#0@sO}@i;||nx@Km*MPjS z`_#sQVGVs(ImYsfs7+X?v`hKr*19ksB9)#DPr$0|!AS;MP}~r*Hc23m|j4q{>FWL{vsg} z;oU@#9%Zy42`G?I2x2LIWosAtn1Z>7+Mfty-A6G{5+#`c zN-|ARxj)WE(lEASV~&)cb{2n}@L^jKocO7W$O%Vf^o2Td)h|P`ARvUH>kvRn6yU?n z72mx`K6O6niC7Cl}2 z2aHmZA@4w=osJXaxAU*Xs0#&Oh)DA)W*>VoC2lEt zLFZ*kAA1K;XykJ zv2d4W7x0KD3l9heObWcW;eR6+dcpj*t;Wt~VkD#4q5fafUQ5Xuoj5kn;hrO8ySt+eXkW2}Zk zRF*J)*!(*V3_67NW$qvH_8%!vK7xT(;X5srmlH}DiDe^RoQVc0jjnCmAx|2MwSABRon8xCy`NjRl&e&)so{cS(3bKh;jxx#yKPoDQQ-Ii+oU}I(k#t>JIw!?U zn+9)TNf|*D<*?dP4_Y*2j~hsKZ6AD$?N#h{#%&HRpsU-=8y?$)3igcaXKIwok@5|d4L5jUFtnhPn3JY3*NtJejDPboOeCB2yH>)uSig5?G0k{6pk%WgU}bQJaS zm9G`NL-Fa!N6Me@zSDZH`Lb6yH2FyYjR(B_O>CVy%SHw#X$*E`jyTio_gY^jReGIl z)Z+>RKqfX#P3IuX!XJo}w!A8FgGw$(z-V4!@0Y|N;ut{bL}Qj6O}px1enf!AKDdY1 zX#Vt|UeJaZ@KoE?sIz;|+zor?cJDYkT%2}Brf)1_tiyJ|oPXy1PnRj~q0ZTn^8J$! zHP3)kOuk$$?0s$f#G&TPh3yZ3Xq@%D2g>`uS)E?b#=A3)BYVKGz53M1^dLp|pPPKF zJfkK9PAeKFbIg(Ub?R*JlZ|)9XDc(yR^&35b`C@>hDWbd$nLMJ%hjWSB~YB7P)XU| zH&eYj@YcHOJ$VlFJ<@BPyDxf`c;-@9$~?mds)MnfFEu{iU7OzHL<-M#U}GQc6|()(z` zZ?~u~wdc8fsQEXo^;G_wt;f9Eyw7+W-C;KIt#z>CdJH2jOrLy*rh2SA7|UVBR3$A5 z7o?)9(DkWY)QP3XMT+$>Dw#IqY#^xOaHG`OwbqqLaz>EPaa8=O620w-iG9@T2n{V2 z#F`80v3t=mcM2jtKpWP+C_Cghrm0)aPu*FZ-d%j+wmHDT+eePxu;UM%qwF`_U3>Po zrk+~Dz< zLG1C$ML@arY@AC^I+jB6M`23r)l~V>ZtmJ4+CFnh2LpCt*nHR`Xz6@@#Y(F)Ml~OG zRqrUIDDl}%Vu0055`rj`=Yj~9FM1-<>; zLvZd^-|3l(Ne%4)$zIK`*(6h%u{V1#bI{4KJTDj$*pvJ*X~?)j7UaWv;02DsgQ-CO zqV9=+#*rVUs6in!T-+_SRoIXlvD9GIj4cKX;hAVD!`BBMzra%K6qwP9H}9ldz<&-b zZ0<`BH7*kTI$~UL4wS;M>x~%Gf!^-EXa053@Mh_E z!lqMcghL;QU%SUS^-rsMc`Slb2jsg-&t71wweoQ};E1!3zk2TpjTznly&pFJQJ-&g z_ucp3{cD_3%$7U$&#fx2IKE+p996VlIK~$YY$SP&8%)*^2`lgpLf+nmerrI*^!nC5m$gJ9*g$s*GVphCRG4*6P1SvwR z-nTD7W;R6z2uUKTT3#y(os*$j!(mp56t=hI4&8weGPkKM z&M_zO4p+m%VJA{qI`k!DoYKm~i(u4#I*4=Owq||s(^VCO%Sy8<4!m%};Ja$!sB)BB z$bP2oov4hQSxGl$U4LiXU)bQu5v~1jaWQ;QL zgm`kC4 z?Cs=p1kJ?XY)Xv<-bqztc|b@Nj;@nC3Rg%;v;*4`lOo9)j(0QsBFrLSIzY^2{5DDXOGY^|SZqEM=}rg590YxecBh-?{b^MgHg@VwwybyeQzco~4dV5dx0EMp_=+h4u8m{@{!go7pm_qNMXF9OU8ebo%C=wvQ9tSa-HpNirk z7|-!<4!la6HFPK$W%i?0N&7Nj2xTM35sN;H2n$H9@?3$RyPcC;VDCZo?%(ucXb4M9c>Zc1PiR)0)BHL6TG|^G~+B(};3V-%*v%q~yqw;TB_8PvbvFsy4B zQ?8w0eTE_kq{H}X(Ff3)mI%m{a9USrjG0mHUDBQ*h?)wFC^Y@d)?e&%8K$8bOL=~U zp(%CjofFLw$P9LgUv+o(486KXb#|~u?(1w2B-3c-ax&O2UiM;>1If&+oTU4 z`=&ihHVAT(tm!cof+S5N{x|z%yeZfTsD-3nFt(UvkJUxyxr+vo_)K^C)A=>dBl*Bw z8K@wLGY-IRlcA)oAmCbHsav#{p%+rtg(E-v5Q!$t_M_%EVkZw`3n_6*elBq01I;Kr#9UmRm03Xe zg&H7~#7(zTfzVNgahpA%MxL(^V$#^O9@_D4AO`%+{&OZIA zW`8T+8Y*Jk1md~hlyhEGNFcd< zWm`4Bv*P718AyKp`s$$#*=GL{Z?(sQ`I_4b*}Kj>jtj= z@LKoUWtaX<^_6RX$9-RZ-)$paz2yxyzjdr~JYPiRz5El39AkAxaSm2{kl{-hWrU*- z3gt2$U`PNp(q8=7(=L;j6>f(QS)0k!EKCE1u&(omdY$OQI&s8d|Pr8Q(s#k9dp8TZ)fCu(zm09H= z+${I%4m&=NsLShvZefsBJ%B)@_Soe79ETRd*b@D@tzf9$pod{o1>QxZNV+r_!#IO^ zFdQj7M090@Xy$z$YemjhOLnb5uo*{Rl=cUPqEUzjCb#E={A;ClMJ(L;LBByP|~e!nUCp3 z@;`E8pfnb0_$R0u-JDX=(d{aHac1))<&F6(rqBAIFQ943r+;J5QGsY0FI@F%^^UDq|HI}v z;J2&&&d_BWZn>fH!%zOrn)T`n-W3A59h&_1@iQZZ2S%vo|<-&y8Q=gMm_FdBKJ{M_JU!_(_mQ)M~b3mc^oj>)3J zS9Noj4HU1>6`##b?=KYpAXi*9d*hC0lSc}BAK(7$$}er3zIpYBx9>PQHnR8VklI15 zu_gMKJI4Lfo2NhY^Of+Ho8@C*g2Bz^8ZYd7!t3mw+I>rX^#{Dw`P$r-jk&w-hWHr& z_}#nqyfUz14e{n>c!`O5VB_sm}Xk;;y_J9d0w*^b#&n4b~r_Nfn-cf5MX4OeW|HGj2w z!`7?jc5l7f&XcASmEV@|Io}?f8?RTe;M{4 znmap!IpA5w+s+wJZM<@$x>Y@tX-3DH!KuNGWue0QjVT#mire8` zA;V>e$(QB&o1T-cbw7mJ+m6S#Kfm{=J_H;SvF^sKhjxXJC^v+u0~Km^c!5%n{@SXFs>G`QhU`*PfYqSH)gTYzh3AVC$oY_q^*c2)Mb3 zTrr;(lYD=<_zdw=r!VXwlJBaVVB|)2elLaNYJBXYMK7{*a~DLXiN~8Un?D|H-h8s} z;$%h0ud6wp!IA8vAN7e8d|UbaaRdpAecP(eRYdT5_sIvB2lC5q7-2X8Eo^aIgW+N;DWD zhT*9;UY8{-YaOIfnN-qKu=j}89l2KIjS%3&Vg@v#!plH{SUJPGSHLqMEtV3K@C3uu zz;@etIe@+kO_&0)-Da*Ztipjp({;&M$Z1%vx3tiA60(*t?9YEwJ8KHLt$~^o z)32I#q6H)NDAPksfqYt9&p5$s zOkG@nYj1(9)fplRgyU9hUBy?nYNEE#cH7{0;Hudv=A?DevG!TBnS&FVy{{H@bG!P2 zA?6T9W2^mzTNa&Wjdfp8g-_~yKzC3s{c6B!RvEuxKpml&2! zIZM-lH$B(}9uJ(Yc;N9Ofw&&(O?waHFzJ}%>Yxe)H1tXCAkY%mqe8eE%}5+fI+La) za$ng!3Qr0wM}ShCgaeTf_UBZ)KY(~OA7ry9plv(>Y$L2$iU1pSEtvQaSw}N<$QbWo zf+}i&X#!T#!HWnmU0@q~qH@Qca*=Z0(u#HV;|R&E8@AzDCfjZ%#^uZe!;(m|XVacD z3p3VG-s7hO`52ygfnyqzn4t)sXah#0^a3VaYDNPc1qK{jaDpuX=s>0clRlxl9lDXj zIU7ieg0@gqADd$}=>_aN(+J3Ci8%T1kPHe<^{!s`?KVL{nF#{+3M!g$B#<@(9QzGsI3(Qb&lA zC}`A`Gdw6D_zL9HDH?K%sAmm9wt>xaxHpu6w4{&r7kj>dw*?HA3pL)5apnNy7)@`6 zO!U*fL#j}RsZvUqP~d@KFBN6D8=w@JK?v50&CL@Kb| z#F!%V*c6>O5VMJ`Fxv2-;Bt^#i2hPbRp3CUZNiHchJUNbNd{-7 zh1m~$7Q{Z5LEdBvHZy0cgx33l6E%a9DuY65H7A+1R4}CWgXS7*(O6&`wSEQxbCy<_ zg8}v8W^5H*z{VzPlUvZ@at9pI`t0^6^adGoO|$)&y*QM&fK+T8WMGL^c=5EdVazxK z_^7NDT(}I7%noHi)ZQKt_>o#TD@q(!pf7#ffR3liu&?3dl=ffUd%W0OPAumo5X4q^$(YCvbn z%HcxN60VI$`+yPVZZ*J417+bQS1&s5JwHq&Gr(gtva1S7)rDciB~da%)SqX7U!0E# zE$%|ehQ-7HgQ+6M08jKnGEHPX^aA$Ig8%}zGpLa@v7sX!4AvFfR~-wP!QC)>Y2&)M zM8>e7bG|X0;Ba@!V}jwE^cUg}&osJ{Q(+Vs4UCLJym}N`kP_J^QbBULCeqGE!$se3 z0Pmo7@?78SB??()_=Z0w=;4&%>nv;E#Ndd7>rk0-6NWdNXdq7(7%Hd~`pEGH%`$IGxi` zDTC7*;XQ`iP{klqS}uq$MKvfcR-eaXi6-MV!^gzXb)DDrMF>eh(E@3A1SkmFIci0` zCd0!acZk83xre?_v^S+}AgtigV#o&-0iM=))GjqcVnR*8!je^`gzr0@Oka^$-$7Z8_wt?KXl1GH5AIb~p^42%@+8Jc{avk*g+&LnHXU>Mc&e|Owl_O!wq1C#O5+m5^suB9>_-LT`+p! zcfpLJ1h5|VVk_y0`+w(GgCM#wNfFoRSkgh(H0Kzjs?f+CiI<8E@lpOz9+&=`;Pzrs zdpg|}e?&hc0dY0E;||_RDq=mq{}0~r87na!5E4N@9kGN>uD{CchnWrgG1y{w5JJF9 z=A+Cp>Xf~NhW(+#jeQ;Zp2i-vN8O&*{W_d5;L3e9Q$5&5=?{l1{Ygs&$P@i$PRF$q zc83qYQql3kEGOJX#UV6qbjarkhcee(-ajmpc^gP%Jfuknvm zO_5ryJj>1!IdVtv`jIpKvnFL{qc%$6tdxVCxSkw>C^a+^~}tG67L zQs8`!+>INrE3|CEJHv3(f{#<7ddp-rLgRHHz9aeg+{cJPd^qFcsDlnT>OBdEK5~6~ zBz>e8u3p$zh{9o~opj1M!Zs@A%bz-tNuLM(#m>uW?i52cCog8?x)^Q%L(hS(GXi_$^Q%Az$Wb@Gw|X&u$p8U|exBc5^Yu9}S9(M0V?76#K3a186^4abF z0U2*}h^b+4u}9Yqb{uJIo$6j@q+gS;8P&oz)X#n-U3l*VqQH+ny6(BY9~%X3W^hkBRwb{@%WY}&B)_r{uQ|0YuB`! zlWdK3!IW1%XP$*14w&ha)a<#zV84GHRn397EmW<-QG7Lrn@1UTUDv~Pj}J!%TPCW^ zdOq_4%-#`u5Tttd=O|lK9Uxg+IQ z-EO`qH@|ybZqVOH3_7^=t>K<9+}E5Q%=rjO*JzxUYM;~nWBQjT_4}smt*{BBkG^{+ zq;T8aAIoex`tYtN;WW7xM^5Yl>o;X>`HnUD$cBe^eMg#nYgXp-u7Mtuc&+AfP*8MU3-oU?V1{Tz}fP}9Y;TO$K=p0&X!e^f3W3A2?lS=tZS+V-caPO^^Y4}EzcrH{~}4n*u;P zLU%|s560gJ;Zz_u{F1SVU@93N44-%hsKKZAd&pT(<9jsFgm_62%VFjTronPGC`p*z zq}ZYhUwJ2XZ~-3}xOfXjnC+!tu=d&p#+T{jH{LobXq>i*|~VW8BUhoYoLN zt@-1$Q}i+qJ9xPj8Nq9M2_D^A$q0f$EJyTzU`=^n>2H24PdU!yE4&cr&Jc@DYD!AE>%V z=LPh?c%nx zk~<#!1nERWgyshySQBSbRptXxKSY6>+rWxFqP27gBJD-}iT@Yz72EP(hs)oxh(Wv) z8&M131n(Jjv48blcdt2lN6TX$>v`-h`-ex4|8V!oWqVgKehO>MWEGthD1L6xT~&;G?fYE4&z-8ChP=6QqV}>!f$eLl3qE zEeRRGBiX~U>R>Q!Vp?zzj-%M!Fd|~Vg35d-yBsn<9i0#kl?H3$hFI8!V~{?foDKz! zfceOQ^Mn`9A!$HpawkzM?P z&J4k{8%%UXKzG4IALQU!qoFfS1VEIuER8Og6SM_bmIwGSL@d{8L(YW4%q)NBS&Mxz zUk~rRj2Y^6g&KdvHudf10?SCQ)8Q+^g=t~j+y?+VNG>EJ1+bPmqjm-k8bQ#lfIeCx zjIg(BCx9&Ku?6otY{7kgSmXLrcVPPCYt^B#pehuCjs;lgA7^)A2a3&(DsKAMO4;XiTNiZP6 zJvyAPK6y|@41&6yERbeYbOn#k=5;@ZOo*Ohh!LZLd>w|*SYAJ%)fsT^;TjZ=_trW%wuQzLZdy?t zb@ulA#$`j>rY6FEeHv2+%>F{qb{xgIgLD!73^pNzs98;3z+4`Dn8#S$h$Bg_=S?mj*~iQ*ygkXof$Xo{2yI{tatJc z$&g8Mkq_1kqhAsp?ISvG`I_k0hv?a8X|6uu=UC8k5JbmH8*@l6U<*y0wfZ?DCpJ&8 z(1!1&8Jr1NC?}G6pB$Y~4#!QZC)6yp2aY7tISY3JG54%v8Q-%pCosW#xT`-5JykO{ z^CZUjI$;wn71$!iUDCV`5CWh`y+t*qpmnX!r{FE{UL+G|=oCekL z#7!ahqR4bNRHqQ+SWai;(D2)NU$)>kDcvU@f=hF&FEkjwg}yrJ%_up4##WD8oa4a| z$V~4KIP*tOwF>GgW%!V;F4pf*%u|8A)b2TEolqy6^^s?BXWuShZANmMRL+`qLC0pdcX->}vGVP=}A?V)gt-!}x-u-+ZBzVFPqOdnU%$Ae|2 zJKncnX9K=Et(azVQSyYefw`9NJhUX7ar_p+g3D@uf%V(#bG6bL_PitAyrHha0DC(H zSd(rUwAA`M0|ETbw_Bmts7%?Ka#MrSjP}M71vi{O6#^z{V#^7&0cHiA2E?f=nb`#9 zs6b}i6nbCXfNiC9OFiMjFNJaLmS8A2?F)N@N#>3Tp92vvl3##Hf+q0&E-F54{VJZUhJoCKW>= zY>FqK=ZzRn9`{Kt@_p0S;1T0t03GuYYryBjFzpMk3qW9#Wgs8pps6 z&6~kn*@~iwmg-myN>*&)(Zj8*W9av+(hIq9Cz+$^$EH`gEab<%W)GHM4Fj~ zQG{d($kJeeB)=QG1+$Kcj--Lo;T&Y%2%BIv7y!n63p`Js{`Umpo@H+$I}aVXduv^F!Q9v~zv|xJB_tJXcsHh-UZ!p1S zEGcd^H#FHP;ofioZEft_ozu5}aSu;0DVeBAK-3&;8l*{OC%grk1piPSnDh}j(T1Ns zZ6PeK3}#8g8k8G)u;m;u6MYkxz5>OPlRyD@6oJQi4%xz;T7>E4lh1$b9V>j@=RGNJ z?WLwnA{wZ^?cb;CGUI(LvZ0bHH|_XYm-q9b#xn>EqFaP2rVB=c zp|*?e9ax4{xRY?0G`N<7fIx!g7|H=Bt6chx*T&`GR)195?S3mbkY1Jw_o>D!d%>?6 z4`K4H<{Gioqs}5SBcgMqpbpUQQE3>h5prWWjBbY!+67t{`rZZCv^z3ea|^c&ggK$+ z@A7`F&hN?P^skxIuA4g#?s(*=G%{(8JY%jk5j<);9Cvc?-QmL46YfjWN7XkCMcug6 ze8sVL8fwVuZ_QzBk*DrmZ@0@sR`z-4TwCtDc3A%ed@B)j;f#H7sK&Zw)+0KTH}7-7cwIQ{yrDW`dN2}`Q}pNFA4+B$c5&Wx$n04NA%T( z53V>YG~K0ay*CWrgHU1XB(bG#!3KGvs>>1_9Q3H1P!#Q~e9-vz=Vs(hg{+z%)N9l? zW%*XU_S93Bj9En8UhCE1xEjj-exF|k+Y*i-KCosbPW$MCc~k*LJ9}*Hn57lW8o8)yRP*Gw~vpdV^}W3!JYI$(N6rqk2U1kV^B z^xLQO<6SL7!Hdp``&$I{3r*+NOTA8;n03PQiqhQqoHAsk?sob%8ZA9e>MW><9m?~{ z8LQi2zts(GPmnpCOJoq^UznSS@I==nTxQ3l1jDC z+l?P(dx8;m1lNt?5KV+5tB-=M$q58NGj=^O*fF(zwHd;ba5Zc`wsI7t)gUDAz2i+p z;oFX=YzWlWT&R-q{yO$M!@0?!(fVCS*j?`6VEe_P9bLQs%=w0U=ERS&IT*WwosV|e z7Yt_~b{yBkGjO$Gb`tT1Ex|^bw_2X*{qc?+AKS6I>AvTOo_KW2j}7Aiu%aLNt;~k1 z6l6U+czoqWCVzh2t!#~dApiOFn%o)vcp9FNyLz66mwYRFB0A=9&(Jb?&6#cLPU#ud z`qE+ZKzkJ#X|a9hHbQefN^l&(JpT7xv^v@5nvh_ubu>Ty?YwCqwMm`Y#WxeEDl{-1X5H zFB;7+ojLKvoo8BJUw>)Gj{U7aHr;(Yy4o%nL+f5&|7T6xZa-jj$rq-k(r4{vW8K3| zhm@9!JNjO3{a(vOv+04hHLc%W|Hk$m8`i>A(mvbv#ep9;Da(47DI2Qp*`Irkozb6T z+vL9dzVsRfGJF{v1uvdb*>)bo(e0Z1i;b2g^KJ}=+u zkKvTwlyws85ST6R4~Pw~`C)J>ub(=6Dt|UOcx;UIZx@ z&U=EFo$uN&uIy@gZtHhv{$}ipE1y#?HZARPpb;;B?ZS}rfB{$5)ymS>9k?JCHX7|2 zV|`1@T1D>om(CsQ?G5)nu;anDzjZDcMwbnmc%#wPcFAzMS|;_>Ow&F8kh{p#Rn2Px zXu$Bdj%DDZevzeC!Kd;KHMRffnMsJHSv8LSL{0r@5)wItJ?=}?Q9t+0;WOCn4jDY7 zUPQo6X{)cQbvxB>lOlQd3R^znNyP zFFyhm%HeOcarExr-np!APp~HU!6VC>N3j-x^@{xgJeFr5<_F9@xh;Qt^8C)7FYiI9 zzmA3aFWK4cPQ)c?rQ68-7tlY7#c0xo0{NR`MPys zhx2ml#T_5r@aO}*YpgT17n_tW`}|NZ`QNTPj~A_YXSLE*^(!@C4}ffBax0q5!pFnP zV;EGU={T$ra0g)k8s}+N&ta@ZxIa@O{6|!k2MY~D6p{@jdcnfR1x(*{SxF!y-#Ab} zXNdWFXK0I^e5cN1hG1!WMqw>@FlUeYfOebAtl+~>lYpE67@_f=&PO(NEViuzo2YG2 zzhDO2OyVuD(EY1)8oBf9ML4Al&rx-p@3aFnS~E(EwNJ;C-i9U418u` zk}-{(90Gf@JAyI=3(v)C`&d@GWX4;ZOL6zehZCXw=s=CHFFs6!EyV(a4o7QaX|>`(Um@IpkXg3*QZQ=n&SmXTHx6Bk{z?;F1ZZ z`Cq@enhQ7`Y8hd{Y|*8%NVZy><%#&r@dPCVu#B{rzRc;K9&Np*U@&E_)x{b;&?={7 zg9N7){0M})_;wBd;Ean6j@fQ3CP;`b%x?ngsMa#e)UgS&s*1J%Msoo(1mrbsE`zC7 zJBY|JvCRY`;#qni3>OC`VyWx!mmGKfPn)K@HvyWv z;FkO0A_D&t!RqhGh6{l3HU39`-@ymds+v9)X0nV&Tz6r-TgTK=p6=!Pmipp|<1g^T zzT`?cO>xOa(8IDY9EiTzxp0M@ha=T$(3gHQ*O0=@$sEfy1zHX>1Ez^5G&wO6Vq+m+ zFS*CU)&!1=D5$hkC@DN9dy-wSEVtvh!WpZ)KGkcabxh&UF=3Fa8L;m8i#ACBa_?wn*)*R8Mb@{9!I-(z z&WIo{ZG=*%c zq$CeE4Re1`VM7S}N1t+B3y26E@l>m}o9zYQB@_9N9^lhy?Jw0*X)v%ECm$47QUD=CN2iP z>^Qb2nhImu+i|mO9>fWj2B?bUgUoDugO8R8y7LYf+K`Ip2%3*sJ=bpGAM)+s@9{gN zz_wLPN;rF;t{L3{va=+3?(zsU7d9B#nXOs%HG_xMkPm4R>LP)M<)@9D7$yVDzL|5f zZ-*0$Z22{x2mx-FBqk87yH;;r%D4}Kc?o!8RWQS}5=y(S!+YCl_EjCls7r8A{;Yr% z!BGD6=Mx<~v!PxflX_o~W!|lo-_FJYW%ob<0ppUvP$NTE_d~_zeaTVBoh0ss899@D z%ojlRYx;oGJl*28c4A1aL-X1#C!5CuZ7}5Q@nEUQ!ig}sb=zr~*Ya+k%(BOBoAls4 zuKH=o%o_&+c->}kZak{$BoiGMZb?IwN!=31VS_edHz4CYIANg~FlSb9e+P|VDpfYT zra0dr!QZ7LKm+f>@L?QnibHv6q;;OK1Z%F0WeuG)nPa-nWo?1;>`>?wp^LZ|@9*Ct zBqc@6ChFl&gIjn!xe{_R^f4JK3co(ExPGP`fR2Dl=9*onKS=uG5iEpArq>IXt9>kw zKC*#j1uQ3Y2(A;VNf<(C2qeoH=uprln7Y$&zjN5zr23!_+tRan+erlQM@ovbpZE<= zbnIzEdC1_${DYDg^yH1PgOc`IVDDt&l$va^llBftcEl6dE4dyfaKL7!RWC`0B|p10 zY?(1QyMId6HJ)pm8W;)vgKE19lFEZX#_GazLC{oB(I=EzQHLly27=Q%m_0RRCj1Td zG~1v5gnWn1_z7LD6N1~qVMRV9jXMnv`b;}0wXoyDh5g!bJu4zuoDR1#*#h;quz|rl zR96|eO%^VyZj{lr}_ysWg2Zc?yz?8)ZdPR~#&);4YeD zf*A!>h-kfNWiE?awTsg%3r}sGGdM-tid?cH8O>m}@d5lpf^EU~bi8TM3vQT<0TON) z*M_UbYYbUQjI9KWxhxDNlE&Lf(GKvM=Zx%4cn3Gwn;E8$a78xpqa~egqW5G&!#l&(G5LObIs|Jl(46)|-Z5 zS(~J48D9&$XZ1h`aW|t5mO3A0nNOW}AE!E1B(xyvi@Hc4uFuJ zx=H7_uMD>&e8a$1GHRyjIo>+%BqeJy2=e3RRt;Wv_;}n-@|uAY6=YRvRh>|hEZ@w# zhjp{~1zcbJJgGRMBq_Muqs5#s>Dt&n7GIrFCChR)>5@{2KCZX7Vs!wYbDEC3r!IWu zQN&NRbT`R2*lto(laeFKS>6NsgUe(dh>s%$i$P&Bq_;H*ez-aZhrK)|6l#)p@>$!@ zgn4cWGi)p<7?y7P+(hUm4Ii9ln2?l)FrUo>JcQtkl|baYyy(dLN8Z61kO&UdTrrl4+M-)5O#~(gb|v$kC_&;_(T>U=HfL<0-x-~z5^y?53AAbyh(?f zC|!h)aKF%>%jo>2KPfhmMgLK`V)BspWr>wvqvs+8rEhC!?#i@$y>KY*1)&YJ1G@@| z20>OnVNjPbJX9}X6Ax(t+U(UMuZa*>kYLfP=?azvmQG|tfkO;nbR3z(2elSF4>&rJ zElIa}J9-ofl@n(_^+zB)6`2AVAi9YUK|3PWXS{rSf-%PVzN_nyE$BgnkW{mdBi36M zpU-pnG>ITKB7Hg*hN83*-^It##R+_jPvMFzU^EIv&)4ZQz(y}mpJgRA-xOd_=fLT@ z+*dyQHb~I$VRQguB4d%`@t>YkqDF(WGFii{px|9kfC!MhgpB|kT++sf09nG~h!M0y z8zeF9M$xzcx6>?n^;Jl`g80RuLsSt_@WSLGt|}V;H3C{IFYNhK6x#!6b3%v=by&nj0+Xc) z8-M`9@od3GZg5uMG(`mB@k9+!3@a_n@I=^A)A6Ah7CI&XT?pHgK<->#TZ9|>bW7l9 zGDWkUuP1qzU;`5BLn#ZeL6z}ff4S@eJgD^oAd2Nmi=rxHh}tbC9rXW+JV1-gDHhit zMSX8V+MVDOBg<2WF5?X(^@S}*C7x3ELY z)t&jB=2p{OgO$|uU@)w1cmK}3WZU+w`ijYJ*}BW=at=7RILoBP-Ag79bh&*>=N4zV z{AJz#SNQJc_XN8GL@qd5VD)`@eNVX0USk+Lt|F zGyhm{Lpk4~rf~IowX>%p>;1v^&HRnz{ii+^yg9_x*ft*S-I+(u-}^>`v-G^AENPSw z`M|MOPyUI%^q{{#zmvI%HEg?imI?dZ$>IDZTdv(<2WKopKkjrXk2@_Vq~+ED=@x(Y zj;U7VocygNGy0M@^Z8o?f+Ty`YW7NA*^+nH7>za%<1$Boh$0kcIA+3r9Wkw`TcoZ_oF{=OALdBE&WCsc-;pL!@RW~KV8-~ z((~8AD3jfP%6p)siJiC?~d?U?|YDHbU+kmseW0FuSjFe(q#9NT8IiC|M-O zKSWyzorK(h38 zYL3z`HZH&u+FGt$vaGxCV`q7cw3OV#sW)!9(lslT6p>*6btOWgl~lp!G`l&Jhwl4(n0Z%d=nen4r_;VXxyEt1kZ z_SKSE8ipQH8hJ)7-HTQeqf~h-+;jGEC@E=BJeM!$Qq-Kd|Ik`wd?VjKAjM5h->i`S zkh2kE-X*8vVT04=#0f@)V%a=!*krN*ofs@$KNP+Bt-c=y155iolwN6e7)E%h|X}00-nmpt;b^}_%omURQ!7^ zA4KJX@bDiCzJNN|oo6}DJuBBkU|R}x9estVx)dSmt0zKEQG#jqqsEEzxlU0at8#~h zJ(*XWa$8by7^7?*G9lmouXlCGz?3kTQ6^EAXlP_MBENvJ>m=A>(*tUw-xhz1)-HZU zhPNV>+YfD8F)Hs=%_xsZWs8FPY}}SlA?-c(6g6ppwNVmSe>57kobS4o3#X>Sx;~tf zX&C4Ylu#tbav2l9UJwLli$4O2jZfdAnAym9Vup`>U-46Ve5s<7mfM;_a?k6ikqUn5 zGk^YN6u3~JVpAWXMvh`squiJp&3@@%68rInVI)$RLfTOyPoV!$N()qO#v-{!m6=!E zcn~46(x^|V*i{`o&Gw!w7p+pVeR=w#oH{o0DHJE_6MGAVG!+jT2(d;vlMbqCJGSO2 zzcn02jZ`@R#w{H+wg+Ayhn+3>0eN$cl!W}mt2gy!Vk=9q(!>?J^-Fkt29l{j&JabeVXrjsAvSVDJMcUoNdQwy9Bqv0JOy22m>C$?c*|ryRUqHQJh)P zaFlZ{inRQ?_#~EW=PB&jJ>?+pN2=fWg&;SWMq5xHT(hAPb6XZc-YCaPn=@Ay)Z=FZ z-J}^Y-mbelg0w}zt#f*9BkuNC$QA5X5feO4;M7y^*%OkjJM-UtgcO%&wpruOu{adfc0*Hp<(liTJqr2K6 zb^ z+6GyWSAK@}YuJzoY6EkqPBzJ-FRL@G1dIO&}sFOF%f~HRn~Q2XA&B{||=x zMR~z7wm6$02iVfn0)rGw?8?Xg6^k@Rl131_$No^rWO zd?@KBeS@%{#zUI$UJFKFhFXi-zU~NuUlvO{F<0tHJ00;0fBtJaj1!hHq7`@CoK(V0 z_t4ZNLivPSVNBu7RGK=WqY(~vL9r$ zH?X-M_)3L{r!~sx$EVv}HAUCnkmi-T0=n9qHN`z=|GKrzaFqt&NF!0n`XQTsn+%B6 zSRF}}dOpN<)#PB}L(InT`wkDkFCSauin8tTArb27WY;6%lsbBRx7ok%op;-4W+BA0 zwQJ=W+g`dX(g8fs(OB4rST#fR>y+zP ziPHDM2fbLq(r8B2<}2^Fe`7o*R=#)j=fyh_=Aj};{9IM>?7C2#Za6!$`|9_-;@x8X z2BddJKcx5Dq(-Txr2o-4$Z<9&)Rg-rFc(A%x*{SFUIM?U@ zpO44-H4F}UPQm6)=wo{Hit^x%xXx6fAp)y{ zNr{+tVmanf-NeTMc(3XXky&vbO$Oktfj4y@pA;}kxOF+%S=1G8B%^Y0mmzI@y>^?- z^z%RcX?#U-h{0TZv$ENue=+#^z~j~L^8vQ$TiECXrH{33E-R0+K5Bt>ONGP3(Wk`^ zE8$P9ARxDI09*h5qs1~sg(@K%0<2fZ*2pg2%yC(-uIMPxn|7&5A@e}@zOrOk8wBV- zr_2M?&|eG>F@ObZ{UXArQ38E}DS8B`tEqGmGNhL=GNiA>Srb9bQy-m^7JV3{XfA~Z z7>tt4G+ioEY|u(HIGFH=Xu(#tGLSBj;C_xk zOKiKT#mO1^K{mZH{1OA?&x4@^dBucpHErGP{Zg47p$o!PjT#KVFlW;${8hpO5 zMSx9;;ImK!dL!-U~2{q#r z^oB_9qB2Cp&PHRS0Zsg>vh=X&j}mx6iWWxRl6Ec?d|pqO>l9bx;I0Fi^=+VzhOAFd z{%VAnqh4J1o@f?VEUn|LHdY(!?e+H8?H2(?0eZ}I%#;@*zZ=-%$k@b#OvPYR(%6W4 zj*9I#!|$K#z~vf5>oet6Eve&m5wj!y`-f@i2#^_=8HR@vQ~~k9hEd3jNdm>||7(!B z*ID!5=NJw$?MdZ%ra8-{G?#_=bh_tH@Y*PgnaD#j`ac00HHK)pe z8PK^}x<`7ZdYe*dMM_o55=aGlv{dAR#h#k*^1eVl+fIJW59J?vIpmjC35_^Jq-_Bz)9%qopc(enH+1el8s zAz*v$ESkjPuTDm9JXS1IRHzb|`Hu$7vA+rcGtDtzL&%M@f_;9dhl~mm4D*e$&-gY( z9bGl=p^|$ZI~NvzhGT~WH+D~fd&n)Vpq>Z7C18dH&21qvs)&`Nr^4)>--z!Ux88N= zU3?9wFP>14%Lq>xkQy~kkwUcGuCyE=kCFD6z(9c3vMU5>CM5vn7%4|X?B%taeGD=y zdW!svIJvm0c1`CI1CBG5FuASR8dUzGmC~t3=n@R|LKLzhR~9c#!W-kM48o+;3Dg0& z7}s52UPXyT0Di6!Zwx16m27TNbDz4e*hh;a(ma$LQ_QFZjLyd&NMHt(jQQoPeKiqA z%y`9e0_t9#xu9(*6`M6)2`YNF+^`o3TsNY0*%VZZM<$qF?43!UGB}XSZbyufX+9MH zR(dg9L3;e`78LiOe6xGxeE4Dhv4CJK$^8~yzMei!g1aOO3zao2o08658~7aU(+W}JO? zO$MBh_N@NrWnfI`mxKWY@Sv)GOeU9PIbW1lkVHg2Mg0`+2q)*nIoCDt9^E`LKl1<= zIr<>svrW{ZPa8!x5lxPCIWR`p^=#QqWR7v7TUVy|;<<8EH0$N_hif6@{~ta;#nHH= z^iX|T6^OayA-e_5C&adgRIW1r%ID5obII2M#^3&Oh56%R9~G!KiR_x0{sPGO&Wn}V z&1)#~{kW$02N@&ufh*W#NO1nlEm8m)m*&mO=j8SDf2I9qH#qXYK@^}woT31V6_NAW+hYNi-8cXlx&7~s_o7gDrc_o2 zLIgniX# zjIyL2S<1WopRTrE%EvjB>|Q}BPgzBjyyf}srfy6~uMLvF@U^1$#u%kEowzzTT520aUYKj6 zQg1Kg-8FfZHT*KQtLUhH> zOe1WRNYzTvIH_Fv|5DhWeRb|QE=Eip|A!%CReft<{DaRBFec5Tq3{aQxB?i)cML@_O38~8F+X&fwl5- zo~0+VU-@L|Dg7tpONsEQfd7@Bm7iZ71tW}H(L_XM2sNE&w?F*H;O6T$GI16;N@)mfp`F$fB&n!D%PPi_Egfs@EU^1NLO^ByD)ygP+)Z1%BBze)K;8)5!QZtf_A139E+xWv zfq(wne@yNZY3R4_3Eb@G3UL$MIM&rMpo25I%)C@IWC?K>m*KC9a?yTG7=Dn7PP0^+ z+3)@^zD zWVNI`F(hO0%Z0aV_W#lZ+QF*K z{$L|CNmjx3BChk#2xzMsrTDkl2=g$lAMI+a+%i~fDXI{)yia=sBj`@(9bFh!83`k- z#f>(RlWtv4AtV=wpBuzR<7rU>b0aqQ{a!8OTT$SQg1#iw?yXV~^mPOZVP052{ucjQktspsMc^ zQ5sRW1bvVP@-2}Ku)sWd%}Hd6sqRS7h0_1{Nol@Wo(4=_hpz>=z0~!c5-ug<6g4J+ zAS7&?Yp(ERKt7RJVrrnw`dqgxQQ7c7IAo8}nTk2#PWW zw~A;d-`uEHx_RmU96Y0U%Iy>zriwmBpMHE5!t7A`C1KCvyqa!}T?yz5PAjOc=qbHN z=_~&;?kVxBdAw_>6U86RJrboAd+C+lE2A`QRae!1^0TEapvbY5?rDk#;rR8>#<#-s zl<{Wqe$?%0C4$}$K_bu|dHizo%JqfiR{)AqaW4B`EBqNvWgTeBt+g#%!?AU;06xxzb%1ckOrF_CpSSU5DyKh%NSkzk*+V_jeVBiH2iSiLO#*cRrN&cO)mV)qph+IY-5~U4xBRbIyi{sR^okxL z@OQP2awWOCO`@NxyXi*ape-S|rNz+}8>BcQ1rds`GT%S{2lrnUX~qb%1Uac(r9}M_ z;+Sv6OCQ>$Cz#Pkpt9_8P=uJDeyND&itNbMASNti5n)m(egTLX2Pq-`GAdTw0w`Bp zIBHJep>HpUKKtdPOQ@C=-TE@F4#kOoOR}P3m0{)W1F-~u7FZdLWR*-@e2clq`OI7@ zDnLZj)gfyPL~-u1cR>s;x#jmcgKW>Bp*3~X8ckMhlg+Gl`H=Iz>c^|A4@=cG{*3Yd zXq4fZCgkK!-k+pn7ChxJjc`j&S(1)ndvkCIN0*+&(FSlMl@gPVj9rljQ^pZ1DIEZ@ zjj#DLdS@_W{_)I;G1u+Pi+*lKvh8A~$wNlFsyx2AyXqkBYMSp4@U<6LjQz#l)sIcB zw(GZ>Z32DSxV@+6*_Oto8;=jmcK)K&p@P6lg z{yW`jcc;9QsTo$gjqPNIo!<=)b*$0P=~I@xY-pKNbIv*I%=GT-9kZO1O8ZRx(%`IA zFqX>vZFa4kVV`A_wKMMTpJ-n-=(;P#&XbPQcFuX-dd)p_a9NmN7H$YP&MZ~G>IcCR z{RQ?VpCB*rcAT)G85m(1D@5l_c`?x{ zLcv1V6SRbZh+H_7fMZ#H$GE|1W&)qBIOezKkA?ZAiK@J-`;4y-aXwb|=J6^78Ggq3 zgwkH!tNhO5R?8ab#<5pyaPMlj{&$0^$2!_N+Ioy(gx?Iu!u`X;UvjW=aQ?tQU|UZT zr-b1+Da3{@-7_sPn8b^V_g8<9hC#0q4 zlmRSISv;+bDR8!?7-~4Hg5u`rd>dV=)L8yOqy1GYn9)ronF&_N2h+L^qna~z*h~>z zP1JOA#WA&|Ic#x7$Qft$nb>O(mtZ#4<;7tmIS?b72wDWcIG&~6wg;Kv8#Ig_klPRR zwpSAMFfp&)c2qLyXdlOWa~Lj-CMeymZK*9^o;RiYSk zfriC#0q<(!Up*Clc*TQx**hL4sq^3RAcu;Sr}&6bTJ_E33>$CCCY-ELBO9TGwC1`U7CWqsA&Lo`#q7>T*HXF`FQyq)T@=yrdYjW-~z1=Jnr)5s#xgL7ows4s= zZXvv%Y9$al7_!sEpa+K`>NX7KeS9H{B3Ccc8A5|-zGOl|uzV%Np*bRFcmaa%Kp%B{ zRX`lh374yyGNmvJyMbG@`3a4$4HVu+#Pwz;DI$IZmC=C*hKjabmmriFg zxs&_9`8Mt#gO2Mf2bfWvFi=G`g(C)MnsNS?rDD#$Ut<0M0`*xr3#SvYdUF6F!`BI( zFt#XEr+aYZ9HM^X*t<~pLX+S!8;)Woih!OIs41i1%Q!z?ZbO7=)Il?NW~X68H6G`> z1BQzzmgtNd8z-Lt$&s-Fff0#8L?OjGPd`Hb4-C*1vikjM%FjCVHR zbxs)*LTq1$oun^o1GpzHq1xb#Cd^+2ABQ#IWUEv`$>t|zFW|kZ2v_2J^exWPjCwQR z^F7`$F017~FQ<7^=NJrzt0goWK_j`gP%zB>O$$&(MC8Y)q4evH?7MNe>WV+|V3A+~ik%uwB3J=A0!I0l#YqcLUPfn+$&&%5Jtl1Fq^{`<(p5%UzN z+r=(rRNsXicQt;3%+$LdNjBYTr4wMVWs93&+1-$T>kQ*mheKDxnT4&qCMJc3CZ^+r ztWfVyXYDZzq#kly@{D2eAqvffo^2nqU7eY>=JP;I)h8?j-n1b(oCcMa7^E7UU?|~? zW%qG%JAx}47SuhT6_w=5H(%T3ee;ePhil>_xyFzeusUmh?eL^5JDmdSGhnQ&Y3A98 zn<}=VO-*CM9$7RZ3#wj|GMGH2ibLwLBR|h%Nq54&bO*w z8q$s;Fel@dik}k3Y@yvL*lZsn=n1;_ydD|@I@dm>1os_uoKDeZD|j!k)kB5l@A_2> zx~gV*h_By9&*mOtH)SP9=ck}cYJU%)A>&#gk_K1%O9h9B4dW>!I3+Kvb>=0k?_%74Q2K|@@2Ase0Xgwv+A0QsPz zQK!Hoo+cB`)JukOC<#@S`X(VW(hDR{H~e5B!~~PW4KilbZfK4h-Xh0z zJi(rQ=kIF*g5#Tp=}C--dCv;ejp;7jgbq&=x*d%t{XR!WBI3O$BV=1nHsy+A%Oai2Yv5eaq z{r6x_G$A@r4%ZQ|&}7lpfNU=OunT2Hz<87FIBo^Y2~i=XNqQbXp+^-%Oy;Pds0d;` zQyXDq74Q)XUj!<+4yQ|Y@Q^&?CzuE08x#x#oaM}E1A65=^uSFJ4oWKMR02HW5`^rU zWEf&F0LD3EzcKIQO_}*2=ljqHjPmu!kd&3-Lev1GfeP~$ZJ$Hy;Dl0M_7^^Y_rJH@O9$K?A*({WlPj^-5& z1BWdlY`tQ7H{td)ChEA{6LFFOY-B3HnH4j6Xc;sz#77}jCXTI*V_0k)hl~2-cs^JO z7sp&u7+jFgOJRgJFSbKFpKn*Ycc) zlO}zXEd@8*5Hq86t$=zb{4w-*-$Ngu=GI_rdN?(;(AI{BMf~@2!J=JtA%@!Yg7j`b zfW86On4N#}A1l8QL}%!IJ* ziEf-+Mysfrwg^~CM8XutU~job6~Coc~pYk9`L^R>t_r&7+ z3RS7e_4gUIg*Mz;?!Yimz!`b>PB`t`4P|O5*;Ka?2kjXKd+_i(Zj+wY|ArmNG_T66 z(O+b%GO3G$Ju8p*tifSTkZ^)f5C~uItI%uR+PlD<2Y8lJXBTFvRWe{0d``&~0dkkU(PAnnBo00$JYocW!l0&qxAc$ouTR_wGzr z-MW9yJ@?#m|D1FG4HYNu*m%wDgKNV*>p%9zO;4`=QgqHe(d};^XtKhgU2m`3dhjPV zU%oMTU$phR;~y%onEuN+oEhBu=4y0rv z>rd}`Jh*3=|8%DMmIs5ggY&BQ1h-eY_3y#q)wcx~m4ALVSQ899R-RoyG&4I2NoV_p z2d38rdrBiiv*mM>U=Y>V0Q-bz1_x$_1Ao3!+n;`;bx-(Ac2J zA5P}?{$luC5UxH<7O`n{&(P|8lUoFdG0d$_LqS9_C1or#8?QN79sR<{^t%SC!@n+9?Nv%^~t2BYuY$jx>idt$}GzRJh`^}1lTY^2;2NPGLiS+3sIlI)m>ozxdgIH+)`tba;48-07&Q^b^(5&s2YZdfjMswlpvs?CIM(I~=Sjojp7{yM9!v+S}Jn z%&r?63?54!c*~yk|6$#p!HdqF#0b|gMTf)HWPjJp&E)@? zkYjCB)+@sLQ|b8j%7JioVLV)&+*Tdo4E<+ zU;gaoi`5%3G#->F(CrUthDJ2x&>+B98gyDrJ#jrAJ~Ytkyg@~`T>Bb>Bd z$G@^tH@$W4pG&xZZp%koyDsGFw3e=)JAX%dv^V(S-r(H+_C3IF-#)qh#-!&Sxm64I z$d&fF%ij)fXkE6db;JH8z^Ay9jl1pKwR7vAaL{kM|EAW{lTSS{dGEev`AB-^(cs2) zE>BNCvy%(&{`Py5+&cH%rgO;DgUOK<>F>EqHF9lwbebp=^Lsuv|G7=vB6sHFx>)c29fw~andEPef4SYv z(b-Um>>D8li7az5CZB%RVtlywI=o44svFrcbM({U(J!_xynNsG_0Mwg9JkKt;<<|s zKfQ}g{^j2nlT_myhPWz8)NC5sh!xC{3$(hfx|Le4DVX^HD z#JMsy>Yw|_Z!s>`I4&PA3%T2eu$=xtL@aSy#kIo4Tij%k7Bzk#ZTj0ZmkiuiX~NcU zqIy3+ALl*Bi;>s`EYLduSVJ&2@>bV$JDVd zA1dV~)}R%}4NV(tPd;M?808jyv`hp-Hb!ou$uO6==*5FYK_NjIKd3c#E?tyFGPBZrouHS^w*E~COl*G1)W9F(YIVWiz+5K|f}Y%HvL?)5GimVXP zHPO{-? z;1A|eZK15J)x+iJ@)Hz0pcs~U_=PHJrLzN7O#sWpg{HR|5PnfI8<@%AQ%L)}US1Q{xDE~LM5U!X(It!4u)&;5ZwisT0b{%-;Kh=~G^e8HGL<-%g5 z#{IQ8Ky4LKfUACecfNG-vdCMV6jgpr8YCU_Lpes^JI0`Rd7dY=hOdH`jFXI?i(M8F zM5t=O7d0cl=3L_>CBDi;hShKRxr=@>j7pc%cCAXQ={G7-jZ7>*P*qy6-kHU#(99>N zjx~u`j-zHd4>u&`>UC%qZmEpBu{rPppw@EB@ekV65>RQ-4%I-*&!-5;5RS{_uS6(( zbR5YF50B}+%m2$Vd{~i5_z4mKGfGDo=2wTgi0TUt`ed%XAl4y3d)TL)wKDUUHGKdP zF5ylxg=;qR<;oNgV%#B^FD}S?`?r!oxH!lPL@>=j91J)RMN9_|$mX2o)G@JU+?E3?9crIc~U$o)zA7GH7f`UZdK?b!o%m-B<$F(5uh9q%X6uy&;R7jv5 z^#u1%joh#X_zOS?4Cs{hsafz|+<2OQx|W53sA`cjoj2rx?bxy)L;}DQERa*cK2>4? z${EUaqE?s?-D6{KI1=b$(n7=thNhiWn9;`M*~_bb5az8o9IX{am6o(zIz}}mfIA5U z3^MX;Ck_bFF=BV)oG5~1VtjFa#Qkbb>y;WFgR(Vmq348Q^uQ=SKXrUSS{!1vCTB)U$}6T5!rf;*U4RcSY6UlmD$B zpV=G?;FRUeoL?xHb)oP3_gxZ8zn{Xu6@W%6Ugm3}1%wCJ5EPO>>Yy9kw{G%du9eOO9BIh69&v zXuanglhVI@=2_csO786n>9dXbJ=d7T{gnlY`?%N1bJP2qM>gDffn5&2@<@y8LVGR3>@eF**jpcxC)OExMLz&;)QQ>A1gNG6w}#S^O%GC z8mW2)pT3}|Fvfc2h!rjtLty5@6%0M-p<_fUbM1MIX)-ayp^bLg^EUgzLFScIY1%eA ziw>fvzn)WbR%_5dPFL%5J*8i0YE;Z{hFPe5 z$k4jvrRq(+8;U_=_37LWPim(I=`c5ld*_d{gu>h6HTD6x2JA-4uS_1tqiA`;gyqh{ zp~!18UnGJ?UVr(>nLh}!$`KS@V&sCC6_l5WB7PnRS2+P~*h4-SHT%L_<`*8w271R* z&HQ5}%i@=OXz|R=9tc?od7W>+!0N08bIA@9CBK&Bd^P``U!6gGQxp{~hVo#s14(De zwpUAyNMEZNOif=F8YpT&QFntbnCQ}4jx0J<=AIrl+{cRabA%CSlNJMupd>H>DF`NU z4CO?Q35~45O)l+%kH(M}H#Yh9Nf+}XY89W)H{=YGkE~}9%u|oCu*1%3k(osmlMPv_ zmR^N4%`~#NUV;d&g}HbBHXp7~9j2B6Ka1`(3<}Dc5}^639Z~}28)dSl*Rcq$vUnRs zo4G$Z*XWRe72J;1!nsEfBXx^z9W3P>7wy}(!JWQq3@#l(V(tvR_3sqOOd{8&z!pT$)KUg>6old=n#i$WIhTe+oONXVASDhO^7^g6s?zq zv-vRFUOmfi6n^ z#jl^9X0cpcqr+0jO2DeaI^#_(JHL%rk1`1?f0VRizFf#%ZvIE54oe#qMl7U7% zv?1Aqq*@VO^aH3K`XiW$y_uu($ij}f|9BN*I`!1@fSNT=I0z}oeyR^AMrU@vmgXBn z2kQ=hNo^g32}#;DK1Ed-AA6QQWqIw|A2L*N18Qcqa6B}EasPZMv+lp+jaDFoDX3Jo z?BCSC=(Y4u5|_XGbtc15C;+3iF=1F@n)2g>;J_?giy%oyvKiu$S+!^Z-j+Qt7jzaw z%{%k~7VSDp%!73wg@sgTAOIMs&^%a=5ZNa1R)2Bx9XG70Z#{U!&>c}cv$|cHICwPz@xeM`_E*A#54CHX5jQ31 zHp&2HwFZd3tFdX~msrd;@k`@``d9Tf5OVbyNo(8 zOvvU|X2Y2TgH#|b=DCf!U^$B=!Dmu)KI{+Tp)`QCnuXo@t<7KC+}^kaK@?4FP>CYM z@j|=a?@gQmJpz8aQJ_O=)&pJb*B+{S(OHG~P~9`X1pg|m#tZNB-g(O2G%tx2YBs_s zHpzB*VRf!gV(kje66K8w{1jC8uCz(>I0uY{_Xy&epE-hSA2gx_qC(cMG znzeMM-bk7gEKCP3S!-SKPoCL&enIx_zpwQpm+z}E-TWgL?>qa^ z=idC#D$P*4`R0#adgJz8+i!f}fhSkCo}WA{bO%Q_OqK6z^_jffN#@fJk;zpD)~;&3 z^~=p&A8sM~ZoeqGcSCDrL)sGq$@gvR_FeSoQ_G&7edy_3htEE6 zq2io})SKj6G_)N_mcH9um-cU+Zn8J%SnfC9?z;(K*KUXO5DRRT@g3CyUV_tj(M6`U z0s~r~PdQ^v9jAeW9PCpgUPiX>Im21tV?Qq!_&IFIf^jJtV7~AnHhNAH1F&C+i{vCv ztAQbfhD*j5c6Ef;vD}6)oNnWLzn1r_`C!~Tzv|7ft}^d6O)eFRED1)^jcZ{6P|e7z zMZ6!3;-FS7rWY5yzFHxTq6U+G8;h;6ASfyieo`b>U$dBkKze@z!8yf2Qtp3#wyQ%H zUNW%}OsIAY`N&KRwl--f(Ms7!PW!>76JLmCwc1TQMQicp5$(%uV?u0xbTb}$zL zU=zvk_PlK@=@--%_L>|r;hec;ml7|HKOzN+3!x%45sdWKG`UcZ6Aha%kzZ%J4qw+P zH!A&bB8gr8SG&_ZaMB_riuB_1VWvIkvSc4NnhEJ5^BKaZEYK(zt^{Btr6=+I#EmVb zZ!ll~+2rl`1q$E{`)|wwxUR{dD%366U`QFz=%P$YGO?x|2xme2VIa@D>oY$f$Z@BI zty(~EZ3D~@Ib5M zmRBLto+u;Wun7+;+T8bk8Wsc={+XfINl#^AW!FI%ngqZbVEv(?>=%Qm>N8kUAy^V3 z2Q%F;J%x;8oKs%CXzpMB3$P`kOM~_+1FinfxkU=-vn9YY)>JYL28b>pa;6M5gi*h6 z$6J0ixzg-xyNZU*O0pMe1zTzq*A*ug1L{I__(*Q%>|o!Ns_imD_-Q87D1y(32wco7 zbP&ur?`;kiKCv~Jg{L@6W2Iaq57EbEz%M+q1@y`N;uwpfizF7$S5A8j4QKYHvUJpD z0#T;1e@i~|vzPG8a9l_U2hlx<3+c zFA95X9hcth{n>|X|~(_5T}sOn1q$Lma1p7!-U<+6f2q2pYqt&T(DnQe)o7`6??OD zXXYjlkAVKc@oJsJp8BpXt?K{ecz?ToUH{zpxF(G0qu%)>(qp(9`4k3WGIjOLx&SHj zowaanZRi`NJoCjZ2p%-q+*Ho5p@5N%!=WZC_#24Oct*P_e6y? z*SDF0osnd^^sj?w_cs>%{~ ziPg;@zj_+Vr4*m~&?;mO;`Cq;w98>|9ei>f@0Q8~dd9;Xx^vg&!o(dw1J*%eZmdic z5b2SYCkicSyt!RJzrjzchqIdGcT$fo zh;V@SSnLotf9I>s%_*op$b$+Crl*bsqrv9rmRbO&Zw!VQ(!v#q#9c!IJU$+#4$*|5OOqa@pK)e^UHPA=(^*M58H8YM|So^!vei(?o5$ ze&&BFeG-jE4!WQ5mHyIu%M;(@Oz55Chw96(S|`;C)x?YE*S@X8rzd!;f|yxcZx%u? zjDp6f-IycqG);210%So$DoJMT_J7@PEY|!+<`Qd7o@~B#Pz`fzeE$Dvc17t&pK-z~ z^PnvCzUiFS{tMIRZmceuMxI*v6Y89dW(UGdb+!X~bh>@ExTWK9#)iiR7~0CEtkDBRkHzV8_1kqmNfE zxOU%r=l6Z6D}+b;I;*~u=le%tCi(hi|e)+*0MvFsdd@e2d-`Ax=X)fb%A5_jE$@wQ`VjCH7T?_Z^ml3alm3J zI?$MvUck9FI(@5i?VtPOzYzllff{^^Z4bs4^ua~v;8B{M2&Z{m9W@-#* ziKHF%<9?pmVx`mcf|{@ok-3+7;}WPO_BEP_*7U#9h)>nBS?4qSrey9`qehP6uvQeL zxX_38Aa3TZNf*f;OP0IHIT_9nq4SpOVdquq2vGElbHDQ6 za18i4z-%yafnMh6#&TI8e-YihxsBg$vkWd5vY;Oe%}7Y`vQ5!7C=7{cVJ$fg!tv`d zo#a8=WuzVPW*BxAfpApczPwa8@*6A$2y!7x9wi{tJ!4+XWRB#%>uW)>hf?iY4Ql7p zTmJRGVF9#_aD31ds+G1x!62h z-vyA=>IN=UKmfr6%$4x;^SDRO)jhkIO5M=$!I2_FT7)q=Zc28?pPdrIdNP4Mp`63p zJ>^DbNQKDQV^UBi8#Dn@4h#|rJ@@uiRwFx*e0DeB+38kbV41iuu4gOn zQ4bh06H$i1U6a|nNk#wTY{q238X}U0tX7Q~ACoX#f=B3$r5OM>M@`%&BrK%VCBG1# z!mpD67PH3%UrvTzbnQDGAL7tsLIv#5$*>nw3wf z&uX(f#&hn+uk}*?0*YL8?U-JB$8E_wa?I-sYz8~ytR@SAqsF%1`$1%3$bifiqUane zg!fnDlu8?T!6fI)kOe=j<>OALF9eR2p8Z{r(t9bbR^AD{zLcw|@qI8T8V~OK!$KEG~P8mR< z$nQ@IkM`HqNCQ`!PAs7_b0pUoo3B3znwsUNECM&o7p+`ESsIH9$zj~{6j0ZunguOx zQfSvD(z8?81mi~Ikq3UL6GJ&s+sBKPeI+JBy_|7MGYe%h@R((2?pHqpszWW!Vrn`# z$O8-FsIi6cbj1j}d^1~*oD2P&S+HdeZ3(#gTgchy}F0cM_)bn-f?E7!Kcl< zGb)3FnoDOn^ueo-4E#XTJ9l#rKC6SF>45$CYilfb1|=3Ur$fP=a3x38-p0P=q2Qk2 zLFyRNccewnx$;8&!DRIx7 zfA4q*T6^kE!?MqoYEKkk-HGq6niqSBZxH=sz>9C4OCXZHbRpVNB&oV#`eoi)WD`;Zeevqim{hTU-NHsS7y z<>Sb)Czuqzc3}^)P1!!zndI%ruuSqIC1;bo*%s$)K{OFgTaZWpeA~^Xhc~VJ;di@D z$U8f0h+99&MCwO0#jDlL$fDP#&sR0Y%Y5ybn&M5}-0LYX>~c|%hJTqTA4PgzSgZW} z;KHo11k3L7=4_?%aiVCYvi2a4%$vm9r#;9U?!O7?_30-%dyq$VZATLAF1vnQbHYqL zXLG_;H^cjBW_X`^BKiCOI=(zCE|MadtsA#_I$8p?*GYaX+n9`&*U#a)92wA6L3R?V zX;z0<6pJiWOxChmr#1%D-uLFy@yi&NmvteE*U~0J=3M=pBAcPY_ZWE98F<&2Hyb1o zN?w2RS#OH&lm@D2~9w)E6?SOdox$)U~J zf;A18QwdvR#W+gIZkKC>E zhR`ghRp<>@GJNv$DTG%h#yD+EQHcC9rm@H$P?$&$2`Y1&o|6@0OI>;UIY6@Q*cnM) zy-9$LQh#Z4*F6>;>@j|R5lt<2nKjGKgzfQ;jfAQN8(l>9lzRtvdqb{OUuUtcC%QOv zeI*oR=(?0Ddpi{IXVeE9#&`*MOy=D{Kv0YbvdD~kbMyb!S@k4>qS{N}&V;yipm*Gk z`&L3S_k|>EWN_ane)?IAB_Yx!-`>N2_Co<~9mLouxbU$Mw&T6v0_LP(lfWJ1NK!%? zo1x*`uRTkpXpuWNU?WVl5Se_xr15*Q=#)eX99t*8oZn zi2wZ%>|wC&E=D!gRz)zY9l{>>@qH;czdDXUJ$_dJp7B|oi(sK*?y1?usBS?h)e zv#Z8hFB|ma0(|#1EO)JpIIo1TDCF853}eZ488X;2Ti5Ai(7g6bXJkudCkj(%ERwz? zqb(M{)&;%0PnY_Z771sp?&YwzS`}Vt*W;Iy>vp{F6!xLPAB}da%WSb$j&zj}Bf+cT zLHe9qN-w^@pgBH|Ug#^u9)U{M#R}MaSIr=~Xpg0nT$UWs#0prBXj&3w!%Idv{t->zpG36;FEZ%n_Wu5< zpIs^*HECO^_fF)|V!;zMK@bqWll*165UO;wcOk|Hjg z*u>p-E;>#i^blQtyg$F|hfdrPjL`r{u|Ri(Asep8000B@CSX!ai;=ir%tl|SLYg^p z&ncC(rh#A7@;Ib=oG~WBBpP`&LI7ov0HoEhKmu~kyZBhS$j`MTEv4*L1!S`$F8T9+ z`U~EPM}9&a(XVb90k%WWBmgEZh$j+}pq>_{C99bYZ!$@v^Vtcu@O?a+q@@#?xf|}p ztLY~#9gHIrxYxpyUfT?3NqM4E(+%^L3wWa6iNK)+@pI!gFpjM>fA^}GsD8Bw%VlOU zDgeujZohaZ*x*wb6lZi-C z9%DM?bL5(Aymd^7@6X};!|)~2`d?90S;S{^m+W`whcA(fSK>AI?(A;!<1`f~3uh6A z-sgVyWaFJ&xYwXedTcbvQa>7pY)r>oV`uRUR<#_dA0f&>YF$>jWR=w?q zrl|YriJHcqpeJel;HOUO;J7HIlZmN^MnrM+6!w})6XO?cM_O7EOokDbf>Art{Mu)GKQvdt-=EfrL#RpG+wGcZ!kT4j_ zc`rWP`hT_f<96o#uO-XanYPD0VMk(F@5aQ=6x36vJ>8id`iM2z6kd;F>N;(hVVIfc zI?MFfmYg=;RgGHlST&YT9$o6XBKMCA*M<8M9hREN>*~Zc*~3?74C@6>PO%t{*;)f^D9Qr`byG63$v~~lj5%QgryeOH^MlkDSHXYo zTcnf+yk2900yh;5)H-GXXeS;K$82AZGK3jQBS84gS|JtyPW)9F1)4j0o^n$@iQ?dl z6%}IvZTWSiGVIPEBGBK8!W7UAyvI!~p= zPp^*2a=@p`h_9HcbKd;v8MFIE3%EFj4@y~l%bI=otd#&Mg$w{h7z|GrSkBJ8csP?v zG7xFEggPM>Wx&mz296dE+(I~PR1VUNyx_dg9B<)3Pgo*5)3x+?VvRc(cbw<`Ds|SF z2~cM;s_%zn8Ch3d*A_ti23@GK1 zFB&>M3686A0iPJ2{Ns}4_>nJb3jX*;{0Ibl80Co87ITRLKw(RXU@8&!?0j2Y^SO~F zxcrjIto~ad9xZSvdaYL6Dhd-}7G-1FOe04}i-Wsz}og^;4U`@kP==@x_z8U=cq5Bh`q z{&P!L@Zh4ewD{w2aoDli#9!vmUA?c?-b<)T~re#0d*Gr_7d3;n7rkA&<&tlMa2I7Vk} zuqNOi48m|L2lU(#aJX#pjk+xgd{cFZeSlN(oht3s_lB&eTpJAPcvB(DRyu7jANhLW|OcQ?9 zsgPS=8&HAMaSV}Act9Q?^Md{Mn8omR!~=CO%EHU42tyoT!_x|D(J``e1QXjcIK;wH zfS#osvGkFOF%xiZXDQSn}%<7?ZHW;?Dx+Dl)l+>cIs+NhB*m=kr!dBK}hK?;&4ga3t)s+r#0r>-`a<2+)#1%;^i(sJ>yF9!>-cq)va zr!UZh2FI#9?)dWai9k`zZU6m|5A9v~NAB8}eZ7acE*yNrA+GmsIMjGPnfkT;Q=i(k zZ~Kovb@)?B&zj5LeR?OccW}uyG2NYmuWr6_VM_7I-&i!I*f5#;v89uJ`*k|)Y;<&T z#cywSGmuNKe9_)NmT2ml7#*qe>FhXJTMFrplV!c-dmjCVQ#iG@drb_d@ND139!j@H z)2@lpf=inoWU*?t#qd>$)c>q3Ehxpwt8e{r7_3M6>7Tqx_Or^6l)NR65;<%wa{T{r9 zl^zh@j4WgjFu?O^dwnsb7byt*wl?=7{2K!=-HaCtHN!E|3Bz^`KbC3+=Z}Yeu^li3 zZU&8^>xbxBPmhCIzJK_U|9A?++$=kiCqX}xDqE7E9mRw{!H}@6a>fPAl5z{7+fWQ| z&M6{y(62#Wv9QAfkGa}UcHo(_>Ux|dr=o!fSs7WaSA2^tkV@0Nd4gB33FrRieSR)w z`5zh1F-QYS7*})tAiyoG+=Ojh%YYDs%Si+i2Fpgx=nL`$A+p@`Mf%_na3VJWMd`U8 zyV|Qj3w2@|ufr+a1d`E6pd9xoDMd9y(%`V*U;}-nZ_r%^IsHBos({?}en%4S{!Gaed=Qd08B?kwxT9FoR(adB|8a z17w~C%F$D!REtE;fGg0!hG}UMFkD0g7_M5yu3v^GZ^)BMFbE*IXlIguxBxF}{{>Zj zKwF;9;4TYpsa2-@+@~JNyzJGfi7vdQmw6kl>`&`-|2J*u~XC2?CYIAau&KOH(Ur5>aX@0L(i#+KY#v4fBriaNG4~6y7DFgzv4G}`;5r(0 z9E6gPa&OWR0hRudzLxQ4=4;efagmfWU~b|YAlresoJfM>G6)TnHS*^o5Wf@I9C(D` z+@HRM6pNMw5BOYu)Ma2QA>zovAn_s@a|AFxiw!Z{zhWu6x9vO2473ZUYi2ngUA_iV zK{~*Mr9vrtn2czhR2^*;Nn9l+j`R0d=YDmzGu-gvW!|NE_IMuxLxq-!8-gNhJn zwbJUPOGzu6$x^U$;zh?=*W)GYAZwVZz zlZn4xq5u2rhmFU&`ud?WTdlI#X0zD|Kj;pg!)>-xt=Q>`2#qEYAE$0Q@ zOizFhX#!Nv`A7ef?T_WO0(WWEYr>g0y4$uMa_}vJcd^x8=iMJ7y)Fp$6#B%+C0^S3 zKJSPmE9x>Bf*;~+8g7)~cpqZy{#L-=#6d7;TP4yof<40JQtbf(IR9{|-PJ2~z?w{b z0NFrE%7c!=;Z0THv^d5+;pX7nnP5=an%Rih8w|{EM~7I2(hY|7Wb#{4kRQX+V(iWp zF-8z4=tI4xK8Hm?)1m}fDTh8|7TnT9|1}FGhYiFm+G8lSv4WMjDqh?8wNLMuvIBlwj=?Zwt znUM0rSw9@?2@4Z!Z2se0dQ=0QBYf;e*sf~e`EwTC@aonM{~foWKKjhAr=EFDZ<{&! zy_-wa2+T$AI(!ia=CuCtfkk?OB{Dmw;M!8-`M+5I%%M!Ta&IJ6zPRz`;<<*aw zYWMbE%I-hi(vg&?}UU9yPkjpYdgm=D%Y z^$+8pdXd+zddqA1N~z+%ekeCoE?k%TX#}eIFcQ8$p7$j6+gNumowWu7e$Kou#*6cM z!I_!p>&SktI@_+&xnIU(5^oGXQo9_jtFabx?HG72S*a+-7#3f zF>|ntTLyg#VRUp>cZ|xapJt5A(9h3}K}KsHK!3qxaLhsRXd@sEtwI(gdt0!~t~B|D zbHDvv11)-Bg0jGK5)mWtgm1MmX?_pF3h{(7zJZ?;C4e8q!xbB(kUs^eYglQJEvn1l zSb=1`bCG~Fs+Ug2fgwTu8Go8tE1dudkR+hVte;a3$Vs!@7HkzWC-|Z?5gKHY49G&t z%bWSY3+864SrT&3!KVPS?C_l-Xn-sglM%$#;x-MbWUL_gCYS}XB;=vd--hj{pfWM+ zh@pkrV5~GU69|}s@-4v1wG0j#@w4V426^a{eTpd-^k0AQqZueWJwoxEQB1=nLsA(w z1C!C;f?-?UmN7m86KL&=bmhQ{B?>RtoGWPidEb_~RBArhWX42(1vEO5FCy~e*~tWH zWJGeovWO5b^yZQyabnfj*XfmI(WO$0xn32c;Bb%z!yqbReE29VKDP$Kxy{pAD@=9jt@Q&l0>>G5*G=}i@_U8WKcl7O; z+$x0QS#vbfh$~bK?jejb{2TzeXNG*l2G<(rV8ex4$L(-6qWIj`-3d#IdD-1lgik44 zlkkiVN>S8Mc`B4~5Vnj~e*V!Xb()sJR}gxN~uEmIN;%8D$jH1~>r_$V4Xu{=y$`mkF0CR!^Mw^`4zy z8LxICS;m!j@uPi6^=%9StKdWeu&!zYg-sG_lq{tDu<@IF%jJBKF{%NYM`ImxaIWA3 z#6Ta8%FeGHn46IdJ4S`lHAIp5ttKKfy(bCf0&$GEK3?ERk-$&~v5XdAM&(j6Io~lee&H{CxYCAxVzL27?p#iv z8_4-p@m;k;PXMF{E~JK@fv^w>K?Xhrs&L_xmJ2IQdYq>Kg&A*{UxGdE*18L0yzPJP z)^!QYI;?v9bu_ zWFKmYje;XiC8+4}(m&2+^V6HMbw;9-M z$q`6XhWa#D@a=f>jXTbs)9GX(q$ZFTl4xFF_yaaY4{i8X1pVV}mSE_a%f>4McURt9 zT~iwPKjE+G(lu|MzLHm*%=h;XJT^XET2-1Zon89%&y)uEpY5xRj`wVnWjz4GbEYv^ zRkkyJb?po&3~f$#=aU+uxry2&$(g{bxj#0Y?1=N(`T>*YDLzvgI5h*4(hgO-WGCpT zB_t1DRpOqV;?NYO*s~m9FkKX5XlDK8FYY#)le6hkrOoq79?4*yTqXECM!p87Z^e_6 z=;PJZ@P#8H4@u?2)o2Y4=_`P_cf;!Ef|n!X<^X{mq-#{M&1Fi?v>34eZAM)#!p(A^ z6%brmBUjTfur45PFyVWtRRo?3cJ@>Z&aE5y0XzXFk4zUJE=*Lm_8sVH#e8VH-OrmpiQ0I9kn)nT>L8X4 z`V8Ml5goaEc2aVI)w`IXlGtcV@Nx7l86`)@W&N;5B{8XD^pJ!yHhhMEb+;0R$fpEY#VO&J-mJ058vocXL_Zg_p6leZ#qX;_Sj+p&Ldv9 z;dY6D|H@NQ2HhdS8~(-ViQPM!WSuwK`<6_)A$Yew_$0v6v0~VK(qml zzfAe}Glf<~$>K$P@~=7iTgX$q%+JxBs*~}9Qj`4S)2_mZbctU4%zwIrGH-R%8wu$t z4~zuuIaVx#bpXhU=!VXXQGJ|)N>#RX_~}fwJqT=JLx#h!%q$#g5W>e*v9)7zYC9UT z@*lo2)&_flVX94*1>+Lr+YdldJp`OX)_i5jIt;3z@t~qRLoO*2?BM9nzvDa9>C{sj zAQKA=MdFIcz8sqJf@HuK@m(|F1QqkgT3v=mW{kkkY(K|K0I|GyensGy7jIF#c$`DN z5`8woiLr<(niq)pU`_}kGt^Ic1&lx>e4>Q{i(cxI6%SNwfc-s z9u5C2ONP?&qM?}~4zC7DASz*oDH-L=@*cJ+3+!-!)fAVd6whV*x0{Fz=8H{*0Y9C# zVui>684BcqvNtdwY1|_wYj`K>~^4PsO*opDYinx$EFD zv9;h{>)jVtCGdhJ_x9{d>(U0Y3el+I~OR zTwdX=3e)+;IZ>UvHmtICs4xm=(D8QZ1W#Yt8P0rl=r@Yilv(ngEbbsQm zD_W|b&9Aj`H&-e)TFv0d^L^)|Hg$Ww!11*HS|Ycrpp1VJuNW~-9^hf+ES_|7I( zM=0sxU|8A?nvY5PqS^K3Au7bhx%;kK=EIQ5&SEpM8eD0BP&1+8HIpU?mq~w5Y45F- z6_vx4od+s=lkrx$2#>bcsqh964Pv@vW1-fMxAIy4_%U5HWt(i>Iq}&$Feu&wm1BK*jUM8W07$H7m;vD;lr8x!tAWWG<;p zB3V`{BO$5`YRnAM9Ah@h0tr8vse1lolH}S!doX~3(VdjkI|f5l2*ZMl(Im(Z%ph{* zkb#+6MN}=1E*nUbJo*?Ky`f|_Kw~S2|M&nYlmtW6!&;bwz7uLuCNXuV_&cJ2$m`Q`-4lrLrq&(s-62 z@Uj6^I0KVvX>brpxi1%CmZj{-;xi>QS|(TqBSW+6hDT@nMrVdcrvrtln#VfS3vGhW zQ2SYMAgu>7@-P$FRTim_vLK!X?gKd#4s>Mg($FmWi1k4@8Z7(#`wL^6!fNx)n_5ZI zysXjOTfet5ewYKnt~k7NQ)%a>%2eY(YvDwwV&_C*_GYNx6+O%iZPNnsr07 zePn85xiOGTlZB%f^pC8)VD#uZ+w<@n!vmiMbxLsk+HlYMp?gLi4{jSCx@R~?7IbOT z%;wQ)1KG&{VtIr9^v$^52s zys=GFH@BM2osGtUhS$8gl2BA>x-4r zrq<1so5TI&@9cgQR{aB@12xKRy01yfqCA)rJqKn#Q=8n*E{+$mrr5Q8v?7 z1eI+oPaWITx_#3j>ebH1;YM>;GueG*YX!M@ckAty#v3*zt>$E7Q)}|J(DKz(iOrWQ zXAkiC-eiCBKxOyUtzA3Mt~B?4D-9k;X>zgh_Jwt`w^e?xhk53K;>&j=d z<3Snx@aU{^&shV_doGw6T)S@Qo^`Wd82)uotIn>Y;Py~-mcg~bC~B7s-wO?KvUc(8 z`b!3f9xu-fzj1iCIhsZI6cJ<`ZtH=$v5Pdy()t4-kBI@wG>6r})tw=kJ(Ww_)mnRa0+WHFe?2ecRVR zcKE{5zMIPXc9dV}xmxMvbui@@KApVKyDZ~ZZcKjkrUOTJt_IwY3vpS^1|=op$4CH+MHY9OuwAcObx9SJ^pj>*upWsn2;}+hJ1QxSz|8 zIH>JcIH>LCAGr0&6$f;-+Z`3Ru;J+4t+%e)w}nVQzy7hORzLRK>Ib$Be05vvvQ-0I za_pl0%8f)@Nwfo;J#gNgKe7R;?AdQBH(UMkmp{4d zmk)e-#aX}df#mnLwSLrH`ZT+p#8w<>U6{psRGEF?>5uC~>+gPv!HxRyhS;ut>>>!= z-#gZ`)=^!k&5hKLJ+XkD6pI7HECp5?z7zOfAnuQ{aT?$B6-}?n*e5QUGK1t}`bdq@ zF`*a5hf+qB=Onp^Fp_f`nzZ$EjLaDFh!xA+hFoSS%5W|8zV>f_z#m|EUWDzhV7LV5 zc1*KDveYp;)2c>7>Iyyo6tQu_4KZw$@RWuIU~IB%R*OW|&~FIAi@`)(t>qfv*znrI z_qx*DzdFa`$daaCZiMyX`I{1NJYKkSX)G!><5Ds5_95GpFD?YjliY2HVR3c5*dDKu zs$VU5SItz0ZmoHDl}`1;gBpbbx>g~Jha#$T&Mz1ITdS3DiVn5v=RRHxuA^oa+ttWh zQJw#tQExTUpbWXqjL|4c?8`}28sR#6rrIu!*xJyfi^v%lBj_$71-{#hqDw6NX0@5~ zn~F6ziSmZB*qw(EW*F}IjA+arAluyHS|+|@#&&*(+;PrEvI-{k1MQh;9T)t9oPY=c z7;1d=uf1CiX+aC)Q{+~{EHmCMgUP}enem36U4Mzzr+7mTjyT;2WNTN+4&fugfA-t|=!I!qeNfpZSJIKl_{+wMQrD221$2(p z95N8_87Fdwd0+MmrUl)!c zM;RCE)RJI;gTD!Lr^f99MlO_o#$iAW_(jT)y!{%i)gBi~yqkW3CzOLG2yCH$s_hWL zs|iFFkIz^wg-i$*0>aLaYOphB1>%z98Wh}%(JI`CuDBco+>KHO-m^7(%ro?ebDz=zM!aRfSG)VF)983mxA$M8kxSAy= zjkvXoANVOND1tXBrCUfNhK^nm++PWs`oR~pC_ZE{ocVM*9P2RflA&^{pmGea)i(Im zYL(DNMcNVFd`*xe4=sbkwC4&54+k|#K4oHH1*KyMV5VE#9gm)OqY=~X=!cp6>(6?s zWJ*yzoJTI8hp7S`bjw6HI$!N!VbHDg!US*PTTURInlfcYWfFN`{x-FNNt8Ih;!t%U zAJc$<`qx{Knz;bWLJSvps2lK$DFYfawNL6h$%mGDz<|IL^U)AHo-mB4M))v9P#LPa z&V9SjOLwC?36f;zmei{(uWV{r*R6*#i0Bz!g*ZxZUqFR>$ghl4Z9uU18o`YfNZBc4 zXn`~~es5`R-Mis4)?LI8RvL63@s+JQQb_gY((dWt=0l*G_e){ET%~y|Cz~kZrdRiK z{eDseV5O1_`(@C?%#5Qwpcm3Wr#w)k#VX%I5uZz`#5sy8=Z|ZD=|HjUPcw2TwVH)B zeCmp_xihEQ=pn-0m61v~`4g?t+@2sv&aX@+xzW2T>9S@xnb$v+`n^e|Szb}x%ltv0 zJ_3xZxd7^h!_bjRI2r`i!DZn{08wBudi7XKD#)x9gW_QN?E|@qYN4{JGI4vo+`h8HK=6UmT=>oK(KJ|B87|*dNe27=y!GB> zPbo;^@h}O7p<0kjl>ESYv`AvsDhcdtO;;;pX#R$hluvZH@Fev1m!aQHCTE8OZ&VzD z`b~rr@6)1K#Rg%qD@^3qrpE_vFAWr~DU>H**oJ?~+{Y$yFY-fWr=~Y{P^d5LQ{=%v z&fS0YdSX>G)>?Kxj{m;z@tp|JC<`V(L@n4aQVrZ14i66?)qbOOPdIr`ci!X|!e0!ZtH6+ZZeY&=`laEaZ`4zc zq*%YIG`sGib-(`^En^stQ|&3KeqrSC!7re!SQCt<64~EBIvcU3!h6TKmV#W#ty{Zo zA;`MG-<+WNNZxX5xx5D5RCW4Ym4POUVRG;6A9(LLsvoTyIZ!!J8JNB5np#vj^sYVc zddmgn^SPqy?PVUK!N7d;Cqfp#>{)l-mf8hr6GmcQz~C``@7b>y>iX;)f8eXUFog|_fO8hZDjxC(UBkBJ^uzW{|{lfYl;Hv z8-*TU7`eNWe2aJ$>Dz|)Cy%G4=PC*wHujVzX39h3Pm!b52TMOb(??G4F3sLET5Zkr zjpo8&H_W|m^b^6_vXn^EXp_k5Tj`YD${4cB(yQq=fbnF$vikw?Az35txOkIm`qR1@7XlJk6W@2_dovBDcpGdQ-^Q97Bx#2Wz(+h z?`Ag)hhFUD(2L6FCyq`}pf2HFvVOZ{>;rb0z*lz+JoRkyv)?;#gS(e(#lpR0M`y2j ziKF_L9>uZr7|FiuCbOrOv5e)S!`yZKTaCp#TY|BwR)f6MG8PIOl}zLvt9*eO$2!Md zwBTAhcEFo^{sj*n_nI-{k>eitO1xxKjrY@W#TjFfut{fbmY1+y6BlJ|bwm1vNDlqy z{pUTo)J4~+7<+xYY z*%r*Jp-Z}g?GQyTI-fB~8uqbnMdBi=0`{fk0Ue>u@QW71xl6wG;!tO3E^ii5(m3Zv zgM%nZk<{n^^j{yp>t@{DF;*c}H}jne+wtkXV@41*gvTQD@S9%dRKRY`6I)!eng1hy z^l_1|SdRz&XaS3|9PH%kErpGO35JE?;Lp z-PcMsI`~%pp@IRYV=|bXPr-jV;}~hK|2MLL22OCvD7az7kRXpefcZ42&6dv?{uwon zLlh&H_wZwsePmz^SL8&4D##}=C(L*j{<=4wAmaDw{2H9Nz9?lorXOaPy5+cv@Sc`b z?aV6=`q=;Iob0eD;qUw7{}UGrETYaoNtrK7;Z=V8{|LTH0(!?#@HlN0X}Fe@cd?V8 zT#nyJ{oH2>)6-*jVw~`OY)6&_%~Fg0A}0se-a0Ss!|a$|cidX$enOZoFLPJlO0W|m zxddb?kSByFEE|CRrN6XYUVcx@J=TM!p|#|3d}4P49r=p2V7(B#e%9A4d{G!8Qqt?P z*zR!opJ&On87Fz=z6RPz?B`qF+}2omPa3@)NKkZS%JR>cm;Ck`25!pc+#}<1ZK9d6 zaV=dopbaaH7BS>wEX+Xr_O1xwq%&xtP%WIoc1%5zZPk4R@@;ix&Hh=hmOo6z7mj7xN896OqOFlJ_?)Kc*J>}%roo> z)P-o}Z64dcdE%3sdt$zfwWl2RztKj)FB9SAyt|k(tp2aAz+W!P$@;&xqX5cg?ytB; zy_d`Fg?NQ--9qsTkN(%!0$?Y7Fg>!B>*Ta} z`L!`BmLETtQRg6d^6{$2qQ{PKX)srO28p>9yjqkS82}%oSA7(aT891_naI2E9fj9` znfzu}3q{$lT=QCB*JUSf?!Ui*&gC`asJV5&=&{8zPaiW;XfU7iPkkE@M^?wdsX;Tz z?qEd=Gcdn`dmXB+*O>p>8+(MQ`KQ?TpktDC(K|D7_2q^B4k~GSRkb5rgZgqe z{=9UQ_QoDyUS-Y8otFO!dE=M?>?@4FG+9yHdJZyL(BJ;WlTLqo z<{#a3|100__kA21^x7vfIJ)>?qvG{Hew2+haB%Cf{e{eG9v%s2Op=``+u0 z9hWY!O=tK@z|5#+^1EL)%4GK;urN_J=p6g{pPc5maxI*xWhXL%)N8V#ww%*mcfdAq z7%PnBF8}E1e&h5sB&n)2tqXct)4vkZM~}O_Sn{iEPGT_M7|R37oG-S(kvX&XP|hu< ztqnWM-6*<0ja>Noe+)V!_eHq$d@Rt!Ir81f%y)u#$zmR7Z13`$%Kr+FDm>|igD{#`2`GxrUdc&OO{@Hg- z)yZbQ;zBeMCfacgDP@TPRlvK&uP!n!EN>Pfr8oD72W&!{MS+I=5@6b!c6?(n<<-Tw z;&bv(40M_M{*6?Nu$?cGyb7bD6(ckPzi`eT@^S)rFP)CcW2w>7ySdR%;tWq5-vl@> z2J9wUh*4Jz$x#`ZRXpG-R9VpT5AtO7oW7Qt;6z!lfFzeLib0nN1^j$8*T0_{vlyL4 zAzvC-f_8NMajwA^*kX=cQZ$;jkBh59oV;-m=RSS`!1E^`?ZhF4CY9@!O`L}EngDdX zUKz+N3Kf#~?iJL{XDXD1)D3|=KjFN&Zg z*lM}b1^@PCa&=PPDw_z9WW6!>o%t|K1NA; zd|!R;=D464(@5Bl&ENDMKj6@PHf+tXOCoqx_(%T1dPKX@fXt03zP>-&@gv1>CTtHu z{QOCg^+bVU9ji7`h-76Uz?3a7c~NamNmRrRH1tpB^cdx5X*std$_5(7j5=OzuenTWk7AwWQ+ zErQ^SCYiv5QfZ5*9nj%1KI;Dul*fPIGM~ylNmF`SpcVc;Dn5E!3WLr_K~WhUrW6^e z4*oCvg%KUcw#t9R0qwaI8C0D9e``O^E9ab?lbn=Rzq`FT`?2;~Yk&9JkF_6bSEB*! zcokzk)?a5$E^=|{`BQJ)cuvZ}H*7p1%SO~`E9dW=-uievNRx_c^&qRgCA1HPFp}_btmd6af%k@E`LHM_WLRDc4cn9b98YWscp3dUW+5;=i`D;bX_M25qXcU z9^=;A#&5UID~sw>HgWazQXFvNK>7HocnvAGih*59Dvql0_)AgKOW(T5yOdt)(w;W{ zrPIW@#uLB4y0n=dSG{Q^P8qwaWie}n>K>coi0W5uI(vgJ4(eoX>8V&3)T3m{F8X)_>SMm z%dW0GrR|uIGF3cVA4i7|ydbg}&0ZAeCUL$V5v96McefNHqSQ=xk8ciF7t>X$Vv*nT z==iiyeI-(wOaUU=T0D8&4BJYf8q;G^meW4jjm)<4`@-jBkwnY~=^`iDs~9Pck3`!h z`|5gSH!aS2-!qQeG383%j91hePd+!x;V4U;!A`dpEVk+P?!0&AH}kU9(>|epf3_;O zTNS13YHzJ5;wzg`+Q06X`_H|fDdWDZ7`KgrFk6oOWT%{`n(8(2C%Wp?txt-p9AoU# zt*tnHjWgGH-T6TAri~`wc48&fKJm1JKY!Prow!6>+$2mT?ciN?s&UWnX3-pU{bYO6 z*y&ee`5WK*_px`4LOZtZu}_MRlrAmC!6{VtRm0ljq1NT8xf|}7tgcMnR9VUz@jFP? zqq>!f>iTAQtjEKocl`KQ$KL*6dJ@2 zR*?UH5P<9<)aF)DS-<j`h9cz>g4j{qq6k_J6GSl@y3m3zIFZl_pMKzKb7h{H*Y-uub(s% zyOl>x#>$GeN+V-qyJk>ZzG>t9ow;|VKhZaJGU`|-H_rd-)9?G>N;R6yj0Q#{xt*m4 zAJ*Ni&3NNyZgYBbarxv%N_@R``ei@8|Fzm{&T$`#vO8RVzJ2DOedx@){`4VFY`%Fg zTmzRQzDKZUMQQk#6yhe=J1)KF=Hsvabp5IS?ApuE`1EHU8~=a!_4TY7i7#J#_5A5K zJ>vI2^oZX|HLDjsfNNG~WHqaAKlj?F-x;qdToJD+d~WM+cE0h*%GVspTB%B}x2#63 zVl}>A9{awiLv6sdB)QjF$oU0QjmLFcdeJLhXdsmvWJ6x%9l;7aW?yz(PeM9!_TPuQk zsz?>}tB82BdiwGcDdj#H?7cK;hN6q4v#wU0qo#rLzJF^nA3LVMx4!Oc<6Iz`VK`uX z=`bC|C;r53hqK>!z;nMWGqK#8(Gx%M@ZNVvg{(GF+@Z-P@App@UtGO>`NKwtpl1W@(f9uRWcHd(Q4WTfbFZ{-`J1+~v}b%#aQ9g5O5>-;c(pE5 zy!Neo=4+$?<393@_u0Kz6d4?8ef9_(g4O)<5(`d_PTB-eed<-TTLmZL)dFRFmDod)P2$;ZTZIp@3{Kvui%#hhWj$$=nwg2 zkLh3|ZL&znS@b(Wp9i;j2wD@W=p6CK;sW}GVJSF)m~xObH8(4(cIbUFV~ zu`7*s@a~)+$Hnj{uhrtj>)4|on)cGG@o)I9^M*zoblSSriMv-GRNah?IqFQ+;*L)L zO3P0B6MTc~H#?PhsXFC+b@B9bK9MCEH$sN*+U}RcOkKyO#`WFrx^ymN&tzip@~+3d zi`v8;zZUldQbP|J?sDgyperV#^}SR$Qrke;tHmj@tI`5xk(H^(oWpX}U-_Ep&=Ge$Pp-(r0(zLv#4-#Guq zGb`7oX8k3J`{Aqe6Z;V~O2d{P%=Jx3K%pRb3toQCm^!p}0)P$%m74HTe zY6WI+wdt1I9$dZ?aTvEB+PmjUb(;HM8DNG?y|Ic z`iY-cy}9;yG$?iEpXJS7m7iDd+d}x!?D4ew^r^XB)tjYMh*oG`E-rvqC*m|}xovc_H#-xnrsqw{lgxPhE$xKM!6IZVb+em~ z2j4#N{M2%HoKX)~!6|Aj@rcy+TIHOz$WpC&SEh*J$JXj`NKJ1pHE-+KYY;4BTpOmA@DG+7>>M917{fb zCC$UB+3Z^J1D9#=#Pp7QR+!z(ig|+QwtGKnPRD z{L?Wxonl0nTBmF4c8dPI_OhA+mZRE26}@Gpr}1Cnn=J?FiW1dYP51s%ulpeTPh#Br z?1P_*=LIuc6WL-l?)Z)UFJ7-X59O4nS3>(8CxiQyE9W~5!PYidrn3Lvc-!S$w88|Rw;$8?|OLjiZ+-KRNy^B)Xb zOoj`02s;t?X&!j@X`-;S?V?xXh4kH*p^68~(wSph*gc;gzG$}b>;s-4b{FL+wAJ)^ zlHM2NyF>RF?%vt0P8|E~uP&ZJtHyT=T9uQXQ64udD=ZWB9udsV-hK5$yPqQKk+%^> zo%n|ACGrvG7##F)jx2-I9BHZy4$IYD!khD*{mimj-yAhmPqw-B3VGdMkE5~bR?OCM z%VK|h|0o4pb?N<~b}w{jSZ4Pd<3;d5*eJ z-wnTG0f!oxYrOimh6*@zphGy@jI-}_nQBa3ej{dS{wwq&Z`Py;5J`-Oh>}oV1UY~jIcgMRJ*`X!fx){1^ z-J)pCQq9gkYm>M9+GIX54v$@3b4+=Qp<{Y;YTMY=EYkCieRZXNBsB|{cvEdG!lt40 z6m4oOdNtmliH7*AYiR{!Us*gl9iLo{fmp1a^nvJ<;st5`wfI*&2bSmJ=+s(#u)Fd3 z?~Mb_Rtgty^JjPQB8~Vz!p3(5cCxWMm8G4^Z2X_%t44cnTa~RyAX1NaY9f*J|2cCJ ze^e98E~DBwXKr<>I{BWr9rRJ8Jj+Ggvz5kwe|?!w{u{a3yX$|4D%vx6`u**!zdBV5 z&Dh||%eRg1ylL-c+!dljCfa_>0+dm{=VxO@w{?Sr+JbfWMkb5yWB>mC{oM7z?{t+* zTKwMc>n4Zu&{>zlfd;dyUk8ezYv%jvb((6k*mq@M^uer!gE7VzId}lPP7}{gw{{() zqjk*@&99yJ?5&BfaC-qeH+|C$&wlen_CUfYCuixH+oM+9v#!4K_hs*us;=Zo9Xx0wdJyIPs`O5=0i**!5IPOpNtJ6LCPiAD4 zR#V=Z$>mwi>Nv$*)iSGR{!A7@xlqm6qNlbm*vtNNSyC&qL8^7_oT~iJ;;t;OZ9VkD$X8uQ)!NaNO z)OweyUEITrh>P)k3h6L&_Xv|!bkZI?+gq=W|EA9B>CL|!=N*{TV)VC0%W&glc*W94 zNB16#oudw?M&Eb0)tUHEL-AGWuW%(NK4hvb1#g)^KIk7<8OG zZm;f4lyBFRO8#q6BU(Y0^WM3}mwqU<8&K)2#-#d6tA&8(gJzqnZIzqm*a(|dw^|JktYl3*uTEz1 z#wT=M`II4>piB$19simz<%m4DZGV62g38hxChJEgA3r}CsV}BU@tM5IJjha8$iBTB zac$?w)N1@!Ne^Gd0sF_+Do55fwl==-hP90+%`eaGym5W?g6+jm&#qjuKD%}P`g@lz z*?!yH{MIioFV=s2=@Cy}nctp!^z9pGy=#8?%8AGCY;L@De*LQ1i$1h4eg68n%Zm#S zpSfaY`I@=su3f#oxOwsR1vlTAA}sO}8DH zxqj}ZOBNsTzWK@RXMTKpBVv5!`fZy_^^GUIZ*yYlqV?y0=~0($EPPc;W@=;k>ct*AC9Ga@fjDb*S8{>$8Nvz zwj&cA`Pq5=ZL<;M&2QOQ-dfKy-aFvU?_FJw80#HLKY3|k*8)bki$DDMo$1Kp@?=2# z=s%5nD)oKVpSoA^OjNt)Dt9lB(i}i{9J`0pNyxyHq}C0wp~_#peD%5?*_}+=n5c0U zU9~9K<#4~>v!D0XJ@yg(LJu+i!oM7)1@OHiJe)JRz0-}o!}KtrDwP`+hrFO#8#2OU z7st+JjNMm`aL9%DN0-6$e)W(xLk1i7NouT;>dKJib+{}Zd-a2~kM}^hp%*pr`f#gs zs8A}pTXB;w&TPm2SmXV@^=}p3`@XTeeSC+uy`p^bNwt>W z;9jfOCgK#ctkcFVnboPS?wW38zFyg=PDQ;qS_PlU0>>?o&C15HA8l3dV#Da@b~=W- z1|s#I-RkM@*-EFe#Ti!XG&m}1Jr82-V~hS`-YoufVqRUFIQ5$k?*9Ad&T3~}8bmp8DQqspE>bCi?QJ+~fRkV_Vp5j9-fOoxbL02E z*z6xm&%RdkfRqPpDg)*kFi8dn;0!e>1b6KrhnlfZp!@7StYQo6lZ}x^KVUv&G}2NH z4tyA!;{BvSytuem>-~C2d4d*Yr~CXY-JmEx&k}OYbGh!ISxevRk;HxX9i#hudwB80 z`4wk;C&qa(KQdhw{(APg%e3{>CX33+eA-gp zn%8@lv#QLlO{HiTe7?D@EJY&+o2xHgzu}2rl|7EuZ&$R(`AqwjmZ(gmuJPSpjLJaA z`CnNlT8-t2E}bytf!ohF^K8WUB2*T%{4@8Sjfc)}FGu!M+jXs4%U1JVTzY#b?$G_j zR5hCLp3O!_xN2LqWwvP9I}(jJwx-+f=e=k#~KYDAyx)XoO)66Vb45jh6KK=ZNuyW&U zb?x@~s98j0$$Gw)TJlck%R7-&ZEd=CalNv(vy>Xpo2|TPE23YW-b$0R;1M83UmfyO zN9L!CZy{A9ipa`rD5dPKT=)wQ&$2xG!IZ(2N_6wtw7fqb73pS6m%aQ|EL(aIF)FfC ztJAAfdDv{}Q`FJbKL5UNJu{zfugU5cwKR2qy$Yy82tS1zjO!51U*9bGI%HdoiIDNG6)>m&8X zIw|;Kpa|Od{`Y4=mQ#S9T~T&o_BiTQHr67#xvA)j3wK$U7Bnj_`C$IHv3^N5F3j@z z(&(tgH&Te>Wc zScJwUpKMwe<)LtkJZwcYX=x*Hxs-VnS)og5v_)PdS&UhG*}uy3osG@_ivY#u)3LJi zSYy_BgDz5M8OezQJXSy)_Lo1I$BFX6<_@XDALVXISHCue47 zk8BjLoyPv~%G>8-U8G%KHf5^vmRQ-fcR_fCym#XWV#nj&OM$vop$1stIbcqZe($ zkIq+?V<6V+$(cwm?{>C|o`s2&Vsu-T)%mQal7-8r$CRDe0Y(=kBSLm{CueTBAg$SK z!^)?%Hm^L^EZuz45P#E3y6~!p?Zn0#5v`{K?vc5vBQq1*+m)4c5M52BZ>Ke3ZDnP; zww<1#Sx%+zisf|7SX-;i%k1cR;&X<1 zw^jTmf&w8wNT)RO8BtLV-gw6P`)@p6KfZG5%F5YG&wc#L&Xx1et-pEw?B%Jm*5?-< zJ@K543pXa7`RSQg&Rlud%-`(nJY#FVZLXF2=a-glTzT{*^~Ebcwep4a^*a}LZoGK* z&W$f@%#|s<<-*NN=IU$5X1{fD=j*Pys(#h>b|f`>&2zW+o7Bz|`ldAh;hksPeACj& zNau>rf5!!tN1mRU`=^U*&wF0wi8ub-V(m-y`eV0u8cR!8EiGSEzwyfY7uIJVH8sDm zym;Hjv!A#2!I@)gZ<$%Rbn~BI(hUEY&FQn3UbQlL=)r6K^0K+H_V{Zi>(|vU-9GiK z0mqZpx=+Pu;l`PT*m|SX%%?7uj;x!HV_(+At|99dJ4)JXdp9ysi`dHlXS2BTq1Mb< zDk-7a+1W@qQcm6KX6lMPb2g^+=du^{et@Pu`mHk2NWFdeVrN#(mZFvUe0Xs&U#hLe zR@PFIcl=VeeYR3B`Z^M8rPi7`kM_oyN)dlE!F+*ZX`4&l9{Ih$*gL)UFn#*7_#)ok z5#MsWw_2ab(&!^ujJ;Pvn%-FWx%4wqmy~1mPN&Fw#{9DQ@b;)(zUunUb;GfqDfJCs zPAE!5IoR=g>)(z4;lGXq4mxmv8v*4OQEV!$GM(~t;?j}JQMo8(yva4i-P|NnfV01; zYzMNMyLU`2qv-pa%6;mxBHMmEi#Zy<_|Crb?J=R)f2CbUYa{R6rBoXKV_(-W;w(1n zs@bk8@}2g8S=XMY#`AA)4z};enj?Gkq@8D0;#W5>-HI5~9=KeWo#=P}U{c-@e!0J9jCHbfh}jcC2aU zE~THkG7rrOspsC`ONF^Jg;s`|+3gIFIP?Eom^n}sj69h*Rt_${jrCToq&-J_r&MMy zFOhk2%^0$Ysjx2QWve`#76BU1`Tb_l_MRb9E%@#)N9lBhrPaMBYyPM;T=tb#v9iZ4=GWJ8{cp;EqyAu0B{Dq<40* z?Q1%z#1;Ayr(@^--wtquuIwCLSzOHaOyw@9W6#!Dd`4PZ9Xqn-eysgGBs<2X-AZ|A z>N)}y2c}fBN~Z>Km`+_vJayS7l=hIFiT_?|-)-;2hd6xnIYMXGGfLDV6I&g)jbAyn zD-XT)#54-$mrQ~`BIqH*=lpvuGQFigl>HDbIpM5 zJ)O(dO1iaf@`AmvSZ;gGl~OEkpEJ7)e{$c|lq}*{nyj3}pJs|FIID;Bu-#29<(f|2u?#g(6;^sero_op4a zebXLx!eKncGi=)3;mR#ySJ6!Y$5oGx!$y0$jjR8-9Bl6L%MnBTmRZh=LY$|z&(rws zxO2C^uGr4o_j{c+q+4IIpZ5UcV3y@HJ9fsE?i7D?9YyN8k2Yg&UDMuUDYD&W7N+g^ zT*TfH`A_{(3f0Wb)#tqOp7wvO_`6ofJwHEG@|}CxUiH_MLfWZU zvWo@TBBWIxTW-ovEB*5D*}Yf1JFCuihHFB!)<;Kx=JfW{j&gTj?2EeAWm?*f?#@T_ z-X-msP;rpXb&Jwo#+>SCk+~>HMJ~Dy0q@Sv$;!~p-yxKXV^&sNGww8VevB^5Zls(W zbZ&aj`=(|(?LB*^nH{WmUt8*&vB+0vl7IX1_LQ3aU2`@|Q)}jO9q!uimC5wJ81s+g zkloyN<*klmN2=Y(=A+*Nc_=rJ9#w<7Y6gUAinmq(~-zCJsq z_RMGd$YOU<9`0M)VFdcv-}gSrZ=b(Uf3~s4o~qbrTUD48{$KumpScE&j>B4ZxXzB# zv5aNL+vmCSt))ffKu7C%5-soABX7LFo(A_kIF$1?54_#y6s2_@dAPb+@Os**kO6Hcy$6 z&H&A%+OnE&F0EwtSY?eLALfwKE1`UmLbPXpQY2N6%*_u95z@pJombRl+o`Noj;7M0#r?I-?DGjFZEOLJ7up1i7?E$55Md)13Q z&%Q1@mbSFcy;*Z-w(FRb9Vqh2S$>Pe6L7DCcX{4d)ZWv9rel9}-Ivnf-if!4qJ6Fe zq$skLGEt4b{Qt#?VtvoOZE z#?L&xGej}enUh`#Wyk02Ff*SoeVn_LGiMt8kKW(-Kgy6Dzr&=NHiCt%tT06)d5XoQ zmEyifI-AI{)pcFv+m<>KD+l&Yv|3#7Z=ON+p0(%k`^HE88++L+Dh3?PwW)4_Mf+S26_O@9j{DPj8>Pu z<C$gtJ{W(-pF##wbC83x!X=V?9_Fvv|oxB zLfX4LpZVX8g=i1dyT8~;l!uOvqg&&S<|-?v#}(YH3}!@mLC-ek-jO%QHW#NT3Z08{ z;+s2<+Z~IL7o#lEW^{dfvMsgG*+Jv;-?4kXA&OABlzFbAJg29>BBoNVJ<`VM*3(ZJ z<&mb=P%poJDMqHJ+WEGZ@zI4c@mO}WZFFPt)Nd% zKAw)xX)7w$O6OU_WuNQ(c;J!wOX`t_h^zfL+?mtn(7+V?o~Jyv^L}}2kC!idGxl9Q zP2O}kY)^Yv$QaRB{=Yj%cb&AP`3D^NisM2$-b8O_O!-jbi@(}Adhc2C@NJ9HJca6; zn-fo&jFX@v(Qb8=j(9Kl$acJ`7gdrt{KkqbSAG6o>CxNgGr~$5jQ8#0<<2-4&)0Xn z={6m+XAd&u*VnQNTFTKz7R$oY>8Cw)dHLw?&R)4Wd&$bjmbN~=l$L0swwV4F7d_|a zH>cxNBwnpdE|vMCD--k0eau!mNhyx36Fb$dw`8^K6v@>y=_Tf^IQZln@z%tV`eIy@ z%9f%wk-r&QIWjl*g;)Jm@lyF>WHl{i>hdF3UXHgW(q@v6%TBrqgX&w#f<0e38rjRH zrEh8G-TZ9!u5b*jG=B6$DaNfAEzUkFUJT8$|G0@VV6+jImNm?$lTi9siMO%SnP>`f zq@ImP5zM9$S!Pl`%SWzPm(!kSaq;v!K6xbKUZ0=MUc)Q`6dP5%R#_CjbQLnqp1Pe# zGop+x-pwqRrVJR@0g7N*h|225#uuKxofXcT)0kRJ&NEwSzPMK`a=u+fPV>kM&-Ss! zt|7gfSY{?qrEb6O@{O`n=f7m!1-Hc$l`NC*h@JJ{t6#1x> z#T+aj&gM-^diT?t2pu!G4{Ws$Wc{^?VhuG-jf@D z_;nFzj5%yPb2)ZJV~lXF@!YRJr{3O_!mfslqNr|UjWq1Hd9VwA{Hvp<`T2l}c)#Zf(AbJJP z#&twj&Cjl~-9e8z>Twjh;lhXZZ(lnqPf_2>zla-6(#3h`W~#1415DLc`*rE;bU8+5 zVdH%6jI8;(*4Su}?)zWUBlV6^&75Xqn+wso{KXvUNOi-rIxl8*M7EECe2bF76an#4|L z1mo+XbUy8sZ#wh0E}!|_L%#fwFJE>0dG+TUtN+k-m%g@s)l=&qIkWy}S3T{-IVZO7 z+1;*noWS2-TIBxBLq2!a?N6^i=dAi2S6_X`spIE<H#U49v z`ZJ2fW^J;06`)x;>Agc=@3Wg1Y7-MbRg9dvH?mSb_cKDI0eLv{gbeA{j%Hr`Y<7-JT1y**VA#u(uZADCDi;}S

%DU7 zvIpN6cUuPEdxZd|=C$f*xRZV^*fpnPHDDB`&-77#jzkp)N~R^JrHm?!Mw- z<0@hKc~s*UpEGQkt;Y7bcQ@B~`cG!HnC6|7?n+2?_f40jfwyAXB8Y|0z76#6esb@e zj1uAyErpJ?OUb3e27ooDn?(>JPo!alc?zOm*R9OGI}cXqaiJudXkR@;QR#;bqpKB>cu zv&u2o_@hsb6XCFK#I!jdxHl`B@j0Kdb&db<8ArlR z?DWq+b8PD=i)h?Crn$S9u2YA3fYo89$5pTI`GD{(zw@9W4pf~dHS2>)`ReIkUy8kS zz1IcjsA?2l5yfUXT`kmYW!@x4(mTCPN-y{jeHO<9B|o95J5X{CF_j`PtG?N#60wxRVeM z+71t(+@*+0Ev%50R{ylc(ZJyUDNHeUARwuxW)j_Jr9vLd@6skpq1tU`(Cm1 zK&WB2_8bRX+?YLop6uZ9G-HTzet)?Y6Xy&=T{Pa$I{@)o%=CTzIN8m;KhN5vAGK%P zyCjvEgTApc`om%Sc=u+{*J9E;xqa@=q)lqG)^lgveDbV)zukEEv-d2Ov5^kn%^f@I zAwBnh#R*q6kE}h;@>DF{SL&J6Bd0I>^ZsweM2sCZo7&V){=wmnv90%CI_o>lpr^n5 zSA&+aIE8EGwbIIKmVqAgR;R!113g9`U{u;6Y!8~h+N>rH3e}o+=XXrE2FB2rPI`K- z{bt8!`#i5oc8$OLZ+j#;;^aM7%82n*F8s^%m|u6d&qYr4o5r*6 z>W=MT!{a_Mscjr=eZ&jicQ3`oh%t7Du}a4JN=zl{0*70DSv|e^mxFh=BYJ1AuZ$gG z#7qnhei*C0b+dnP+QUtaCM>qT(r@*jUU=z!a1-Xfevs^YuODs=3>#|PM+w!Je~8tW z*`iI1`o7-ve|cAX(!-X`KH*kRKk@T}cKK1W8nK&eJpX_0{S;YkV%I&D-OrXqJ)`4R zO{?m1w5?h)V*YvtANQ7fI7gM9S>NBt2XqEUtx{z~ji-%t<7aPHWhRVfQ$rm4S|17c zJ^yQu7Ap2$3mqW_m+Dymu{#^B6g1}E(vd>@K-Y&o+9&nzUp@Wrf4~3Ka>^)~Y&`Fy zO|4pX-pVtB{hEbj|LqTTImei7mpssHM~c~#g|&2E8vyN>dwUcT;=-q z*GETrZ(;7(e~p_jT~`hA>qAY>c#1b4Gi1K!8gG2>ZixI@;3!L3WTO{@r+X+w~%Lv_RVYzY?)VX7m4hCEjn`sc(oz%DS$=WvyrDZK7wr6VX(4rIOmK zj&^o$oJk+yJQ*!#FN|{PaKj}J8*r26L-TK(Idc2#H_RN_j-;Odj+uoA&7PdOXk+2U zYx7?}vvAkUjn~gF{KCx2(!%2U{PcKgOLzVBLn}w(nXq(`w(atAYWsKgaTC#&TXzecQyzGZx|w*MS;7yYRP1Sy}xGOKbe#9dRq%uzl$?b%cfi z?z!>!tM95m^^9vTKjW^?Joc_HJ@&Q}3%8%Q5UqP%`o;Qdu6o_^D_(c`*0;R=`g^WA z_rx{lo_Ebtj$L!+EyrJZ?eTN3y?mxwe^m*Z)v7C-9p3M~*)7_{+E6e_8!$mu=s3 z)A{$@bm{3g9zPvRb@uIN{@G_9a_x|5oOz&z)S5SQ{^GWWcC-z!yhmGrvx9E&^lnVB zvC-=WWZH42f1O=zvU9li(AbUlpXU&I{m3Me)qN>cVV(6PMIq+I7+0AfBD6} z3zZ^Hah37*4cMHJo#veQqx9Zlt@9RQHQ|NfVx0R$U-qXt+ul8AU%b<{p+qu81VhEM zS;SwDM<~OuxyfDkHz}iKS1)^N#mdeZW2kqxUX0GmO*7K7x2{e(Ez_*zIcXgYftMG_eC@>aYQGiKW8TUuK0V+Y#ci4GHE+*x|NZ$rN2hHja#)R3 zGirD5Z^qYQ@@EYHU7rk^kT>u9?z+og2pWg$*G)9z&SO3qy4_H%n+WGku~nNI6$ zE7n@@liw z>R+UtVAqtiuu(fom8DcoH=V|VPYAmoN7!AX&ikG>jr9IbQDHjt>Q8z;Y=pX1R43}6yW%sS-ulvK zKQVG`DQYr#ZK=IU=pVZ7M_TPWA1&Hx3 zTa=sKLFXDD=v7%N%T1gmr`~Koy4f7rd1_gXZvM`l__=?Qoi;Vcls#F|%?7F|j`ebU z_S-qsF)14E|I2tMC@zJxzmijllfIqi-Jetgn$K<@ElsRW*ES|2zmZB-iRy0qIUn17 zuW4@fj=TDtp5zCTwBgluE&T2=ZF#@*UAw}!O&z^Azl2ho?tOxi#W(7n=9bH|I^BR6 zi&8LjZcqJDdUC5ibof03p4vPN#XXaaCq#$r8ZZ0J!6CEyNwbm?VLML&I)}2TPW|gt z-1h30+T!e7gPlVup7(rek7uJ+_DZd5`enbeM}%GB_Zl4c^})kvh;*-I7;X9k<8I*? zx8zDZx3s%tj)vAAI;ui1P4|!%V`S$g`F%Y{(euFBxcVE%o9EPg^H`sM%i6_mXE|{H z;cSXyE=WUEbSmdhZJwN|O*~_28|$^{m8I&~?grgg55PTPI!bqSDyrKXN2cbE zY{lV!X{EBY)93Ec0V^^W{+srfQ4d^{_R&bs9%`(mn)>hlH|-bdyQhvmoDMEM z&Ug=Skp0e=^~y!BGGJ+ASuuI`z`-64k@)A&!f_q(b*WDH%w8o&OMt}zE3OsAr4 zU}dSO#-V3$*EdAt><{;vbGz5bj&b#lp|*irpVRRXnkdN!?2diC8qC269~d>5z02J2 z3eiA*-y%PJEUl1pbEm#}YF`fs4gax`tObI$t-F=_{`yuY&i>@Ycoh!k)13QTyqKxw&8Zz8*IhMlGmq;Tykw_W{nR+afA@^Vc>vV>{keQ7I}P zy>F{jdq11D`{B0r%G~UK`fR#sGrq2I&*#P$S&Av0@AN%C-A__?zcJk`8DE!nRbxFe zH$L(Id}XUH>wDq6;;zYFi@cB3RKoq2pWiFZj_Lh#Se`H3@$p`F7R#v}kqtL+>m#R! z3piw`LpZ|qcp_)W%=8L&zt7;|v^`Lk$X+C)j!TVbZI3$Bpz!(oJBNAiW$wC9d~KhH z&<16!|FrSfTq+NY=E?W5H|(o;|7m<6igR44>UB*xJ*2t&G;5@wcmM6=A>Cr>dn4!c z3!c?ieP0vCeSJpj1OE_PAJ|nL8D%?(7NAEhnwx`WSUvsm^-+&g10$@=z2|2iGT>#K zsB!do*QR+lW^;RUn8u&azI4z+jXrJMTkcRNP}zfSL*}*TE5_aPj`DPB#|%odar9UEPTsw!yT|OF`NHqo<8J#9x$20u@#4Se8169;hsL~%x_SO^ zXOBRwQH>|OvNh1NudCQ}4ep-h?w{#>-=&$c-LniHbLxNXszs+|i|so5DQ1rT=7=Jx zLGN8$w-~R24Be%b9sdTd^FQhNj`wW5ft|fZkn)thqu)xj7B4T9YpXT3qp$J#<&NQl z4rHyr^o%4~HrH*lCxW*3_nhJ`|d5tDMGT-C0@=@`&buqeUn*`Ohor%?8jc#+>LS4_NdW_fYpy|Y=83pZ}mrWRuLT)4J%+uXt{XC~&}_PDL-g}0wk zji5Kqym98}lV&6EVwS^=lNU$h0`m*6oV$7Etht5LGg&JK*+Xrky|Z)rZ-2c~S=?QD zn%`QOIC4|=B2r{;E7h#-T#Gl!9yxJxYrb;wj7kbHzZn0bKt;ZzKwgk7$5}J!s^~Cp z?p*hrhwb!vZf$<+toh2~S=nmHl8p?^IJO$TQlp? z6Kmt*>diBi*=X}&A%CGKe>!eq$k%!9`rTevsbafJn_llOD{^VG>C-h{@~`_$=^bOX zP4<~18@+n^vyT~}nS;~UocZVVGym-NGw;e@?yrCOin57=i!W|n`q~R#cl@g3m*2LPX1l7M zX3LT~Xxj*_S9d=9nIkKQ{^^}TR5} zd;Ohjy!=+DApX%UhR&`|}US`vS4#*Qd(vImv z473-n-NEl%oNj{ep4wx~;OOFr{?k9$W3Ean=v%D`>y_G0qRu$OFwXgt-R=^bjgDA< z`AMyrv%VBrhTlBD*v$DivsfagV%GNg@(|52xBO~X#V%e`$T!C7Ov+B`*0X5Vt5d<0 zeT=6ojufU$shHIGcjxz5k_gw#YMLqDg=iMG^-5|AKdtQ6xD=+XYkcPqH-{GUzG%ED zb@5&|-IoL1zcFWPdLDhPnd|pF>cMSOyJsD0xLn~8IHhyD<<6i23Oohv>~DgQNtmp!$e={%vL zs5aiV$7_`3jKluM8lPKQtZdC!-@3kWq`o%sxQ(gG+|uSoWqxjHHT5n=9d+q(AKr=G zXZl;IeR$`{banPa>F-U8KxR4Yp0=;yQ(t;e zzJ0{p1^vF_Y0lJm_py6*1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C7{-FshR31|)yN^ZBMCD}{z4(WJ@P+48&ndd-dD4@g`qZbK^KH|W$q4b6um1l5 Dse%c* literal 0 HcmV?d00001 diff --git a/Control Panel/DE2_USB_API.sof b/Control Panel/DE2_USB_API.sof new file mode 100644 index 0000000000000000000000000000000000000000..3fa1bfba65dc1141c67ce56c953c892b84096ae0 GIT binary patch literal 841105 zcmeFaf2?K6T_^TE=ic}FzMj|Z+db{^n{i`Tw|mSpOW0aFMRqVKl`aq|D5>12gI{K{gXF8@yU<>toWgi|Lo6w;-`N~y!YE?;@YP_@yU;g zchBA}X7By>chC6$?7iYcAOG2Z@QIIpTObJuST8FZNfm7w5qR3I2EBG;i{ldr8->UE-5a^pbXGvY`&#t)(kmpG;_T zqQ~mewhqjI>HI8tk?4^;r5EWjQaCY-^OGg?t?ZB$2|D+fT4OCfw^P>C2Ech0nob>< z28BprMzDlhlb9PA0@{mfaArX;qSmw*j}%@k$`(g?`YMsB(-k-EvLd#n3-!`bQ6DqD zl~5lacm}$;RI>T-@Cfw)uzfJ4AKwV)e2_xqiDsLepXtRjUd06eD_?`UW*qxHQI0%torZ+KMLG2O@ ze4cB~OqB1+43x8Rc|UtjPtHv!d}A<(7dV-OL?E$+j+FdKl1-XSBi*YRfX>WQ zSW3l&6kTI(kYK2pRCDnPl*%|C+q$H&ybC0(#9CDDGlk4-u@jq%(>OU|Pv z)2mWuyQpr)^F*Gv#eCN^jZu%p5U?j}DmYT??OJclB(pv1N!k*x&y~Zm9o}iMd(|u$ zI5n$H(&-qlEvAEPk68(Uqh@6*`|!Z)0pW2p9GEfQ61Mxwt(=w<-KzgkpxgroG4S_! z5uKIW)Av~Vz|hZ>?5B39TKBl|dAtYx7Y!9#*>0@DVao53JfEx;_l@?)53`yrtPApa zi_!x++D9;`aaGR-|>R=Tw;N7wN9Bqrra(oVq5BAgChB; z<5F5SfOZMn(q@-j2baj{8@o&B2UOJ!Z?pxZVy5cCo;L&+cclY$9286LcSYksyPY~C zPvgR2;h5nJ4?=I;R%P}--wsAg`tVs-U%6S%4HXNKZ`!M?nUSNoia(TrTZNAWuA4R;cW)(21_O|lY`t-M-+|mzEk+5bRM+?@X08bk_=jC*GiH2)kW}Fp$^#-_tk{{BYHKZzf~jwi8fYopFm1FMK{SL^Cq<2Luj*o)XOUY zw}8KEw3E^$EX&))>rMR{F`h_;J546(XQia&#CRSy7_@O9s-#^y#5~gCIhY{;>m7{p zOG`Ft-bUnyaircvf5C5X)9+Junv?Ez!qEkG<7qRSOPG@Sl1qWEp4t2->#P z={tz71U=vtTs&J{#<>r6iGLlFlNw`uCAueiHAvu|)goaVJnD}#J$;Uw$ivbh#k{BK z%OkbPKiyFiPWrA~dvu57q?A<8om1~|$Z((06w}P1J))Z_y_ZD7FMo;xV;q6586lLU z$#{|UJu|k#97i27&%%e*E+1eR0fq+-Mt}VpNiP^hJzpYmhCXPgD}x>?H(un(%-bbz zVca>G$0$*7uF({$n@I!#Jvy!?IomLG;BbZLOM#au@(ubU%E$O^Q;It@Q?w`kL58#o z6C2P=RM9WYkEQ(rUkv1->77-B+Z^qrYDWAhkKbOxc;nTHFkZV625&*B+@#lulN@7~ zlQ~Iq(D_??(OLSC1*_{4y6-*o#)#g;zvAQg>5bn0iG;_s#NakbZ&Pzc2V6SBN3c!| zO@-5xqZnhra_Z|~DXmj40V4#nj)(vkHI4bF%>1(g{GPdMo!X*pDZ4qQXOWEHus(Gi zT=GTW!OD<9*yOjT|GsL>jR4 z73lZSPC{=+5>0?z?mfz+RSPyJXN?owchV)u=osdSAUFux=+t@Nxy-W;vU1Mf6Jz8GXD*N&(LW=(L0=`} z%^Ri}GlRis0I4ksQmUS%t7Dr0th>16c@4dSaAtAt6|xBC1P(BXFe@Z~^vQQbz;uYC zUQMnwiTmxc9L2xW=b!MG61FYbSgcE0 z2YOCV32)apWEFb&~f9~>1ATRR4*ZDK$XJx(!7gR_r#Jd=}~eT@q*avE0m{v zLhdE%ar#>FAidM9tBMQ^jxytjR z11n;5oo_3ifo%e*9GUt$xUfaSnstl_K52NcWbVJciw$+H6BftZ(!C5yDXk~jO4cs_e0wrU=^-j?;}=Jk)$w4G zT)ddSR!TbR9XBya^qEwBGsk#pgYMzkT^#W$F{jBir(|=H7=G{X44NG=&mvl=wgtvU z;13LSK&af`FgN#2`JV(ZH%W^J_XE$kdYLP&+ zg8@$jdaFa*DX;7fB?v-)2lqCvp-&e>uDDWiWOXL@S>Pxkc}?diKqsS%GZ~gUZrn?E zDlOtzY8z|4enQVPA7eL$gb`NL2b7%E$;AhGauxyY37{Ewpi4Yt`3~GjJ;jfhRB<)$ z3bf?xm{#+_Iljp+Jt5%`atF#@l`R%+u>&q1Hsp}=M67c8z+h~afot$CN5(Udfjb{o zIgaZkwAtkR#1Rpfc)9}ZSosv5E`9qrQR8`?ikWQ|C#I#_*Hkjzk~X=CGu6R>FM>)} zhaRhLkhP2MRAc%YsWbXzlG46+Rm5#<<}|%H&68x2RNdiWXiXf@y_Uo-x=LY>08Q$QOA=4KCADHM%i$LGvqpAo&ie7 zfXVD4R2utSt zaUxCkihB1+_U+YaMFcu7skCdIaCA|JYenah9AB{=qg7WSd6i#lE>@5O^R^xl4$Ou9 ztmwCS4VksJ5etnYWG^w{+ggN!j$(w~u%i38a7u;`se_y*mjPLh6O0o5DC?)T(9#Av zVx9%ch5F*i!tivC`%Bj~FPT;nK$QHQV)@MmJZ$WUy=b7UNgmg9}yO78WfvKZeWLn33K&K-sgIql}gyAD( z+lGNL_ya@XDjd-Cf>EdUj5io}){6|>`gY|g4tJ~QYLiT_kY%5u9qpC7`=Y{)9Z@irt%(FmQttMv%J_W``;E&OX^uixUTf8Tc zy_1OX+F~(7&2ZGCb$(FQ!GI^?8Cr^=Z#FJvAyQdZ<%6A571H^N>UKpaujEfkHn5s> zZMx_x*h6mGL+7Zfj0Z#V-Y4tDqHiKFFNX%;BS;owTL8?#AGVaiTC5k)acCqu-@|JT zMkQY%WH7z7OOAghIWz7Xj2^E}yV0{b{$u*Ug+ouu9SHtBH?}w`uhTt4~Rh#55DX*Qs;cm2CMK32;G5r~K z7JClb;-~{rNIT%-VM7KXPo&VSq!zAXAdziku;W$HY-ES7;>WZm`Cj@A+t3&Kb1(G1 z;|;iKuG-I!`QhmZ`#|X*L(G2>(Z?mUVueYWP5*D|-+^Mk(Y~~~{s7PAbDQ)vwAy-# zd%<8R(O+X{x1RI`#oZ|jAl1L9?`db%)wVAt`8hJl+j*-|>Uk-Cb!&G--$7HG+*-14 zn&HZzjRR5P8gsA#!!eL(bYi_IW9W$fVx&$<)c~5A=a%J6)08)PSnT#W!pKpyK{X`JA}M9vEgAEs*vy;wVLi zfdx=H7Ur3Q3`S{c;!qut>R?pf#$>f#G`Gtx4;Mr>9$lZxaWYTPnhZ&^0bc|{u+I=uoFnU{^n=CMtk4`9UnK)FVDF>r{ z`(ZM>xcl0m8@f3GRR+I0z(>ga9Lxg?Mypq#yuoa*U{vMlb3%^kIyQROKx+cD77 zum39?A&?U|0JP&~l;n@T0-5r+LdFpR!=XEzL+k?aE(UBJa~%aerwS`!%sL`>OdP7w zl!H;lHA@%?iu>)doSmC;eKgh>S|ozq2)DY~CHwdwq8Zi;cR_a)%d)7T`6aEPr%*S?&acPsaGiZ+lrcL8U;zq>iF1~q_MZ4p7jxo9}@p=sV zmazZzb+CmNiLjAH&F1KG=Q?3=(Nd#tzZEv!YjnD+OV(tcgX?{imeIMIs&|k{5R7j3 zv?i|d-Dd7!Ws@cU+;z-G;&xAN-+4Z-d=Ff2P2R8*xi#>0mc>run5ePanZt;GXY@wg zKHzruaiY??gvE)@C%s%kV?cbzgR{zTGLI&eCLK&BtiU_(0IlO z#l?oxPzOuJZf6chiT>|uFdDZH_&w87`oaS`D8EwZ0WaEm*W$9m_>whTImtb$~2 zeno{aY-z@ySTAPj;^`6}y-5e7IovW{v{E zMd;4r=~8iLw6EX0w?|KvcEyx@CU}aSJeu6Jdj#^)_b%~=>?j+j5`mIQNYKfn^ECDE zjP~mWd3ti6XL|`q5&11cTdbVJKu7$UK{iAgn84T;0D}Q5Vsv7?5P9G+eaCxv87iH7 zp>`6L_&tbb#JU8lD@@YAGuo>)cj#S0j_j1j9>cxtth|yRjP`HRy6CTaj5NJqv~SzK zp!hG_Oy^M2A4X*2ShzGFuWCmKWxQ;)eL4kco7Ij#cR+tUbM7pWa?nJ zRM0cT-sr#49N#g;Z53l@<-V)I=r75hP1sFS{-ZXf*h%y>wBl+_!KlA*H#RoI#VC3- z$Au#&Co{Zn2u3CMNq6F4G_Ooo(Oo+v8H0HSqC$2k0~g@b0$>hm8l6}#?CYZX(tiHV zXpdl2yL%OWvs;UIMh(X1@XxfmrCktxNJIC49NOUF+2f}0eZ(P%Z$RNrTo1~6>h-AV zAXEa^k$tPx>r`LmD9p=H3lJtq25q7)hS`z>s~Jpp05OPFDF?%N(S~`Zj;axzAIzno z^@q%p*#pZ>)KZ_R((xf44%VK&ZOHm6dU}ZBmZI1&5C{{b3|s~$bqfn#$G~u|6NS70 zN{>)q$2v188=#cl>OA6w-e7DF(XPM@*@qPBfl2J&77ZC$lBbr`@1TxR(?C-$>-7jy zR*jA+tFpT0z2V+f(U+@50$+5WI6)-nQkSR*>6oj+i^{eHZ3b;zi1KPcdkoq{K_csg zt7t*@ss}kyac2~-r*yDrfwF3dl~vEj^q#3D7-|XKVN|EXm|-geUC0jR&*2%;7^XVb zkD%ZoHTOUme}IiUl&ZX$%3i2L@uX7ep)V&Df0oF~8r}HOrfMv;vx}{ratKD? zPyLc=0Pp~UQNMAL!7l^bc#$Ks&I8w?yK|e*0wu&8+TmQ^VAL_R>X~GBZ`GS{wET~s z+%^91&oxg?Wk-iSt-9hdssc6n#0#T&Y4Lb9%3-zxE*;?`XvxA=j^d8M%#s$+GU!!M zuoUB#9aRu@NsYVK2}cc37C+5*Em7LXrHYLqvp6eG31a+C^H`RDXk z9nX}LYs-9??yt!oqpuCyrv-As1gLZ2=Y z{tFs7(o3w9RJ7JXVKVg5o($@Dpu43xU z7Q!D+zN&!~$?H?OnP9Y^Jd(6i-u3?d5j{|ODQa(xew0ii@fwwgFcuKa!Rv^57AOOD z765n)88jR9z2Dd^myy?TD<%pXWLqENSt=h-@FysUVqm;_>uJ;$K5SpWNJJI-U z^o>N#6Yl3jza!Df>*0ka0|%o8kkV$7Pl=Ae515AUu@;MywMsDBB41lPqyIeFdy7fv zG0Li=y;ilZU?qc5MUW-3>HAS`@{5KtmQvUzkuZGSUt<8EXHP>2?BFK z1fqA52qZW!xZxuCLkMtr=oi5V-^&h&Fw><=CGjo>OdYTiuEI#Dpopjjqk^rpIPF-J zFbmE%*6#ke`o^02aXz9Kd9%<7cSawV?7P;noe3{IlFYGQ#59cc1b?DWDZF+lavyMe z*5Ry6w|lx|tc(OM$2x5!o?{rVOQTu-zRoZCD54DA8s2a_TnF3GI(<9AZXa;n z4866uXGx?3x#U@nV&D?+VClc32NOi3^Mv~D1Aa5T{>}1JMKZoZGF8Dz*u8PyCf{~v z@cO;R1J+Zr;vEcF5mH1y!{%bW5Z;~9$bG=Eow@en`g}>!>(bU(J;Q(WqL)jiQ(`s} zw_Al9<hQY7f)v1Vu?ytgA^qXQuoeiZ^tc$GMdWK_$eC#^|rW@ zim4OZ=lutV{8W;^g??e4JVO`tEL+JwS7ghwa>)ZTQNH8W_}!iJOV-`by>RiTu zm4%1-QuBUQ`+BU(uR2{tGce_PJQ~6~qx54%G9KTQFtro`N+R$_BTTTu{SQW!4iz;} zCZ#y^CLopQ3$>s*EyJQHo^o2ChT%vL z50c8%Q#_DaBW`q!K(A3xD#1r_sFxQr6_~;puuPa{%FrFphbA06|2dN z85+l$WYsL={!Xu@sK$=B6$X`e}cY#6gq|*Pg!KB5)gq z*42`By2o4#hzeF|g3&BPaYsmG$sFRbUO>ko)Yq-f3%c_y3JS*z+{p%VsbYBbvOTVq zxRGkehVt7N1CbcWPJc6{%kY@_FZd@+73zQQNLDN@lf@J|RO)*TC_Jw-j}ytz2D;(T z;z@qB4z$OHfiaK>Ll)2?yr?>$?@DwFMvI>?=CAOS*E%>gQvS2h*XJbdL_U#MD-EtO~MuYebs+?JD}R*M%0&MQj*YI}l7T%E25{ zM@VGJ9OAKFK*ytwb+V($0=qpc{6bp}X1q(q)=mL~E6GY@hLmb(qM{_mRD-2uin3*t z2hpvaIc?UxV01*XP3QQPd%ZAd6V;&Bj-@fI7Q(d5UBN?}>ONycAAWNWxcyahiNu$I zMum)}sXba8D4c-9rla7)VfTTlzuRTo;`%Z(iq-EN48$8i`ng#^2J%X;!7%@)rk{AkWPvLp?x_u zfqH6LS#3$^1*ckJF5rP4g5oBXeJGRrV6(|2kjkZrU3gr6e9kOj@tSju?w4(pLj~~R z5#|s+8A@V++33W2fqKfse^}tWy_1-sq}iec%CZrCoY8jCjAjEFgdGvBJyfFGGL*4i zc4pnLa@?pyRjb=P63;-ZUL8hWbgk5#)d98sC@L{%AJR}gFyGoK2JI+=p)n~=$26lZB7(7lSNg@DM z%eRR`%D%rNU%v9AV}W~82gG7&m)O!n;vR69@9tfqJkh1ER1BcyFx?6tbD;FxV1~um z7+_|-48~%;fKHWq1*5@LJ%j18OI?0KRCT9oUbG70tQ9b{*+uB6$rQ6Rr~FOymo{0#NrBtYCb^b~zIbe1 zh`~GylvcZRkmFcS_%i;q0JG8Q?uF2B&A+7oi;?YqSL zdU-s1kzNK|bX-jwuTjMc(|APROK;djw*8OzuhGLe~Tq4O~lkD7wB3`#qGro zxO9Y%pjC^p4!C5Ht*?U%TO_Pm$H?!MFqF}hX3z_a6q#8mi*?Cc)nzuJGk$EQ^HV2(`AT9q#l+?itf8i^bkPb3tKUa_}5J@E`i9E*k{e=Wz|h$0d6;r0AP z2BTBjDP!m_a(A^;^+HG$DrtrjW|C9-d1Fy>lF}(}>$JF_?-q>8Y6q!;k!lQmIpHP# z<>cn$yw!nBtD+|$fBkJH4%HFq5-nEzSZE8drxU(kvV^Td)d80d_ydt3*{CrFnAuVW zYmr_EWiLMUWhhKUEd~~tX?*t7gPU}A{XvH0x~p~T=?vxI=CC-Ny9hw6xwePof2b!v;Y zrK#TNex7hYjjo02v*Pu2z)z4w6499o@*bf=)9R>(v7UFn6wKFqj=G@Z((O(sP(TTe zDjc8=d>m4upG(F_4(HY-9^IxqZP?pQg;CEHjo5VUKHx@w{>}Se8}Tj%Y#po~uEI(f zvyP*c+BqxY_5rso^)1~U$|c`)1V?&jIzqXRsCQ>nrG3mmX9Mp`&XapQsP_6An(KX? zzwP$guk(DcJA>En^6gitN}GarFknSUki?&tG9tcyPsfL2p!pQFS_M zAMg#+Qc9m9IU-I{x{* z=)`&JBehU(CbV4C3-;6 zXltgDdGcQMktJ+t)fmjnpiw&>3=BsBGaIyk)(r(G@Z!do>=SzZte#nIi-B;E+5)A@ z^JMd)NuK|ve9V?2U7`%tuPTYc@u$rbdi|^(JYK0Asb(^(_05|(o!ZQDTHnmRMz18T zzvXGHW>pKlV3Zp&oi|4JtnAjZ3Edu@x_VQY;zram&-0(M1ER+hao;hY059pR&F#2#ljVvJR{4j>qPNB>|{KQf+~ zmhMf~FJ^hd^IcE+uhMhV$;4u8jy9R)p=H5==n9h;j85hQG`dYMNjim1nsxF^XKrp? z4&2jBmN9orNR0BqniQ!k9R_|H_=EMc*xS4lph!Gh5}^SbE#ozasQj3V;8 zLAe)A5r6i3>)#Bf`Xg4>Ux$W;i%Hb8uDdcTGEZ3uI0v zD%DwGxLv=knXU>}?s#qOL`s^LDRZE|qdO>C!KrQ^g3$xHGg@gxk?nR*0Fb%3YDYZo zlfl>=MO}f}H?u;V*j*fInZ7i&DaBdooRDT2P$0Ha-nK2ecgvQK~n~8 zwqouQu%&PnEk-U>T*!$8e`svHXla+dYOmNS-09_#XKCdbn9Xv4R_MD{1K3&0M90ax zR2_5WnsB`d(lW1J4CES;HT(l+Bi1-n$_A@lUzRRotp%=vVVPGS+Jlb}{L z9Y>+)5U>6GSI|`w7B7cQI#x6Bopg*Kqewp5*Clz|GdBbyW^EXvzPXK^C|f3^UJC^X zcm2>T%J=}nasV64ndp5QMYUd@TuWUqRqgf5Py4C$)>58kdSur59KLnLJPT1?doYJA z#yWWC0hkL>tk)u8%;u=nIO^Tz%D508>qU-?5qIl^MazMhLvW{$OOBDHGuhG>q6{cs zdboYSxxeEO*>`5OI2d*!6(gS@Ei((KfD`W%>#-~C(ZYt=7OjhiW$EXUl<#Px`;7|QnFaQ|!aF;9hAg&Qt z6)wpIoc1UK$9Rz=6KdC%7U4Ps932JccbrSb3WECfhukh#`%}rXR`uGntdV1-ub!qa zk2v|-NPQ388>#QEwoX+UfxMpe8L4&k3A%^mYwPMK19CAe86$ioWL4osKBA|IlW(OQ zuaIzJN#Lk!tUpdzRPE5_T0T##oxTUF7L1NH3evwL@h1BJD0>tA31w$FVVZ;HlwoJu zg5?IgCyIripx;ljC+MFi+2iz^Fr;xSwqu~U!ZdvoZ}h^y3%iN!)fp499Q6uD)tI%P zO=cHN!iH=xDp$CNB3)Mt_|zDB4?P+r*%nl-(@O=0zKAy$UUZC1o!qg`$X}rR6n&WT zH6&yD+f&iAy&|X4=64zl-CX`x5;+{1<4Xa z|B|wDLX<%wDDKt?5zG0$E&d^Gmhv81SyCii+U!!e4pgqUcG3qcmxzCt&|8T2xIrS{ zOc!`+D{e(FSg&c_WzCm7LT6v4XU`y6V>IngE?`91QO(a^80Yt&)O+aa$Vqg#Bv3Pk zU>jkq7nIT+$`gwpdeZk=;f%r6{8q1P_(XDljs6bp^hdvRbC&ODAT5%mJ0v#d0>T6d zLsNrOj$(s%7OpaIJ&a>si-a}nI7_RA)X@wrbAPtHwhkWGvD=wzFPtR_ASmMY0VmT^g>~u0;yXXG=nH66*#1KcvpmH%iG~)IQ*u*)p9yW#T>E9;bVW zPUwqt3Cw7hU&OUXCGNXI(i=pw#L zXY2IQwb>8ZgbQ;>M7qQpE4{VTQzLpe37hPpGy4(GC0=Z~|M(0sn&Pk9oJjg2sYmEl zA|!nD#onDT$uH7Z-kg6pF-dPr`={7{xODgF{w95yR5|Ic>q=r(P#biQ%(Hs8I6jv_ zB?5nP$cJcwu@U$KL(UC4i^a`GE<8x_gnpiU8*$dYW0`fan4@OYA?Q6c`w+cn%_QiH zJ}$xF3X^dje0R^<(;Z($~^z>nRPNi$q~yaS+&rWLapc=uavC{^U%3|Bd9dLqG!3;<>s ziaP=`OXd*ws>r~tH&Vh-jizULapAvL-}x5YrUlA$FQK=tz50SlX1CLxF2Uo~rKjFh zyzlh0Bu>#kpzIYYkGzO>O`o7Wyy|3t^sOpF`8bKr~`BIQl(!akTW#s0!b7sOyQBymv+;4O9(hU_{_g4*3u%3=zFd zMnEDASwM^MV*a}5JQ$t7Gdg2q>_yw#joQ&1HN#PThvWs?T2n93#kDtH(0$R%C2j0j zCUHY_&@PY|6vu=vbY7TbbBj5eY8I$1U9qj5oOXoX#L@jwOk+pZ$>0j0B_xpQ@(~M0 zDMK4NLLy7%5RX7!je^kes9T*1QS$=3JuA$}!5qXT7+PKG_!^y9-4-6C=hek19GQ9^ zDUSn85I*;yIo0+Ybp0GM<)1HiVpoU!8!H+6-U77Et%HHCm}joqgBCTqA&Og%z#mxZ z5&3O+Zy(P{O*k06F!w^~zsSh#QZ?_{b1 zqo}WAoo+BHP<@GVKE`Kxmtdqf9r&cL2A;CF>*e?WMvlg$@7i&o(pIjliEA@*%RpXbk?qP>*2re09#V8HrykPplUi zOxL$7M=^J6b;>hW^l}OIjulOW;P$B4HU%)VJkn#$4JW@(bEvz6d3zvlj7c0QBtbF& zD}trRl^M9oAt^C|JM=?2D%lJ6&<;b$$@^;;URN~qC5p=Z=;@w#29`39FhXmH!BW%| z9Z|O2f4|)2qM`Q+R}OJR)`u7MF-@@ltT>Qep~;q0Uk6KN{AmbsjFGftWLH6_Op31> z%XJpJ>)4B8tecKoHohwx(Z_<*3`eEZ0&V3ExMYy-03TJOIWzFl z;MB!{sl({RdI3F$w&?lAokR~bGsv1cb7V3k;CKY(4hB3C&(Kl~eY0^X3vtScsLGc* zXN;i06L1xz&2HPH;vna*0J2u3j$3xz^xQ})G`9uVn~Qt*=u=0wr*)@9c{d!8w+6a( ze!W-}IN;)8Lk1yFq|mISCTet}z6?^H0gIYk7?coJ!kTrAz^X%BS`J2So$EQoymlE5 zthad4LkamLH2Ig?+(NM`zE1G)C zifmnp!fo)+gJ(LdSkG;Nt1x_o%;jK0yd(Z>n|Lc+MI(sem+n;(y@FA{M`5>zOI3FU z%x44I+BZ}FdbDpavz&FwX*IsL~uv4MGw4V{~G@ zkWj2r{o|wzqK;dZGo7jR(MyX2k_KbLcM0l%8H(82X*KDt@3s^13%}fOq&XHWBJ)6z$tUvpbN#IEa(?hrf zJ1asCX7=}4EoQj>Nj`6Y&-btP`WswXP4`V5-Hct+m>3ureD!(jwdqy~NPFH9G_|kl z_m$xsFR$_pMwshMkp(o1f*s%^RAUb2K?S2B2YTHZrF^!&{?Tmq%KArNn!Q3F#ZMoX z2u7i-kN}hf4)FXB6H4+&hY(Z#R>(LaU^sM#bBH(~-o=2eW3Hp1=Tu=Oj9EuSLcUCj zYnEUH#r<|!&a{9}#O%e9U^l|8ZgvTkYl>)w^}^^bzWGd8FC^Gq8x}fWEuQ17!@NU+ zl{(hxo^@DrXp)iwBer9Vkxkalpgj`!om}UAz>R+K&HG>59lvvo(RGO+jD1Vk|N1&u zyG0^w#H}5<%bn|l#YIa!OKLKdOP=W%#`PHCeUaVnYnAuP0&Xsnz;AhCY};MJ?Izz} zXZ4mXwfzeCyk2E4wkD?z28;+MYV3CAa8zMNIwa%v0hbq98(wf{u76Zw%jQY3E~Px( z`naTRaUubYW_xXg>CkN-aHDU&$94zqyn_KN!s@ZxnNOvu)A^3u2mGFCDP7^+#1qDV zMe=R3w@VTy8-pq)Y+{`-nXA{R5Qa_7_!H}eJRk5O2hVuXV$oh-0#J+2yp(UHpSzUv z5|CiFwHWZd#^1hNUE--U5bb6zhH_R%0t1;}5i^Qz4Cm=h{L$MYnb0rs=FLUaG+YI% zU}?A!O7eCYL-pu9PhNl;(7U@hxtaYp{< z_ygA!SoXJzSje{?#b90rYqh7)VC+^5B(gyUv?%1wSf0FK^gM~zP++`hY1atNfTOIj zndL~Jn+d{B+Pz%T#*R;-WY4zjGLrIL9Zu#X2E!9$aV5S3!Kh{_p1yp=6GK}wm5fYs zD=EfpjDh1SyKNdK%|WMcnerqT08&l{p%~0F5EZOA1NWeU(Vz!0cP1=QQh}iwNatw! zFc=MX@1Zd7A4;wJQ|X$jv76NJ>)3o_G*)G9D(k6N=gDF71v?=9Hnpu%z6K-eGSm{Z zS+sE=Dp;Y#*cf1DOW`V7jD$SM!8Bgfw@W}o<9*$tuJHTVdIL3e!0O4Qwn2L`d&O&Y z_lc^?qS7;@m~#aByzHds<3TX8ew8R+jgdh5+z3t!T$xMX93~A!1hA8J$Qk6!biDkiNyD^}@4)_-jNmZ86|=4AG0e zt(D;@&(lfW(DfwS7*&97li!!}#T?of^Bs(0$jvD;2mQV`hq*@RSNYM>+MDCe(q4(B zEj%>vum_HP4@AW+%lC#{-UM5K)4c;PV%0@+I`?pE>$8P~TpS+wQQwt$dC$nUxF46JxFayL zq#j+dUZ4txxW2WHb;4N-l)|yX8MrfyOI6EJd9-CPQcadrcnIaiudH=vHK|w2Cf>>v zZK7&&CG(goRZ>+(m%I#KZtita4a%(U)=t6Z48xrEHdAcvltVuPe>B1b>$Dgf1Bq;D zkjPJ-iw{P1)a*57cPN)U%PYrFgL!O%(ZLL^qMi1yioI%dRPVyJMf zv1U9gF_>q8(zC*h8Gkg!<|yq5%tj~D3xz5wH0fF=90hi#dBuXsZuSU9_l)vBd}EzC z6cQ1&hJvpM^#c)&Y0rT;)UlG=9~UpKr&okbb<$DmM2UG2+t~ViC*6GV4NBi6bh6th z+@&ywP)E$OKp8Y_F*XJg+0r1vC_KuLjQ9$ugT*;eD*jtezp1i~$u9q9Stqd3#ei3b zR$SdgbaB1I9w9q4eRgB^dA+H4KAJqOq<0&tOHIc>rc;l0YUlQg>Fp=Qjr8^vBw{}v zkaY6nu!X`mpL%$u&OQksy^_l!S>A9A=2@T&d2TT_M`=f3X2~9rAK?n#r;c^PQ45qA zF!VQ-*qHpf5|hboeH*HeOWGZY9$HPh?7PkPh&8GCcegKfmA4n(nC;T2NLWjD)AXeF zZEL4PI!(&7&>k2@;5%FJzVxK{aQdYyVl(}c;3TKu&C-SZ!=siSxW6;ne3CJAd_^K@ zk?v5OLnZ=$G{OY!T8xbWX13&obzML#w0vZ6%XpC^GjA8J(KGk$0b7Td{Fb`8F?m_d zCOQck=mPbqZ%{hOAWnvUY4GN~QhS8=Mt!N!IUN7tndu+VtuxcF(XEZ?JyP>h^X&*9 zWJVlhWinKm>%lZ665?$$hCXR9^h>;57SRUeL`eAf@}{9l->>eaUpXEntiUj$4|PER?N)|)lD_FM9i6_05c>k0n2=d0Zdtphzvk^6w#v%YIB zn1kE>Tr%o35{O zx8E2k!f$2?z6#+KQ!Wx4JJBVjzXtO3Zn*rSX2lz*U6w4;l(s`V}8aq ztQU^O2VCO1l^f2vk32d1q4Xz&STB+c1^wd5%$ql`wHl-bb5p78ZIeG?_ymT&ee&l# z)lMcYvA61;(_`}W-^f3_@s(To#mSd%sf&{w<7~;5$*JJvWo~~$+&h(iM&zf`U*H5C zAeQQd4xs6Y1S_;KoT0cQB(h`&TNh=VjBPs+xP!JssD-f8$R- zpP!xlsp8}>l|)GWkfM4(xYFWl>$iVW4tk$lW8?32r&R0mf9{K+97B85?dC~iFh ze_*Ir{f0$)5o8D^@a4U;*BJIJCer*|M0GtOU0cY)U<+h&jY%< zeOsXzL;v|Ldh2BO7Ck=MP3Z2luc?*R^Mg@Q^MZHF%@g^t&*$&o_yv`pn&@PJS-;X9 zTXJ5HtST0i%IgjpL;0Q2j|o}4I9eyxonHo*uJ94Get^NKz3*Rgg#T_>-C{5;w@c`o z7Yk1QLi+Y64Tip5@T@POQe#6+)Rt}H?Qd;G;E zYCW>5_2YEmvJ)l91%G}d_(#tkGlG#pwhn*lBE^t>8;m+p-vX|Jb-+;1$gk?d1up?paW(E&NvkbM@1DFF*ESW>RyB9*Epn_7FP+zIeyDb(M*e%Ld z_&BF5)};cwD|S{Us|rs$&*klwmd)+8NF8{wIPe;)6?X37s-lZrTRL+pF%7HzJLC23@PkpfuHDx?+Z_^h`y5!cHwK!Gcuy%2i1rFbZ&mvk?(}l0 zYG|pvSW3Hxva0Izo%B*vE%D%xRo=1AbA!W#YjJ!!5rP6x{e9EUrV2wVoT5iff0Uac zkg4eguir8VYs%-}-dF9J^CT;bX1K~B?TJ)O6j=*^8S33zlsdpdLt-x zNi>O~62a#3Q@qR;pfi=tl@CNY6FSZtR;!Tw3FKi=3e#>I{A)&{{M&!e7}1B{+yicZ z6#4s zFgmebK+hozO&h$;W4+0|U8+D=ClJe^9gLeWmgfedItB*!hqC=KTecdm;$0I9w<2PqAVvcjX;$ z=?EXL1F(B7l!>v24jbQwjOHHd~2r|%(Fll>b@LIpiK-U8l6Tj zW)|}-P(sh49fr|rFxs@GZ3gY&fcil$=m46Y2n-%4619KHrrOFYRYoN*n{Me>WXsv% zVvVxZLpxSIYu@qnq{r#VXj$(%S~(;!f8(aU%~4(pmcCK}lvMGjEw1yt0K;NzBP3QJ ziuE!m$9WMBZY;)juxNoYI8{3s@I|;4`$T$e+9%tc&9)4*ytAQ`Cm6n9l-)Zb*?cU| zMw{==vvgA|?x1!zu#xeJ!OH#S{t~oVM z#09!GPtc`H?+@vKOE>rkduuVa9e)-O#ilZti}gZ4sY1Pi(eaHrhCVraaeb7{6uKaT zX%sS#P{(t}$dZ_yNDQUL@o7XEWw?3`Q4=0alQerYS(LO4kje z;}w-Eu=pC$DY`jN<|aZYZLA;9?`dPR;+BVBPi{U=zjaFk>2z!JR>E65bv!4HUaVN* zGlR96G4vwk^JI`-h>f{4P=;zg$Y4~39Ee#A7C1w5fp)Whhp~uH!Yp6TMRvw z>l^0R*lr(}3a$9gR8Big2L2f@x^||RD|_clp`iJUK*y!qL;@V+g+!))kSG*;rv*$U z0z*ilq}e4%fN~7Lb&2~{DaTOUGnRMmh<7ny>tHkCDy)Pt z>o{7eowFitA8^}J-_qToT=GpvaAfdoM;KUIRAD&wp$VVT+ex-XuOyicL-+bvDd~Kj zkL~u_uk(}%Z{h8Tl+@>ks*tbjC1xY>@;=~3fBr4?OIM0`pga#G>$oR3YipfYFI1&^Hgh^^AMg!9B;hDs zRv$^X-l;x9Tk9&};Yxey9W*1>CGF8uoW@+XA#9py%|McYhj@eiDm`LujzEk~tQWd3 zpj;*!yfxREK?lvyj!qs|zmdE+Pi`e}BtC2^;>j1a?usTnh6bX$^vXtduSnK;K|ZN8 z*g7aaML&G%=BMe0*Ke*B7xyQ#ODBUhTWAcOCqgAl5B?a;%g_u!RFEvj#y}!lvVhhN z1xFc?xWPfQM>#mgi&uC{pFDpi|0?NZleCRkmyQ8cB!c^{LbA``lB71XuUQ~f zw9bQnw_^E3WMxAuV|sQ%*G5;rQ+;Tj%+#oM3O3Yu42o1k8=A^IwF$jjePo1S6hNA! zTf!5GWyD~ffv8{|8Mu6c72mDh_h58{IviqL?FPdd^JW&iCug#+(sT19r}a(TW67nDilf6hv7L^7~9~Lc#U#Bq``c=lzOdBZ~pc(_d2x>o`G9xt#nw!a7ZkOC+U4&LfM$ol^d`)C|ql0*(EpQbK%YwCJ;6gkGn2k=X z7tr&_LHZVp76m;kU<6y;(ypMPm0|YW2vqjLg_Y@)WgJ~ZPR5Eb2c_>2uXq!oB;*~I zZDSxG4vB%P#Z2u$(wAA+6V>Gh2c&Xkyquoe9yfx~f(;gJ9Eb|qH^Jyu3xGKg#g@WV z)EQA}7OFkx3+kLm#NHY8ZO!;%Ax)9GooSqE2hY;Z8o0HtS?+e7&1#X-Rz z2X*V~Fgo=TSxCgc%t3t}>(mx4P)gV@6IvW>bxXU-HkLm=z)4>?>vd`GQB}SDC%J;@ z@;!14EJWQI&6^r5ZZ(x2%d)-D5167_3XlQKg5bNJRtAV=ypY>TUQXPE6D>>hY{h z`K7}db9KOyqnJY&VL7Ibw0dPHSkeSJGUJR1X`DF7iV#Gm{}wOBS1F}*`cEdO={tTv zlKK(ua#Ls6V)5q9)0e*0>r+g5Xc@R zn~Pzb!<{hU)RH_e$D!iAB?oE9W+X44%d1GeUgG(qJJPF2pT~#Blpt6*toZXhBp5fmd&eEB$=W`~L#DBIQ z9{#>^Ehk3_pO%wl2zqIl`&wR{|x<(XKsFy z#rT!Un6~kQv>*W=aYh@60k%%NL2KWO20BwgKQG+EPuaqBBmXG($LVLnrJAdpGU?>z znch8mKV)rbUT=3AYZkUtz5kLuUL5c#91{mU+qYA?HK|#u7w6fIQ+u#5)%WP7!d5L@ zHQ=gu0lLSHnXw}pB6E0D4`2>N8J%`7EUvD-XX2wMAdYt$AiDXhM0b9kCw}%A$#HRs!BuUx3h8|8LPa1ka#0a5+xZ)3=yBN5tYTc?`F>J(okofJ;aC zP|&H+*k1aE0j#DDw$cHiT#;Y(cW7rbiazq3n$Vx6YxLXCPAP|f_3c7SZ#@5B%29A2 zxb?v}PND;vo(SsOAJUckrh}gQV&Jq)y9b}Gkx+uM`~xx>Z;jLKuxZ1^(n9n^x-m7J z@^?{M<{av=4A&l-sbR@B?$c??DEY-yeGB~olDnz8s&%%wj(meJSw2W@wG}7rNgmjg zVJN>uYM!*5&SvLCbMa86JaC32H6^Ui@5EL)mwCer`WF0B2J}w4A_VuPyri=&$&P&R z!ju(@O`5S&GY@@EmZfHF2*XFPPRtZ7o};)Pz#RNBIuTxM{~zgySM2OG{eF>%(|VH_ zqW^bCsM#q+>J!%&e}~$lyW^I|?k_!!yHo7*6SQX&(ZeNO2kZ4G*`$rHC9J;U9A z8yQNEsWE+-RB^NZ*C)w1TjO!I*3Gt*Y$3r$_jq z+XXM=^Y6Gep|p&ld&T3`XqVs{)Am!v_YkRC7||nVksbW#rHy1;q`Vdgj8ab4=?$)A zN^i9wcnDXB`x57Yhv(*{2}wOJ%Lz?-4$>c~R1}ewaLOfVvlz(xO8>JS zkla&vp^VPaG~tBzlS^J2(xHi2-t(CjPW!Y*K_;Z+^QIgo+q8REe^ z<_Z6MI@_A;u`H#GoaGT(m}=Fn7ifShRsz~Mu!v3J!-S!!#dDNvyfd8oI@%?ySz{#m zv+F!ENv_eSNS@*(KSdvA2GKuZXJ@A*sh{VIek0D{5I|~;-T&)98;@!7yRS{3*u3=G zH04_>9PM9Xaj(@~pdKEqDDk}|_WbE2f3!$u3B4Un;ddVrdi9)oN?q14StKY4lHeOv z92rn$gz}kKAVyGeKbSzRL!jSf`cGQ znq8+it2^}UWVT3v0|!qsP%S2+CN=-wx36ukYM0&^)BEY>n0}hxSf`&uW$8^0gw(%e zXx&O=OYPd*(-RJB8FU%eNrzI%D39MS(DaKUGo|u37*L1{NZG93A<6RzK`` zgXm3&nI54pl6r*gOdp*6zsdys&+@*YLo+P+su%zUVUxfg--bhMs=~HN<0*JsBluO_li41qH=ChRL4LE6x*2sN?wnS`Edja;6+0}_zj!u z#CidFhmQ^HWJ@dM43LhTD6qOF@q6%vM!l95}3*O zwZ@!NUk6M;r(Pm#1bQyr?Odm}sG9*teY!l9OTOtC&RUG{5VF}B`j?b#A~{R{oM^AN zQH4#KO){0;?pW2=rk^Cd&Xd?~@_m=%;g(&#y(vdLLcY$GVBc}-V8Dv-D0cQL@9G8d z(_>zeIN2zUBKHBOpP4(Vri*onK8JPb#<;IbMK33eY!ktK$g{NbKHz?jzD3}Xw@_^K zEs{t9h%BeR4i?us^%7wtw5Gm^?j`DRBpdWK9->kEfIq+sW;`6>DaQjD5<`DLmzYV> zEmH zpPRh!6aVO0wekAXpOq~ZbxVKZcg87Qo6KIJk4|Rm^ik3YTgqWBwquAMgIYh5ZEF4) zZqtY;e_wiK`vU15?XF-^7R8cH>GM3`a)zH5mwWiY>&S~+}WRjdt|frGiowu1D1o5Vf#G)ax23H=~R zJ?Y1r%ofvaL9bj6Di%yugCdh+?BXErfuOUv6?m5Zl=8DEIC%1VR34lY*@REpni|s$ zJQcZ-aLAJB+LPa#?9rR~j`Qx6%W8?ceNGd8$48lr{(ed4cN|`9rWQ1P%)=?w2=jqz zC5jthFgD9j3cNF%23%#SoIec`RU`5@K5=`(I&Y-(4n(-8aLf6d^!oH~&y&3OT$s)b9pT7JN{^@o;!Hwn-UDZXB7kS(vo$lhYbxwQu#)Pr72u3I4$?1vU(VSsO zn}kSM*#kQ`)E-^Gjo*ar;nl`(r0Uz~8zc1}a?4NC<^`H$lw{)(-<9vs7wR3;E6~ur zsY>sRc2Tai&-OZFo<+2fHH)#6W&q2fY(#Jz8TrZo@%;*FS1@1oJEPw=e_}WLCBbhu zrdzLmh2Id#AT#2Rb(-s3{5gi4OLqQbhZi{FjqV*F<&thLr5TIbI^21Hk^>+#up8w@ zj8~p2-N!q)A$ss6Z!+@B>0RDJBUC)+l`MX)L9D$WHf<4fYy9vnZHBu!=wK zjZN3oJZIr51A`4rET_H>mdqjUN&E!3VJ{vZ{~!u|`nfwh$-O_6=ktrVwMB)Y8E#hr zl)s~B?3<}`DT8R(rKsT)s<9i-oU?Ayu9R}KPVQIh3_r(WJLsZ!6r)T!YAAFW`G3U& z`)HCpNVoQ;mxWg!a9n+W=kWMeN;{MD=ZwUjIS737ol&2dSBJX3@V;>nYaAHeHWxXi z8hL7u=|Y-LvP(P=f0>u44kX_jQ&1;Ps_HQOu0ZG+sTJ>&_dJMm9RwifUhR$WiGs$ zbXlw?cpS~$=TVEY*A!*l_e}L>?1RawFN=13ANkcu7N7q2l#bOz2>SLyS>em;o;`3i zDgFVjM_>h)e@}8h8To!1pWC*h_rW8RU9Uc#+Dg4eNIH9s(wDZA9Y~-vB@Sw6av|UL ziWqGI{p^X=GwR_gj6Xmak684I70*!20Jd#8wRNC9ImBxvd=%XK`3v5#)Ah}MZam|+ z8)^EL?>WEQVv+CE6aD=kr^mLd!x8S_V+^RbnBOSnvZY&Ub=ThRYzWB z0h(#ut>nM;<@oFrrLOry%q@pO9UT9sL2MK>zL1DD%3y!J72{nZo2;nMfaaG+rKRI8WsoXpC%+2=;`oiP< zocJq`oFh}G55pQ3b8f=U@2{nTzbhoh44Nveq`k{@%HtBTL=G5qSO1DbI z4&P_oPa%$BCmQz^ecRwYEVhfjJA9gG`m^ok!~QjUPop zrk5s@YhvMxKl^Q&;%%U8#vGWLWAex9JKP!fDe?P(*BzBk_ihpYf@ZvPW6=#_ap)aw zN#$M2LTJa6JpK&jH&IZ&Ev|(6TFcfJ_?G%`vOY>jM`x1)qc`CNQI;^$byv9Aqn?vT z!ok-bk^4Llo0^%M!ieZV>(nkmHUt8)>uWO0sjq`YGycTHC^?IJIH#C-=dHKfZoyf% zY<$OhE(y+NVAVSvZWna+hibcg$m)WX@Q#>GK~t&zymWjd4{O2ez`R8dKX|hAPL8SB z8_lMm=39^Anz93A2bPHgtevY;xNCPOa62m64dLH6iL()dc@{pZRpiXTM}t!g{urHD zFE*f9rY(LK_lwV|^EsFpueBEUv`EGxaMWMP*7?*l8d$W{sRIUwqXWP5wOjRFuOiz* zvzte%EUWsWdAaz+9AmBo=pJ8EGO0jOi6u(Mfos`vY4q_^(HdP84j>@h_Wc-VdJ`eP zAjpx1o7f}6p)`8J&BKcq3lp(17Z4^$7GoW7$sk)_2N$+TShJ21Sapa?)z5FdWS(1W znL5{Vhm8cMyHfp@)GjZP=e;z5bLi8z^%7kN_m;?)T4_|UwV=oM;0axXQjSF_cG+~ z_G$wEC}00Ff1dQRQC4!mr6YWl_3g36*dQeO4|h$UWUvtH1%3xsrO!XkPc*F;%@!>R zR*mR`>RIVuqPMkCq`P_tZ6rMZHE6Wy9LI7cbxh$lE#qG*&&eJb zM$@-m&K60N=VT?YNpaF0op%wUa&=GEqYs ztF@JTk;<6(#~#l8s0L4_#<04Mx0n|rRB}P5*UXK9#dosA)=mp6funthoc&txwr7P> z`o78)sH#XTvL?!4PKx{dW@Ah}kX60j!b0FEeo+hJZLmW5$H<(+|itI{zP;JND2UdLZ3JBqx%l`$0a z>!^`90Egt(^L~#`zco=^>$c09ya0gWeRD2xprDhkChFv3FwX*I$XE_0;3@`xj83c< zDgTMJD)AfS*OR=X#SC)5Zl?K8Sr6t%%t13^U1F7j6uxlA1P*ZG5duj5Fk~0Mj#9`t zB49XlhjT`}ive2)>rMHi_~TY#C5%}|M9wPDF%(;oFcK8^+hsZYr;vY>vI)N|Jx>I; zw2w=L7JK0IRC6<|7x3IAJ`>gpiK4Czi|Nu$rN?igce zQxS&>+^r*lh@`B7j=J0l1V%p3(^>whe)~S~W8*yt#?sDfkVR6w? z&ywN`QZ!?Emps!kjO#JNL&)C4cr4R)ITLZL#`FQ&ohQ!_@A$dcOe@07LR8?w<7fC| zH~IcLXIggoUZ|TRKCf5#_;tW=2Lna~6BRnKUid%Rx)HYzxZN_H{jG$f=aZf;6@8&E zxv&*4-^yb2;1eI-!rKukRGoCpH^La31kd?3o-smkso^x#!BWs^kO&&#eMWKW$`rQ` z_&w87x^kYLO5~%ZW`7x>#_Vs6RqH9~y|^oEwf^iK zUIyYwzB{2uX;x0ot2h%CX$xo_@n=@ix7iFwfZ-VYF*=c6r1K4$p>ApOk2vVbknOM- za5DrP-9hwSy^q($fL8}9URj#bPUbm(fnL1xF^^l%P$*LLzl`a5{K?zv^MsijC^mAz?dCO}W1HqG}e)7s|7+2^ z7DnpfssYiQ`c1|FVEvs@kwMnMIL>1-MSB556*`?iom|jPe+QQ|Tbx=iDn^QIH%(<89i@g%%agGq< z0Gz{+XdoV)V;(ljiqx)wm5UB(HRcCnda}woggj!6!zJu?v-^U)1TVJvBXH1#L`8_F zHlH1Tf3u2MeYOP~&{e*OXAVeX(8dLqumOwRtk)u8%)*Nc7+)EG@IIA8Ip^~4NtN}& zciPqoixvg#-xvWCG~;b>BV1bCyeQNMO4UGix|^x4)Kfn3x8M0pmECIajwdlloa$L! zMMgq5$KKGbYe)r4U9l;jo`(eN`lEa^Td~AsZzo7BoF0(5ujtp1&lEpW%4oe!DEEVuiD!#c9f*R-QK<+VpM8mJC5=i?Sa^K4h>{4-VBBuy?z{4JB>Vauf;X##Q?L9;?c$342@>SmAlFEw?pi{pD8SyR#Y*54&YXd9F zxYUnPjOQq{B={(UE#pPbjD~jUW&~q=T-y%f5*!$W>bu-AzvJZMGOFRcRxH&lF~PG^ zQ9aabV^BG~XUa3#@icmRJ|pv4hrv1Y@J$e|b84u*B%n~ep=Cu{+GwA|SU~HDKR_6d zFo*EbBPB<1M_@KOv0k7Ghq%6^woc)}q6JD1d(Z^k49}(4P+@HyjyKC^P|tzVl}c@S zIbT1RL|H6+eB=Q(?DmpeOs9HMD5{;i^?C!8oEgBz5iudPA4MAB(ljL7b;4C{r>J6U zryM5hvBAS1MwkpLJ{cH3lwefyM9=VBt`Yx4G~{&UrOP=`D!&;!+{qmbu!zc`ZTgNB za>s~D(VJMVS@j^ioZS`EE>FPnaeOmQW`}y{#|#nQc_EF`SPvDq^SqcVhmOI9IofE5 zT3lWSt%@*}v}Lb;deU z|Jdd{T}r0&L@;+L%Aws6^DLqTYdp|kRF;1i_gDYP{kOAA8Qd~n5Z2)zEZ(3yW1Fy*!?}8`x__{F zVYjQMg#P^7pZUe!)t^>W`pM$s`fa?W!>8Wf9G>E2I->6&PA>5lN`L*$f6RXgv>zqs zC0$yZe$XaMum9y3uPb~6eYY5!qqrWx9Ef7cZjqmRNiNTocU8atFUEhBOuN>(14nI3 zIe<#t-!1lZ2}bqSPWs@8CZfSFxk-1hPUBbG|Ng(({azgPpbd3{k~ zR(sLDZS91$cE3rUe};IN7K!|u$2b_3F?D7o;Z3@q&^Os6A+c8~JvoQ-A8hknPyYB9 zuk{H=i@uuj3irXurO(=A*)Ggspd;p4pbS)c!G?kEm97Jt)_}!sw$vgKjxKMElj~DC zPyXufHV31djMSOymc?#LW+YG1r)+X$g3&b4%lpCl|Ji#RAX|>=Ofajux^=tX)1&&S z5e>+i>{d%^gp4jA!($M3zScvuK!)bW9${=K1j}G{Vk8W^A;uF+cB_F02+;_}`>e(C z1+#<<6AHzenRzA%C}FLa@ru|thVT$$Vx~hdLc?w_3d5S89Z7q>tjes)%B;Fo_ulUJ zBc15Zn|bn_lP9w>zdH4E^GY%*LP0wb3LW9^JTZ%4bTp!$b6ESv()L9r8O_Y(wfN*s z`Yq;1&R{5^>Gy9w`ak)FTX1_RD`Ds_As9W=wsvaKi?Kx_e1%p6DT2|bu~F}ghDncq zi2Yt~=|izf#$Ia%(EM)r6LisByfYeP*YkzQE?NSun~;gr;rb1&3a}MS*IxF(;SgMd3GevexU7lGC0M zuWthe71cLdZ&vG?PeC~&Uf(Fh>;pz+4rWI$ln(R^(f7V33dW0Oi^dCMz+|>YbIIsW zaYQfJ%8=&hjKDiKZT0~-`dQ`}L4#xzpiqZe7%(EFt#rD2QE8qr*=-+i(^JO~^1Ho`e8l2 zHM!YZ+;HmKV6yvm=I}xsjnyg1oR_9L?gMVNOg~0P=C^6nZj;ddn4Nc6hfA8eY$R^> z8rRCD&w$PmgpdRreL7dLmV0u;0Cc)~L2AKFoaD*h|JqyM&${gcewl;`a+K)h?2XZm zNn&{*9?>PnPLa6;HmB&n%Y`6xszir6i?Z5=P5s=06Pcx`XpX(uw2nk0c2qomA%anY zZ$eY;MM8y!ex;~J8w(V1-4d{8m;_@nl1nT>+h;FDi$Vrj@|`EP9~-qZoY8joZ@)lX z7`%O6T*%%|OYICH#7p}~3#ChX_VYL4&gf_)qKnEHI<2PXXr?Btl_AoEjW(Dw7)ogR z#07uvJ6ox}G_ zcDSJ5)yW^zhjro}SS)~Yp1{}Iqn+AK(ReqPpmBDS!B9fe$6oUtdQ3ku>;xHnDh$04 z*C$U#64?no#q3M-$MhHnumv)$>DVq~uV%ZENm^^3MS`t>tQw4}3nqbHwo)$*M^wVl zH>#Q5;*E)tekGfr+yQ@5$ooiUvxeZO1OC9!NRi((`Aqnyl4~D-5e1`aAMm!pXquvB zIJ&S&JKYEJ0+U=q%#mE`ekkF?8A9|EVOi`H15FW(j(WqL1AZw4%`ud6z5TyEaVCuF z0^n0&C?!AtTLh!_d5JD-YiMBc#j%+ZhNh94o|eRuut4vOQZUNxo`-g^ro@$4<(<(Q z*|zKsm}h{}YunrtNKoApm`O5)ct+UXo2`q4=}K-Ty* zbdOUV{woxZ`cOH3W5v?hUNpElY(#TGi4GSN)^wluqBATQ?Sen(#)y%h8G$^rpmrf5 z&}?Nfg%*I)OA~U*Yy0eBdS;vB{8)3(v35=~WL@uu{5*?$7&rle2IM-Ud(W@!&)zqk zw}8Nn$hp?xid~y{s%IocVB=8NmRQt z9)3dJ3;Iv9Fo|*{8g@^hBwEd4Yp1R;SM4cC2cv{SMD+k>p(aVD5bx>*bZp|^pvUyt zZO;@njTQq9l>WgRZouO;%eQtytLVa)qrW2ErJ1u#)dzLFh()4!r#aUSpY<*dlr zrH_rYRCp?zjQ}?TK{d!4h3#@m=x!l(LN%-2`ymTa&utIlL!h2TU5+H|o!P`k!M;G9 zrz#y2mGYCcD67F}n{h~;7f(owEImau0wW<`vMr=DdShRySm2Uh47+h%8KN8?GKRs} zF2GEx3DmoK0i7x}fM(t=SqVlj7X+CeO2C_hOCH-Ju++I}1igu>44G&tH5Rk`;&HEy zChGGUZA`TAE@D^D(4G%7^6dwEsbqQ5Mze+Svq%I13Lnv5OE2xoYiTA6c4Auwy+yh; zvbZR33%n@Mragcjs0-#9pv+Y5G(~Ywf>G-UvHI?ee@T~u(VBk6MkFC;ulR9DH%}MY zozLfND(vS8Y_~j{SYtY%nHHY z%GUqVU?`z!)TpwLmGM5dMNI)r%M`Ogx!5j$<1vcd7QTM{OD@{^i-3~bf|o4r_@k+I z29;FTn5!-_>H%YKfk_Ko5^(C&K6|ApBWkqoeD8C zMskVt)YeY;>`1(${6xh$CTHY6vdg}os8+1-pSD~#ZjrRP$wu$;|9p!$bl&&#B%~;H zBVmTcQoFbpCE+s~4~W|q1GD?9x0A-|dGfuz-lmLuz58W+aPcVJyzhL5 z^Z9dj@mkFy4!p1^wMtAL7BM@v^yyD249%0aFG<>_N+k!}lRSC;vs6-%Er4)?4 z4@R?Fojo{Np%oKp=1vcLOwV3_STJ!B`|Bkhh1c;UOR#b)y>5l86>mBfy=Wo5+)j2| z3-4rSj@I&CK^d_RQ$_fpTYj&=&_m~~5U(wCcmQQyy~WKu zp=;8x`8;t(_GMhB#3cG0uST4)i@yGEbug-cbX?aOL9-4%yp5Wuu?sMhr3BWRpxYy& zbf8xz1v|!zW{V0apMQD0Bp(Tqe^6j(cpWSzNSY0}BG6HUKv^J%qaM@0q<;k@92tP4 zBZ3Nx1c`8PATE&s5^-d_1|(`UST##-?gOrS8sE~p{0Al&efupUTqAo< zds@7qU%4Zl2UBWA>k|!XFB%$k{USZ*cuAOUJ|fVuX^Sxqj`l*JP(Mi|s!baxXp($1 zm$ZJ04$TN$HxAuM+=yu9;**|zO>sQer4AjGST^LX<}|cH7P@X{4li8d-Sz>O0Uca8 z>eBH^x#XJez!5mwr=?7~T2qBkZ=Rex3w_Hd+lb4^f@jUi$%>VQuySckD^V z%(mez3>Xo{5nnUb)eBMeKpyL~4>&VDT?>+Dl+R0?&yVJk_Q>oK-f%$xYf4Yq+ZyveG=6nd`I<_$+5kD9F7jSpOTz&hMQ{+4+Y~?Xu}XxIKzX$|G#; zRK09#b305aSCa$`#2b+_&&7<+y_V|;=A zk4yRekqk{~Jg~@~WYn8Te`gNUh+Zh)P8h0y6v+lzZhg3XUdRrZm%soJ#!LF?`-Ff>D9A(94oI&oS=R{ZPeZ7L(SPs z+;v?vtZr9Yar(yvlQ*(Ef5l)Zp=s@cudS%Des-}%gS*+tw(0*m3y+4u?gil?p0IEx zOByVn!&S1OZ?frBWa;hpViB5PGzU`pT3h`zwbW}0UBf^ijF%E{31f@b2sNhxS96^P z3BM8M%)q#H01S(LbYt+o!)t6dlE0q8C*F6W996UoMs2U5cNUWanWLQggV(UA=LDmn zbFj|hacbjwwxr$YM!GD&xmCj+OtXN;>_tljhEmQ{KZ!B}bvJeV4u4=JX54*eG&^Ra zn(q(>vILC~Q2J?~f>Gr~G0h5Jf)x1~Cj7Bv1@mZ+9eLui!-9eAi;SDt;vh%>KUD#RWOjh8udb?4~ zlAd2l;MlZ1&6!34dZ391qg}4gl?TA(u3jj`YSQ)2sC-F?c3tA_T@oqO^DHbGK&OkCPqL(YXZAq8#G!i98fo5%+zbq~eHxTNXJ|0G z*aZ^FV4wawdjU_%l+wJq9}FE5!=ie3JptE&MaB8^HQ~kweHzaWE){L)X@1nBNvP&X1Zz!r*jWw-AQ!~CYhncV0nb&D zD5~9@GT^eGR2*qy)N;2|RY>FG-dfh`@RaSH{rQ301!vZ}A_XVI$~##!XPv=vfw5Lx z7tBl0VC^Z$U~CsiB!evwDi<-onHYISF9=h{cIX@~VG%#E=;Ep*k!+hG>IRp8c!?a4 zluPYhDz(ArS-{ywX6m?0RWg}PyZrjmzieT^#H_gOY{V=&G?#@*aHOrU?9PqV`H?A#+YL8N41}C9PKFm&+bCUESrf zO<$O4UF)+?B^9uwVCz828^SOnLXtxyjM=iIF3yGQ1+)1BW%ICCBxD}3p587eHg3^w zISgL(95|+sp^ZysAG3rE)yI>l9Gmv}tnc{ize1{rjT9JEY*@v0DlJ=_u?k`=?MWzm z598`6ma(`_KRHWhh)Z4NhnS=4vG)PjO-P*?^)rQHOUyHf<}`*ignuIadEDb z(q|VhoUd>sG^X@lc#)z|-!FsR&Q%V&fd7>K8w@xi5T6_+qnc`SQf*}$N0DfVJ)wk3 z2`}aI>=bJ_i@V}y7)Org57vsGFrp3T90zQ;&rH{Agu=$DB74^7vazy*QKwTwW^g9& zu^}8wK1MFp1@jD0`s`ueC~!(qTMu9s{%D2ZmBma0cgv#^3{$U)i~xpSR8 z>X8uDfpHjBfnnto0xdDm0HxQc!PqX4NR}*EHBD$EQkzZDsCE?jDorKel*MPXxC4s@ zD5t#ZyV)hHjYgN?(DS9`RAVI(zjZmR$Szi@tyJ+T)k0#Pp=a*-2<&iA+Ef^~-E}U zRz1s3Nh|D;P+rA;HY0D&5<14-lT|C5XRVN2B@9(Ss*;iVkpTonu^(ZBS#}pzzFPhx z89VEhRRRp!SYr%Iq1zJk3{ZO8(_vsefO+tT zELpH>ny5uVWrTgUgrSeUO8Ju{_|RUYDAf1M041G;Rsp26@}HL_q&JdFXz*$zXED!^U~&3@y}*9Z`R2Wt%m9w8|ucX!YrE{Nxvz1 z;ZJVPfb{Xh-_L?kt|k4)K-XrUG>sx@T1=!NnMc2AxFNJn&u)Xrk+v9yGtKmTLXm37LAF6aGO$>T?7f}1JH zfz;S~Qe{H3wkL#!%zjJZ&Lom2|hH^rzq50G}z4x49#<- zH1G7JzERz4Tq~PT5f$QX z4Cppsz~6+H@OxnzHkso?owh8Ro*Dxtvpgx6jKxthF{b5y(yPDm#P)xCO`gy+82yW| zx5*dxZY`22wmM!vw&UvZZ zKHyCEl&zT9K0hzfavRAdJt%lnx<}(7fNbse0oUsKGcGm-zd$f12`36peH*0CB_;I| z5y7D=!d~{)CocHAUt7u(vas7}AMix?l=d(P|0CZ$zew0gwltDUIKuWy?V}s#)ztIZuE&M0Y^?WS8#T4f24@*zW_rilFlxPvw3T$ z)t_uX_L}cJktP4_H5h;qiSypATk`TeAvA4oC$&YnT|9eA12yfwv-7p{fBv^RQQixh zjF_bqpL8HmzDWWg?Vx)uiJrRP?|+SeG*9d>qtl4W;xYZ*e0Mgyd+bq4V4;dXp63=N z5e^lH3|w`=A6Ri9E(Ny{#AX+=$MgzADS-llX4WGwHriNBp@25ntc9TjsYD~VlwE5= zc?3EG)`c(_3N)o)B_pXbHJ@q-?YeMFUeAd7-cs%i6_X_l{rTV8PMYzx z*}5cjCi`@PQMsXfs6SjvR7EISANxrUV3U$ZK&%p zjpdDS#ZuUCM7)M|Fq$N&E}^c+fDs|dl>Y4|4iO^(x7J9?=v60;q2Irmo6?b9r zpa#l*IT+oYWB0Pd;UGohPOlSi>)Sx>Sxn_%^f3gZYkG!s>=Xr~B@87r0sf@z@Cu=HRH!|_fk z8CEPA@gW(pLtiF43&`7R-_LvSa%aR=UgG9#g_$ccEsaHY&~v5F8Ks=j>7yANQrL1t zybb7JlqINc3Ctv!LfmO00oQBfcujXhzqmnn%c22FGrVX|)7Oz{bV;O+2pDH4dB;G5 zrySZRTGS=-Pg6Q(gOZRZ>(}!Lp0%tVla}w^d2EYV@(*sv}SyxWcNz{`sr?GWDQZ##3UPyMn2k3=tuj?Nayy? z9EDCOMnOSNLT9ROLg*$Ci;_$1iI^+ zMiLdBPEI7xeU?THM$!J+OR}@;-l6lp6drpIn4j6%jWbl?VCT8Wc>AvO)ZkSWs^#|f zMv)YwC{0=i;edZ4PP(OLd*1M3NCe`wXyO|n`w#<0ghUfq>*mGk26W0KsX%SEdY}wP zO=yO^MVv|rI9``fvG25xXZ?};mKK_x2y#%=o~~Scx*9g^j(ixaVVGK6#_Va!bPZW1 z?x@7|PcyajkvCfzUTXl&pr98%Y*?(CO^Jbj1x|kau?^@pv`$wqoHsic*&8BrClT|N zEJ}5)S?$*#MWYE4@ zesUC>*RSj_2;~FOL9I^o>biV+;KLH}S~PIg z1ro_p0&88pfR05|3_k{#;I3ehphvmInG|9%7vU3IyxZseSot~_SDB*9Xj^tpagOP>mb6?K%rii#mCK(g3Rqbhz_KVruQdZ; zNm&H>W{K1*;*0~N%1FODq+baXfVAG*D)lZwZNDkm?*^Uryn_U(;v|H*@f5WlffgfL z*h+WU!CAS(dPu}9$Mk%P{3F2qY>OMP@AZz{A-^|w=GBVd(K$d4io` z?=%&s(xE*bPaqyoz0j6@!q7uUeq@qE^@pO+YRYxNJOh+IgDIE_W4-v}V4R8_wN6(r zn6KOQpqZc@%Pqr^{RMXI6u+9?G{s-UZVFfNnv;d}rq?at09!kqGvtlp=E|q0BWl5@I*|%?a9VD#jGC7d&7Dz}!o1Tj z!(q`>KtOs^z%NAdB8iwQfsWTDRGgb+$3Nrr@s6XrC(pa%_w!`xZW_qJIQGtY-HjnV z3=c-B#?bTSch0n6bcpv8s}{hZ$j z(7(D38`Gym!4d((qHQXnmk@7bz_gL;Na$Hj7zu6G5;+d}GD&J#fZ-K)`(-$fEJk;{ zsRX1i;pB$D*Knx?b?Aoi!Zk}r!gwK2-L_-CPD(A?w2!)DsYknggXtd_ zN8Di?ln50_dy3IwvLplQVOK9)50+cE2}g^b zI+i4TF~8m=M|`gs$M(u_YrCthC2hgvhVU z;vyiH<8>46Cil+ZfPoV4-&1&K!GECN7!lI+H(?~S zS<4I0dvx9Q0Y9O8%H;EB7ypdC`#}6t_U@-q@*Z}DsCUWJ^D;}&!In6;o0tek-@4Z9 z6T=lL8!>quZ?rLB+8}Lz6GlRtwY(6f)lw!!-Yag0O%O30_Ai+HaCG83^26t=r%U(e6sW9}i7ws;OWNSR7 zo$=HsqCM=Z$aW+CC?FfrjZd;)IS~IF4W#|^Y}M|iX1FqFlftrwC~qqXxPV~`@B9Xg zh}P-q1@tPE;AAkBfSaET=2KjAXs1n^N`$aGd*5;6!|cR2G=>t8ezatOk%6Mu$^aPW zByF_q6@?$oB(4v?eWiF%0crTa%Th#-PmwhOiB)n7vBeVqYnWUSem)1%hbS1OFz`u8 zV)XN|tPI!m?8bd;=|KFi*;|pkhjG>oqbv4oaG69fy4VF0$r}Q+PRN^7dXN?Ax+F!; z51LNDrZi{aU(Lxc_D+06{^`LJzmR|Ww3Bn}Sq&~_jh%~WH5ip^qxLdhVfTmZN|uK5 zm&JF&+vi6$bk6CCmOYX*n@jXM-?rfW7J9@C7TX8;5Ih?bXF+EZYDcuf> z=t&ujx&uLXyGI~(zqO)w#s#Cp0L+3Y>I?x|C*(~k17M0Nau(**-|^L#{YJT*k@$YB z|71`WJLmK6$=`Ij4X7I7r!r~;>0iTI-QUgUO0sIO2MdlOeRx^X{QMm>C0clNWdj878C~s zi_l|lubw3I)+SyyOK+qn56{;qR3}CGSjGEmEW5( z7V_yOx)LA7ftc}%8ZGU%5M0sBiwYYIxYWakCE}O@RB5>C1c{_)!D<52ExdqOnNlj? z-*_>kU#1P{SOj?upsx)c01E?JFym08arBup5r2&u+PTQb9`h*>|Uoysvu#+ zhK8w4JQ%IH7*64cMp}b$V736wiiq?s%;CRk!>wR6a}*xf#G72QMbG6@FWMfy>S?To z({MAxZHz6kmusk^=gIM$%FOyfj2q9WGhBT$85o!3k*+a4P{z z>B0=P76qdCdD9|3sW)J*XUWP$f;Z0CPBQn}NIR|HZj?1wlXND{0;%dNDRgT{abpEEpqh?ZgWUXG~61JaJ|+uLxwt zA@kL%GlZFfs}Sq5(?B%0369(&GSIdU!UpuHa`A5{tCcDReZhYM_*0IwUbW`~&02?gIly<@8Xr zRGDA(QE7AVRj;>K9TQK?FizhFz>Jtu(_*h$YOpIGpMB(ybpkoH({h7?zbd?o4-SN1 z=6ovbb2f#+a4Y)RTSO2n;OtIM4)B9~6_WdLkbm|y`NRR8z=8W#M3;8+xMTNO z?A~B^k=>Ccywa7<#S|JETx30bltl!4>;gvX>mVa-mC*b!a8-jpWdlaU3vt7}5YK_v zzqowx{xDBa{?Bup1=6SBc-vGb18O6?Gdk0IWJ^8|$-!PK*+DhT^=A`U-3!`%e=r?w zFgA^!%T-CG6;UZqy2#1k?i1nTSLpfm+FeFyqVoPBmXfwG9%xHglhIb z7?uC;Yp^}me4b3Ppl~qDIWSNa7_-btzrfH({#cp2ajBivO;*fIKTgDgSF(x-okp-t((0l+MXB1xsw(F^>N7b`H7a#TM0`S|69 z7qu2Q;HVBp>0y$59(x4IH_REK$tCF4lV}df>J)h>fAI`j)i}e40Y_3N>gRVlANx&! z`;)7ZC&Ita5{{>xv~L&=ts;}r45>5vljA5va`9&~oBtRd`fm2_Tj~)2V*CdsB64`qT z&}m&_fX|#EBd@BKId}LKJD zbV@45Efb8gSYDxnQ3BF$K&Z(jRo|R&RAV4;dI9kIn=)$G6M`Z;Sg#dOhlC zy-P4OgshRW8m%|$EG|K#W5kCX4XX`uj>EyOUO>m9=6%4eHsPolUT#@IVXMg{N7`jf zafAx3HWE)Ujc0^wgHa&G4oW)LVpy?bw+v`l4X3^hvZZwDCHzJlA7tY`;BeYx=u z+ib5rWFzs1$Sy?KH{MTI&s?xjCA4g5hM29%&DP?EQ{M)Y-M2G`7ohCC^hEdzoR^yS z0eAalIE>Cq_k@%jXX?BpvE1HG=KW5qmK&2;FzOW@m53%Bmn0iRbdKUQ%ryUM_8O0W zax+kqR8>Msw*+fiyx+or79q`=CbWd#3yLo2&gg%VkDs3>tZ^T3w_le@GJrPNmAmJM z|B+MjH#|8%{7ae~2jco2)=8m>PEln70h*>oFflyp;e#ipd$D8z5=P5})Qfn-#3vFb zo93Vhip>&!BXsojO%jUj<>Lnk-`Ukyu{pnZO0~9Ih7++bu)!Q9JY>sALK3Za35NE7 zHYlRT5x|J$q@*5O42=*u+ga(9iz3^oWVd{eQp01LFnW9Pd+LQf@Og=ZgX; zfuZ-Z-8uGomeBt9jFY95{%c%9%^pxh!Klp7Ncm}Le;10xiOCK|iE*dv1bJDa66_o9 zfp>1n%X+tN$xC}TZZX_v5&OU9*(2`{4n@0y9b&sION@j2m)U)PCoO!r>@J zF=+C+>|~fF&tWG*>6hPQt_nu=O_D6~+T#|h<}q@1FiMPGFkcLm*04B2bGgp2D+jZ9 z-)T0yle5`yr>HOz9Wx2!I|ieSg3%+foMJ~m>G~FndV=Dn*&zn@qMCxyU4x-% zc1ZW4oo1VlU%qSJcj6G;$*$bAmg4C`{U>4Qf6q?NP@>*<>Zu#7_2PR|V}el%PEN~1 z86KG{(Cn(7W@yO19^PN>Z;a(SjM5B4@8Wzmr04T^=V8qCroqLaU;-b!_+v!a&)$+w z1y|>znGdN!n-&I)2sM?`zkxW@L_$pS;|VDhY!NUD45b{Eqt`F@oI4ZPHasrFB3B{> zhh^`KKCArBXiC=X_6)9~=By<2pd-aIcuZd<%r^@-5ytKr(IlG?jk!+7_kuId#8S}k zJl<)8aD&JSt-y%H={PZ=Ws5TJEY=tL9$J+^ zq8T(@5$IG%Y{5abR&!vM79{%HfDwaG!@UT!NTuz!uzBYGe!c-HrgE<+%y!T|%zVOPcWxO|ZA*z9o=&E{nw zDI67@#xk>LoyCZiWY_dOtJNv6s3j$k)8LY#&;nN>RwfDovtSfIbniD{;p!Z$t zoS6+mb?~CxxXP0;)_)D%TWklz1yDMc$eqLWE;-_R#Nb2i#?2Bc=4lpbuEk8-Z552J zHXCB<%fdrsCSE;gvUvJd|J9eV@{!>UUSc_%HPiudCfTQyrOWICxkZ5~%j?Z2c@-(S zLc=Dpt6_0kfTkm28BhgY3Ih{xXbz}by(#4zLQSfLgdceu&>cE9MXdK{p=U!&FRlg! zcH366Qi>0!*9o}$MFf)WGSYLEYcGaCsTLdQlU|+eUHqArsl;m(Yr?wrkWf6rbQ();&kCv{xC9mR)?NqRxe zL$zaDGmOqh0{Yg-S|=&v%sPwXts90kwqMn7(MPyNvFEgLJobiXd_ZnxfB8v1zcnx5 zk{)7-LQY?T_}M|+ano^KKqT*`MBXof8bg`LtIaGk6_kmuSokzAGlu4+JgQ!guL?Sj zR0W#LeX90`7fmru)ubRs3MS$WD{L|mh;xSyk(Gov>2P@Al_<<=k@Ud}IMi&S*A@7Q zHE}ov8(s|QS3)AlH~*1uIu7$9yngK@BX*5G;t|GD`;G!yxBl8 zFVp!=SCodPm+oj-cI$nd_(;TEeva&(v36y>9L%orGlXII1B7vi)=-Fbl%Qq^fLRcw zb;t{I!3q#lo7-6EZf*qr)iqlj%~(XD;>2^J<>Jb+xnBw>pa1cAX~i-_g(Uws?WM#p zxQhIKkm`DuhB~cH%P>bt$3aC6jv^5p*~qe4%3aZNwI@##LwMNdE{mgUzG2G8bP_#R zZH!__U}20x+))bDvas1sw~bmmh!VEB36vhNY)GqQ3bDzJmcfy=;0m$iFkI!8wq%g7 z6g-8oxdCZ7x(&0bw}B|Fqa`d9)VSI=AO=U%EbM`1i8cZzpGobf;L%rY@IV>c<^%3Li=~Bbwl8zn5ZawB1yzDW(N4hPm1!y`VUfbnh6kjd00IUv}Nh*OgrwKoZ z5srxe^Xjid!=YsG+_}SL7`C5{2+N`Z$`^iA_zr>ex}?4X=`^}840UeW!@#{v-AfUfnQfRFBr_ zWYwRk#`Ef6pfI9pWQKwsS!Fhrvy<4P@{3P9`3od}C!c%X$(u+c8|o(2raB8;5=gc{ zG><`kKbXzIXc^;*iBKQ;d2clg9(--h`JBXq6dMM%u$YjuFPOsi_Ls?9!{e9BBjNE& zR01@pH$ZB8L1MgvIHpU#T?3J;3y0a~y4aSOJTkoZrMo|zy7yOi|7z;qBfB3N`$XTx zgEK_-Xs#2r)nPJA zb=6)A$%N<$RJEpfG2Euj0FL}f{@;gA{!l*mX(u0&&pkwYD^-oRwx|SKfTkm&9(!2L z@KWg61(?Z50z*y{wMOK(uaSLU49N!Xqcf8UiJL7dpnU!%w7Jti3GcZ~zAL=va-b=I zlx@cKF2UCZI@M6uP{r9wLv777^hS+Nm4i5-c+??!4|f}zT(u{;dWueBFWEggb;nD0 zzo0Ob5=L{qy^YC8Hm`|vGL6(*=^yvUNP{Hatk<$@RJa)}5LRuSKe&s1VV05%P3f5U z9+KGV`%;=Xkk)uDdUp!OxUY7HAJgneXPQ1G#2=AA0FZu90qLQW4>c*Ys;h-7mO|ej zmf`MUg=7i_#5+JDq-&a}MZuK^=je`z(zKJe-*q_)Q}DU*{y--{ zQwp8-eZu%(-?IGPyMh!HxzUxQLsERk;Wab*3!JfwZ~f8c4=5ot{n-!Ye^uXaX;vFj zTMJhWaov*7rU?Uw0GI_)WGI2L4qiO)OEcFi9q5(m_%oxZ!D-7a1(ff(oGr(bpSau;HX4KA5!EEN$#0K64hRDR9KW^0?r6LM8ytbbmR_7 z%zDzE;<(x7+iTpipbGTNM~k;HVA>#Me-lPRo3$Ku9pLn3hGwTNi>9ZprQ=O5x#D}p z@r0*))s)|^4E_9}+-pvKcj}ujqvZd3*`r98Y6dmoG}+l_!^_S1@|S+OWgA)3C$n zvXMC5q+#l-mfMb*H%PNJ`3-<6+^#U3`ZmapWHhh>^?ypq)jtsLIdt_8*nsZt)w*Q0=@zag7NXr0RaQu2;vjDTL8IkT0v5z2CULU? zBcgS>c=2$wMDx)@4{{bI;Cr1mZ7PvIiOJuMRs(ka$^Rg~m?zI-Uxa>x0aZQuD9aMn!5KzdBW~(5HFjZa2~<@>7#Y(G=h1D;^-#Db|BV~%o#Iesh?qJ{|D?n zhg4E0+?H>HOAGv=C$Z|q8>ayc!<~SctSCThhrBU?^VIIl?8>^+I%6vje2lpCcH zJva)Wly^OSldsy$5$c z0;xKfb}dYbvpp$8&2|(fx+1bik11U?ZQXOJd>yT2PnDm=Ia_9bmItH2&>tV_|5u3C zH0-xh<#q`JHBj=Z5$eTG0w%zz?c0rrS3#|yyA(CQa`u)Nd(cR&$%P;otW|620*x;oPO5BAc`kzn)Lcsc z4~Dn@a{8UA+h3ZVoOfVcX z|0=lm^hAZHHyFJ!kO}s!SrJR>&fg|ygg$Xg+u*$Z|XeWF1OHj6<(Mn=8wb8Uzmm;^j z>$H{5sT>MgBO!jj*dbgYoB`x^aqpaxY|C>pmUQcLn&C;F%$wdozF`)Mqf48)sQn?v z>dh#@sNH^6no97AC=N?=ZV&H_em+`9Qw}XWc;~*1RYs5O(mAMaAYcsTec%>%Ia#zPhGKp zpY&z=PoWQnxXDdA6S0kB%zil(O<<<=Yepi0fJONsSvXpqpg0V18EGxGeJo5>*N*Ec zyz#8o&Q4>m8Xg;hh(u7<|d+4{hy~RV$ehl8FSP2{nv= z1%MKxSr1?qL}?xJLfnr6M#7Rvz@4Ih?dZnC>z=7d?G1i=Ne&$G8jEP-o;Pm3j!DW` zgtp4<*#<1pJuLW+^`14iXu(U~436UB!d8n$=L(sZQ?`0^L{WWObFygN*WaSH$f=mS zD7MbYqsM%*GGZU++ya{ol9N8!?2OOVol$T78Ne)#wAgEJRwK4J@HMhKow#d-`y>^D zu-=6s>-058uc<1t3+5$I2Ew>RJ<$MY@Xo}M`iYZBpqN9HOi>^zgQg{sO(boW9F5gZ zMsK})Bf{jX5>KDLxudP&LxFym4$b6jk9VntL1TqGlwuG}oEz^CP{`aVxb&_NgSgx8s=%-Hj*> zN&5}q?bQlVKow03>+X3%0hv8l?eW{-{y<*ZVwHyWq&T_ZA@@$wiy`8Y#C4RrtVRyM zK3HZKGpU8>A^U*ViaS29;8eAcO6;^jngTGP53P>WigBIuH15Al=S_#6*HHpc0Wm? zv10DEZd!&5v;JvUXM+1GzS>)#i(^hZWEQl6tm_iy2K#JGuaCJTHoN)aS{R>`<|gFG zrf%z|=`@7kP0rI&iROzF6Ko0$FGdtYYoEg7jkO)ps1RoH>8IQ;(cp=ry!@?0Vp$Alsg}PlXmN(Y%FjmZTZ?F8^@iDA z&$(3tWo^J67^mThFqWMkE=b0+D;S#(%h*?Et{&dnC5R_*YD0W_NI4e*h`k`{5^u;Mg#3;?DOZ3)aI zIUrs3+w=1@zld}bDGIel+=;akuq@KN6klN{UG(d}o1vW7B6>Rib+q9S;i@r^pw=?r zh*udd$W$d-mcu12Xq{*^Q+*~@Nf*>F(p+;`>hkEHA>BBRd$K@}Bo>ynRF`n{8RKiZ zY=26-cv?!wJlD=`9pT$F25UTOEAN$4LD11?jzvc^L+T8^x?Bk3WK*H!*g9sjO)<@J zo715*VkhWIxI$wf4`*MBB-`!g$}21F<1xL6>7`n^l@F*An0}5US7JOpqPtR}#86pc z)GxBCaJ4Lnk%CzZS6*D@5;_iPv;lw(5~`lkoD{PDHpu;*>qL;Q(04Zc5?&*oK1=q_ zS0`-xg;B&VqUO-%%;%raf$pAf0HriH`wz~4-8zer3W$4qodI(uv45$grlh-$njQEV zrsq;1nx)#+3U=EZ!wOQ?!kM+=>v(8|)4#e`O2d3+(T(Y|oeK?kh*<{ebh^8H8yAn^ zjsqo`Eq^-05;Uh13>Rqa=u)<31xlMSllW8w^O)LFm2~1pfmBm^lCS03eu}n&ww#=P z!|!CXz~+xqlIbVe?97|<$8p0gAZ+6FA0kB(g!JlXKpaIkmS4+{mo?k>DSgcGd7}iQ zED3IQW+B#*M8{G%MUz#1Gf;7A+knpYduR;4`zIXJtrGcR#v8L`BLF(GoF_D#n0so0 z^e?4pNnT`cs{etgv8aIZ0n*ulVwD=4u8K~mEH2#mjezgIM)4cd z>{ubps}YW1YO7U?pFdrx!qsxn?>n;|6`hKA@!e`9@J1}sVowIvFq8YrBeQ>)(+ z2?(3Vp%lusaHZOFMK&&c^d05JMYqQvFqgjeEl8Y3TmuFYsrJORF?;Y}w_ucQF}cW& z2QsEd_9~&fZ@Y7}#KRs}KUAgKd`A@5?1@Vw&jt@3P`CBThcXFS(`z`rSF|4d#?$G( zW4-ybzRIaN5pkAY-eAnpAjTSJQ7DQ8G6^S3Z^~wv0E5wCGV{%ytSjMM7)mARB%-4~ zj)86req;K{+Ev;aNVc~*eIwKKyp<~?zRWlcY=)srYPfC<=|pv)w*d=OM|5o>)h80G z0W3=j!GwFzM{-Pjf+C;a&Q#qSbbgbPMuIlvEfO`OK1M+peUGV7 z=`R;~Pfp@B6Q${?9GPVRZHi0`!8|QO!RTAmOYY@X`&5u{F9jEKz;No@ASwCAC|LjX zKWQ6`ss~w2_6PtaZq0A2gw{p>jSthZ>3_U*XEb>=O-$`{N^KdpR8PPC>Fw(I6f#U~ zp&NdumB1b(3kXKt_lQ(W)VUh+&jxt|i68dY)fl3Q6@{X}P|9VJ>nx6Y>ol+!4#L&h zI4RB8Or}T&Vmg&YvB)*(6hafteIk1A^-NkO+l1%-QQN(~x5_?Hi^^6jrVui^b}uKo z=1ck5s`9O~6)&|^_PIeLCvBE0aSv~AS^RaCV04`378WQN-90Y5*qGa~FqF`gMuwAx zaO=YIh+fhZjbLFwXTp@iUQ5g~u*eRdy3~n+HC)x;kG~CS{KP9_mVSz+oL6F6o3$Jz zxX<9z04Wo6`9%Abek$0vx|f zmaMnXbuc-spr;m5&?3MfFL?bpm~I#mZKSIgTF-n+UOJ@yv4GQNl90Hk8(?yhsW$+L zX6mw$_(4f0Pc-nvcYsOkp1aA~>8 zC0BehoLzbEAx3>DsN;#cP#iJGX&watt2|+Y5e>O&t1hbxNA1u30IdgW!|jGGwckzF zcbxOmhZ%c_DzG(qv9-A7G_*nUI=-B-1_{3r0KOjNBvBZTA6}Q(yjvwy~#kyjjR4aW4=wLI5Jesc(bCNoPH*m+%{rWIiJd zY#4F2o9zRBEM`J^(QGl%J@r88J@kVjWZP>XZ?y5li4GEodjl4U!-*>q@k;SOM+)O1 zo0Ew*5-^$#7!i_87q%FC__cL++>F_>qp1o`tVK^y{Q70F^@(SMhp9FT2cQ2Mx=hth zC*W$gviR=e;5wS>E~Q|V+bhCBSGdfPXB{7!r>o@p2L18WPBu5S1DeJn7kapQx_5e zJ-RLusEXMzE=C%hPt%htd}<>3GTnG^spEkxYy0xW(e%|e@Qw_=h~+aG`Xe&4y3*Db z?j5YN>};%#YMv0A-n#FlJ0(M62`7kJk{`iov9@}|iUfKZTv8PL@KF-!$Dd>GJwIP) z&`@OHYPf--4QK+FlZ&UbU-R~YW&vW_3sRZJ+sAn#sLTfaU#HdoMtatyEQ0l|UR-l; zGNoGa@cbMTl&Mfs7|`vd5W&Fg5%p4e??lmu$phV79jJFi6ny3h{jld?o-_8UO-eMq zvf`yZCef$qFbFMsT0eKfQ@=uiR>=n`d8yPrOVLwDrHfc<-h5EYBWBSSjZhwesI@HU zXS^FouI)(HDAAxi;i~h#{5spBaCWlE>)Sajf4zKoY}3Ayya{VC!BORT!dZG@AcF8R zb?%l*Yc9%OT(ZwrstU=icm&{akkDjg>UY6BH4^|)>#r35-f2<;V3MH9!Jj@cjR7M< z%=945taohphCDr)w$Pn`Hy_gc6*BtPh_W zJy31H;}T3yo&;NXWX(FXj>eJ_8ORe+YJywx)$xcABL2ET!e_sLM7f>CyDS6ejo%0sHu>FSfs(W3xO3twSs zn^9e^elA9rrV4JY3PFQ9Ds_XdQY#zM|ITPhq%*%$8Kx~TsjR6gbsJMYv z>F%3ljfCF_y=H%1=F{&2G?uIz(X*-oAUKD0?`vUb;PI!C+o3^K7lBe3iqdS=g4JlFmzXbyXoj<$9#32=85yw>Rx{;g;j1 zeEeu9NcTpUbW3zP_-s$bpV^qu7lYIo>JgHbn<6^a`xh2R6;yodvRiXBp!wk{g&jx4 z859y)F>sYY#|xTn8zh+?q*qdAUK@KNzj`BRqCz42amd3Hhk2Y*&5m;VqrGXT%^n=h zkoJ&@a8a~~-%rI2r`L%kbOsmX%LQZq(&wP>z~wibTydI>vw@64>q(-diZVnl9`sx{ z=L7B@2`KLyA=Vg~J|P%;WTlI`JF#eK)9=#L_#|<#L4%P=q&QoZ2nT`}Ww}O&p-M@{ zaGR(K)|Hikdbsk3W$P7d)E`0r892G9i#9@U8&nakU(W>H8J%s5yg)%GcIp$e|C$Z< zsrS+SEA8~^w<6j>lWJ1>x7`9K`TYDe6O^$C%G!YIk|nyg1>S7FzB*V9qbS7z`0xBX}Q?yqry50%Ss}^e-s)`LSr^7|+C4P?uOx{rKn3k{O>-zjtE$zcxu&t<= zCegv8+0gop#EWZ#o~#&B*ihxQE@P4SV=gb|6+l^S0sYb6xE2X&8x15zge21|x71_$ z@q$sb_v7BNofDTwVM=+`uNS@|o;OY>;A*$jK)>rQ^%@`e1*P?(dbdnSR|l*@qD~=Dx!!~QyNK~^?iyZI*CFU$q*vBuRa0t0#oQ5t;3P>IOSRqj|9BKw=mobAviegOSM$FplS zcvH#i0Gj3d+tI7d`)@t>cKuUv4ib4xq zg;3Yct zMz=7CDgAFe9C>fH%ozh^r@0y!QrjQe%$JdF&2!{+mHsrVadnnn*zGnWLZhs$aeDB< zSLh_KUZ&IB{HFqSQGL?n)wJMq)4jEqi91bdD|_;(*3hVyk5a!D!_AiJc=OPu6s%}| zfnM`2Mogx?kfTBoM#MU9k{zY{(^bOaI?L(49`*BDch>p4CAgnY4?(FaVjnEkM=P_% z7-FEMr7PY*U*oqpd11rH+*_X4k5QZaRhm4vJkUm)=5iB#pYZi(Bs2FiS~5SQpHY;z z2XEJFRe#DR3t64NciMnYe}(QHj75Ab?TWIzbcwMqRhZtQSS_8B#@HsVru8YTk}hza@qNlM2h?ZN;&GHzf=oSeRNc2qy9x-EMR8Ob zu=D~U!e5=;3{S1n`lj~?qA!UNtc{|Bcv@36q*15r=BP)j7N4-E0~Q#FVW7pHxR@^q z-O1>E>zL<}bG;W1&Plr8wDrCv7X8}`*i>>c#a6GUnCTDc4t7dSsHlhBDxx{VL9Ioc zWWbkg;#FKA_k=iOF3s2tTHDR38QE?hYEHa3tK2kX6cocn#05>xsr z!1LVEuM^GrqHCXr*wYtuL&f|88zKER9j7H)tsYbvs_aZp#YLBP+6(Y=(cl|}oz8wYEZ$CWG+0|FkljWCe z^@6I!fwGuDpS%#xh-0$jzth0PFgHoUbu);oG*3ji(2(Du5S&j3EyQKL6&#{oueT@! zf$23fg6$$0U8WeKtb_BQFbs7;PeFl2DV2sSH%op$3%p%p@)J=^!D#4A7FS)ktTJa! z;&*Z)`tlCFLh>aVy)>eibIXLG;$;R7giF>@aI5P%SJzkVeT|t1csFNDaJNMx;Z}J$ zbf<-fZ=gwe%Zdo)EBIk{dqvJ1G?OXKSR<)>~=$n$e*Rde}M>InnFZ{@>jKEooK0q+~%&m9!zWE6{<6j&EQ$MBn;jRm|XHtme z{fZ<;5-_YeD>VK#iKC1qV09fB+swEn?mN^ijjEH(CZlya9?i*Dun*6!gvZ2y1|v8$ zFWF*m0Gkp?YYNz)wd|e=$_hAjYH0Ba9*EEM#(Nx+usBL~D7wF-7sa>G_0s(xrGq?| z{R~kj^ynmPb<{>|tEzKhtm$=?q#MPpEhqqVd>7<$d{Ia*w4mzaRJ=6`g{a(|Z*$Nb z(`2tDDc8EiTx2<^v!0>?aMcBWApTD`1bg8tHj3-*1l%0NEab(`;8NW?@XVvb;>0VC zv9Di4&s83N4qLzFs#x6lyPE{>WUOqFrNk&WC^(d(uHU81fFt4T`83z(P zOy44!p%@2|;usXLV=JG*_e0o$D`(gOg3%8MVF#9If&{WVI{5TA>RhZU(T3!;jLv3z zO4;;xng|^Z7<@^vE8E1PmNqR;y&nntCAz~xgGIjz5U{m4qF_|SpC(2^VXoCCMwDA- zz!{%jX&<=p4USCGoo|j8CZaoo1TRZf%uob~57-iAIjDJ-q zI~Yq*SR5xeC@bf1Rf7!)L>-Z`zR7F2;(cOCOj6Bp4QLU>h40!T(SJ=ncY;x`k-3z_ zH`G`}7!YjL{N8C|P@DAYOW&pMJU?=AaCG&j;nFkzaEV#{0u1L1eui|(3$;@j@oY*^ zbb76wcKaneqlDew#ExuccJN^)8SPQfFq^9FlY-jmK2HW02KZXM+@#+IKDgXlNZ%GR zd59i|oGhSZ$FZ^Ma5Q6}Gi^WP&$pGVkrOri?(At&xit(!`6s=~?ibCrq~4`@I~Kj* z+fNq(p`)BMj7!?9^jJ3V|8= zcSTTBA-)R+#Jk`R#2=>HoX__KqYJgTDrW;8#cOOSOE&%yqq$DO==}7lE9V*i(L85; zx-%-@H-Fg0ycCTlNC1>;$W+PS>v~*OM@5pA^Q)HHmYXJ&7-GcH#bf%zD=c*?8Vn6g z;1pBtopkw5^xkNJr}ON!(^t>XhV8S#+U7FHnP>-KG z_fB;A62s3abVDKqpE~)SK%Hu50!d#@3)$x7wA8=C7LE?;mb{7~Iyu=aj5maJY}sV|qC+9DWl+(DUPvRI=!4FwHQ((+{(FZf^D-_ULNLwyx!;*hRyS5*Znv ztOHb!4fV0)w#U)KM%+c+`ZlOD$sf>{>0d~}eJyCR1bw!QumVFV_dg&Q6@T!)Am}}K zNl*M2dQ9K@=_+R@))rX`T?ZBo$9v*RpkuY?7_dYntuUf4sHGH&!~;E}TjTT|B0sO) zv^OruY|ndwA4%t*ixmt>xfD@}NqS7r*|X@VFRHx^iB(UY`(4SPUff@^Lsz;Jr_Lif z&@Qaf-L{nLQoGNApzZDvhZeyoV?6uTreO2DAvN@OMOVr%!w5C~!AetR;-uKFJ!98Ah80>OJqH zQz{r`Cl0gePkn{f-^27H^|z>CbRqNyqrHTzDWJq>g<^xTro99r^)6YDawKGNoH#N# zIsi05|8%Ug!IvnMMYwZZw@E^aw=htMke)g`v9y~gB(zx)C`4$xAu1z@=z8gq>En{D z2uOIv-F_L)DwN8E&cP)KCgocX4CFywbo6*w}ovp09`f`KTgQ3w(WKsSS~gNH(QIh*d`nc^#SsQ<9vj@%1sjw zQK4aDZ@T44(?}&t?9?;ZLD94ixZZ2LuxL2$RG{UiTsqXjCAyp-X+?s(VkpLf)*qGw zB2_M_lJ3>A9;Y#MM3{3=Af2Y$yqS2~!hjK>X0j%Zvx6PHpda#;^s+3S%vAF4X5-+b z`sxzG`Isz9*J;xx96hdkN)A-%dBWdo%YZF%luT-pn5-=WmIO)&AiRXIsgIi4E6G8E zY8Wsgl)vTWbSR%g&zCxQA$r-xJRNOJ)wiHwkzOt3%)|3{((hV{!}9kx*=NaOlu#3P zn{d?ZKM|KtDG&vr8=VJ6X^xt#jH>9em^!>ZDb23gNK=Ls@w2azS%s=Yy}n z#0w?cJw^)j@&nMsQMDP;E}o_mX7vPVVUw=jHcHE8sBOvk2F*T9P?h|1TwAQNQ?%iO zTprL}K{~bU(#!`vC;OmB&NPc-T_`?%58Jx-qf&CNB}Y8%y0nK2BYX%tkW z(uioj*g~)b_5hLEfH0i;HlXt@>LzxlOi^g*1q~)?=;Xd>G|azwfL>RB7UlkKfkh{u z!PwU?qI)EMi+yT~9F=%J?Gn0C?{oqMOXMBqNTF&35`FP{G$50Y`R&-Mvk-HjF8>%qh=Q#!#4gEth@Pux%k- zl9#OM?1-Nu9K?DLZ=gN`WI9nGDU4-#aw_{-_x?8RTln#5{yA6@mr(L5PS=was&}L; zD9eSRd3@Pg6G6!Yf>D}evl^}zyWkHs4nQ0H?k1aSgl+@QEFt*~+KG`o&k`Zu6dj=l z0N=X$Gc0+SHaF>ih%Mdo`wQ%UyWwrA61OoAjs_Y9u@96*l8!H28a^;$Q;(hapk-o& z!b(+Vt8|R2b;HWLF=xStg)fGwSB-KERTAPnw!KAT5L0~A3pOZhlB?=X-1ThkZq(ax zYj7phl~Q`;0>|l0q1j+&utT%F9j!u)CkP4>7R)2s)@~&U8l`T8ii1Lhq>H-f zwKl*K$fKv$*mXY)Fw;OTj+T#`t5|gBZn`PAOHY>Dlkki3K(O>Ss=Ii46~U-v!j>%B zq&duLTeOu(vJG(r$CD#CI4Y%*G%v26a64Lq4fYCpjt9E`6565sj%~UOBfq@kCY78w zp_Kq`oZ=2k zN80j3OA;14gnbM6%rr4 zn%%%2em)EPA7_DlU%=+RIp14KAV!#XTw@-GTc!=Z*<%4u0=3`a9semax6 z&`as+rYKD!r&la3-;6eNrp4z$HD_uBWlOLR*=l&Iw-#<*%3jH?2`R+C{y2>)&8!;3 zb!=Hz(Zt_vsvN0~)`X&l!&q;ZUI`KPwe4yWItlGQi@puE_&OF3{(_F|FNK2L@;Moh zOD5#dZWcCO4wiOT;-&@CtjC4~cG03Ef(nZgD&b#=JNN?wGDUS6S4}_ZJ+F-ucQWRe zNT5ev#FiIeT0~vC;lbYL*xDUj@}JWC^tdzn*S9luWym!Q7keWShm)fN%ZMsaTjuFg z3XbD+0sn{rtNH8(uCZ3h@Je}T zF%CY#(oT=*y(R@awL;fP*UiS!H54%IPSbIE4nycvA#e&TtyH2|{0@Pq(#!e=LCrW6 zh$ihuXFZB39@8IoJMIx}VoAn+tG6B9NH^a<`qZSuUoR=2NOLplKu$aI5Gpz^o7# z-@N2Fd*z#H{?VOL@#hqbe&ZU?V|tN55nj{}Yqh;X+<(FuGZ>Z3L7K*+D$xUr?8x*t z*BE&$3P!i-juyT2?F_zsurdv$mL-^kj!voGn;knCt-tl- zZLgUr$p3$Wx0SqPPiL=)X5KeDSXRSz>kaf?4o3C*$olf|IwU0$&|&)DVFK9-b!aow zd_hf!T(MhUOzW$<*T}UfkXH)(25*y@;AqWs-Xg8!9>I=p#<*KG;ILv%H^KmJID%w6 zpa7+_n-W}UUCKn;UKBSwD;nFECv;K@CF8T%!bU1BAsSv9#)3qVJ>znS7;LhZj#a40 z5hx}$w%G!v1R5M~gR%%DY=WMSH;e)6c34z4U_@Z$1l=V0_U%#KyNKFsN}@0l^nY2>9!?eFO!nS& zon)&oUSZLROCttx-LJ^)7dRaKaPCC(Xe366C(+yBHEwB7A?MO0qqmS5+rhb|-BI+jnj;cFNPU zS`!qrSBa*a430!m@90uir9{j~R>kx3heGMpB#iqp#gW`o2Dv`W`*Qn`HA5&b31``% zO;aul`ttG<++~9m-Vg_TF;uk{LMo1X6j(CNU9WpgiIcq%X*g&Wn5PlVX^PfR59>&h zgEB=m;axp2E0G>Vh$Q_aYI?(Gnf1k(;*Og(YrI(hapYO@WGsG|ULx&Z+gtivK!Z~5 zm%0SLAB}0Z6j1)vS4uxFgjx`Fp@(i*2HN1N(jGODRmFU8GPX56EXmj^>B0>(JLyZ> zt>-9$@)fO|f2R58;(hlpA(u)GVQ?(srnHPBQ=PhW1f`MKw@m$*jpD*8DZS#b?4WwI zPL{qZ7O|tsPrB zK9c;7M~hh72bGO&F~7UuH(+d-+~9Kbm{0;ziuJapT6{jhhjXk<76TD)FSh zX>A9*t7XRTq(%q4+R3#^kqX?m=h6wfUK%D0*d)D6WLcIprX;DURu3!^&CMi9J1GUc zih~^n-j%3+3*-izCB6)GhK`8XO_WPD^&w)(bn>=yC4N&Kn^j=F^bgVDC(+Et>!!^jGX7=TX-GxlYS3Vn$?^S$H=WXRrIvtj zN7pP-j<#Se5icc5WK5yH^ZhJ}h0`PzKxwKmi!q9-CvABhJ~XuDWP6-1Xu-b(VawQeO2qPv^BNqD}fh06)pMByOGZJ3(w9^`< z|Is2;A1H}JHD@~I?3L6*^)=}$dzSx3eSgo<=U9>cZ%8vn^=WSe5A~9_LnJUIst3KZ z4eOZ0693ElTfg=uR-~6iZ>U|nH+q9}ZB-R$6FZuAYu=-_7&}h!r32p7kE{3Bj{ms& zaP9c-tH0jOlZ|_ogzI=Ds~MxbtL01=Gm)Z*=`-A~&BYpKf}R>@MaquaOL*~GW!6C> zmH_&$o|>oSd4Q5vM&-unbS*?6r+G`X;P1GuoOgrQ(r>$8dTr|u*!d!N@be$`T=n|P zahmzE#V*F^GK-~c>aXn^p0w7VHoVG(wwH^!N><{7fLi&A^UZxLUw6Jaw(@m?;|#*3 zokn5TUDc-1U#b8G@)^$6BwF91d$bOHUF*-?M=uiSways2XJeh{_A5MMiDV*H%9@8# z8lcaesSvh6iT<@vW>L+-l4%xhqC;=;=pEEzIXlpAGetv4e}yYM9J>1yR;Z*e@202x zXYW?e`OiM8o`Vf9J$1Q=^d}a>xx%wTDj-)e>xU5kI7#igQ=9BNC6Hz^2)F1ByRN-C z;|#^7${?&H9hxE4x}INyLO68dJp>&p?^b{8KYI@=(t99);9kcN z;031G#~9;^44KfyG!}QHx-6E|gP`QXZ8t|hu}eF#HU*cSa+c=g&q%sjmPQvN)H&#( z{=t7#A2{uWe^ftz+6(`pesCI3HkfF60Y%{=yv~JA#nhRw(Ecj@IFqQiw@h7i)2kj{ zI+CZ;qJztBRE-WI7dhI1tGHSt{3i-5#+2s)O7$9tyu)v8&%TK+?!x4EyoM{GL!n52 zQtQx}=7)8)uo}J?Hq^17TUB|bX{bf5?@#i5qqYIAi%~9gTGAW|GLJ#<4_5@Yvcx~J zbexaEKXLyT?8%Y*?RRQ_v2Xk9&R?_@sWY7+ufib7gE=D$NuDfZkx-~MNnQ$S-Cw)s zvev(^ed)5+AJo3|Mj^nZhtN5W$Sy7^7cd9BePu6oj)6gvGRf6c_cW3dF$q_G4kQYb zX3of!i$mkRqG6_A4A=aL|;g6y3|Ei=nR;H#iA57O6V} zEp?8>VAL1lh38g(upiFP??3*`>WB9q$Cmg@4E*@3)y|J?f5-WYALEP1P7#>hzdyzm zukcQ9;0|^X4!pkG!Mp?qhDnVd3^uW$u?HF7g#QW`iKir_;xs;<5b{~@WcL&XZpt<% zsKO`fXxjbBndWQV$Ir#QL3xmy(y~k#Gqls(^J07JNx4 zpz-9=zU3R`;hhJQgqoM_di>_rAME8FAq2C_J;T^~bBv z?R)mQ)xX+*{JGWLaqV@u^}~A#qilXJzA9Y}mLEeSlKag!t-yYZbtn`mr*8Q(o^!zU zM18IjtI07}>N>7O{h|_Wtej5ob>O_m5dp675If3kRw$?PK@#MIDhU5zJul-^43#Z-o!|3C&!*$Ta5Hf@gflS4Uwcvj9_5vnCVF2A3m?W6jcL3oZJJ0-B_+96@vz!0o z{MFgb9k3!=ME_EKaQMUqy5Y*N*S`6tZ79;%L2-tL?}A0zzznrIKV6Ak#AG~oS)ggb zbw}+)Qz#!h^jGjU{S~y=YrMZqs_qgbvG|-q;?4i6d@u>M>aK?l$~WPjKivEfL6Lrl zS4K%qJ4^bs%_3=GzMJjoTMBo~t)-1e3ox!Xn6G?jXU(|d8Y7S?1S;L%C2$>s~ zr=rajG5t(()ai8Ra1gCSDp#&Kh+y$bPT^Sd06Cp_mrs(^x<0^NL5lki(9qcMR6{wn zV^iu8=h&;ENS7om&3glR=g8V`+;svk?1jv_m}k1r9Yu&8dd3&{u|Rh{j`3kLM!R3t zV#y_k(mE85)}jC6nD1rgsJjPl}}QK!pVzh3+9n_AzgvF#;L zLEhzf+M8OR=0f*`#zJiH=Lq@vZ4i)yBudh3Bs%oHUSpmWsbfFQn$UOueY@-)UyL0^ z&zlM(8XjvsNssJl{uMoPq2SXPjTsllG3=o$<5kv(Q;y<)nLQ?`%Y`zru)i2NV7=P- zRl(u7s6I3LUw3V=BCS_RQ%18r5}QqB@kPAw*L?Dibk2pV8I91%Pak$YZ+W>| zKZ7yqM@9BYU82tq2L0MxT93!aMcc+G6e%}qssKY4q^Q6e4IoYZ17!4hMef6M@$fS# zQnqbD7k=$$c(npL6pD0rd}j2RJ~JwxcboFp@U5T-2klswbDn%{c#wSn7g|t_xqf&) zu=QRML9D)wQBL#90QD78^cjm06I$hS=wADH4Bg>R<6;-jQ)}~hE^Y&>(;R&oLrVT8 zS+#A4B;oSX|5^pBD2BlBQuEbI!5<1YKr)73qk13K7(#smU_2XZN^<~f%+#u-9han| zndyXmIq&O>x(6|a;lS_-%+iBtf?el@rKpEy2mvwC%b# zM3@c0kSkWhPvKu*Q^I*_P0bj3bYz-;Ot2cGc?JfF$z_KaedfI%9%(1K z5yC|^&ss@&hB6MW=dnqMHo5YoYGYO8+P&iEj-l~IQpU&-&yP3y!3#o3Ar#vpL zok2KX9jmSOnCZ=dhd>b&BOVh$GvaP1hjfieR2!f9ksN6O72t88h!;TU>MlmSq~T~M zxozHw!!%$}wMJXMG<%k|uvmGX@6r&Ko|qwn5JvCRc^xBj150|r~)pzcGm%Q{uilY#5b8Ps}c zbINd9Vn@|gDMd~s#!6J#NE04fH2Hu5BDevT@jnoRoC-RxqXoO`pNQ#;fbw)!MiYIf z(zVi%>8*Ki#EAgL=z};dYo#!?;PF|@OK?!q5~ugw^~*-3I`Jvxh0MB~V}#h|Grn?F zuEv4oZkyBe>GV)uG5kLJsRgkUc1Iw8R4W#&oa4&kl6BBC2hUxUp1*>V&soq%n?)8A z`|lQMPwqs%G6sD|p2CtuHloabm19;=87<@2yO28}*U{T)-p+ulw#iZ4d9ewxDfDmA zvD9;s#0=*f(Twkk={k|d!gfuci`?9hsqL7HC<#Q<(iRgRv3J(67rHs(YLdE*PUAxD zUh0$3o}8mx3o=Jx4R&UV+0TbPbHr zLj7stSeSh0@f`2=H1#R-9CdpXz>-s5E(?K1b+1s7NhYb96fwsmqJ;C<=%v);0ju|z zE&W(#@`P8-=;QHup)i#*HvT6e3*Q1KFCL@Wy18;N5hznZfVWXC(jTfO(e+ z-NhWtF*#KMUC^*kZgLF?)<*hDH6d-}xV`cG<{#-PNE`O5O(aZWZd!7N#?2VU@adD6 z8QS)S*>x(&r5rVk&fA~rDN={XX!NrZU$ya`O7m20pGYxz&-}Oy^B9D<7r*>~M@Tua z6p>4=s?!3%iXMVh ztljp!o6oWs(snQgJv2Ix*_@%+(G6|=Qy?kwQcf6uIG4%o`iJxAd?h;3-Aw-6owBiV z5iOKTp%N|Fk%H6ZU>T*@I%9lT8>7P@gck6aqdr<6GHsG)#DNgoOLbt(8l7_+W_}$Z7wh4rMxoe_qkrhjPS$#r*HTGHC(!c8a3Rd z6x1lGpuYLU#g!z_=yRgnuD$y$@*mjwz1q9qD1VP7P;VudE)sL86wm}6K=l@3%Go5m zS#p$LFJWi*(f?ij1kv0;olLg5i!f=!Vs_oaYKV?d#u>OqlT2jdsraj275;gAWAiXy z+dRx4>ft-bR>Kk9G;B4MKstof+B~S5kE=&^9=bZb5R1@2Ri%SIC8H|FXoo?fS6LiZXxK21)oM>E0=6!fDLQTWpXn>w!L`lwr_5yP$~yh0 zCpssG&V}~QS(t9XPgM`y**rwdjNYGt>CFau> zPpXMC+~<(ZaCh)YR9{_Rml<3I@42|Ad5dps*4H*q{Wz?3I*1n^n|~y_q+ZU%DOX(` ze1^XFSMDPhHapJwAlX?rQnQpVj8}4(Y+|PI2>kIGowawpRW^x)AkiHKl*tX(eZ@6)i(^p$55<8wIbCHr%e@LKl%sht|V+qJWrxrisg{`Cz?0nfcF4J zBlG}0H6r2o@&e%0E#4II%BbA$q~|4@n%vZRjCsRF7$D#KukM2vwf-CH(677Sy`aT2 zT!{aCeg*@Rgwvd4=bni^h6yJ?+2^7f9m281*m-j-fsSK`yIdczTw7feNBUGf;qY-* zr25u-T-*Esu5NX^fA{o<(6CWWu_m1BF2sg9hF$33^B3W>4v%&eDFhMS6PCm#S35QJps zv*~3vP{lun7iFKM{-oG^MtykCaqax0`tWH7|B*Ao$|#Icc^@;}WjLclp;G%ypCeZXpq91mlSB6iEGveqr)4qTQsO?euI>zf2N(F^-2%T_Xvm_iwOO zd-O8g*qk_jj`V&MNH#|K0af;9p(zy64^Cr6I(qz3^;@II9?`~Vg~Ui$2EErLO|uNQ zfa8;>YIo{zeGF=h%5}Ux^8qkORh*%rLKdF$NJv7lFE&OKZHmn0XKtAbA{e71SMNuS zg1nxPCu*^Pv6bSCVUo6{))bGHa>x3=9(75X?F7mHU;8kw~G7ty3pX07=_fsE*V|rYVE8 zV9Ws1fPVS7k0wp>?fkA~nv}7UopO$fqge_Al<3(S)^a6`B5uoJ$p(XqE{!6ODem>i ztOnNhTfURTonJgeCgfEZq0Lf$ME$WhputCq9w62OLH|bL>{Gw4_P;&hJK9 zsZ*holIHU0Fc`>pgM;Pvj$`>k$3SeWCu-zBSoDTnio4?=@ZIz}U0kgDr_R52nuBo3 zqo}g#Q%tm!)}-AUTC`5#c|VMGqzzJBkmS#m)%s zZeGmh>5@~PCyUj&eOwvy(s*BYo2OEPsRj)0NGNz6_{u&5=-e z(xJ0(e{JFfbge$)sL2oaW{~EAd=+v$Ymw;5Tox$>Z^@fd(4QPy`Fib}iG%y^)$Yzc z;J8(6$`CrwaU>}z-I}?V95q=GNcNo@Pr$FW9!4IuLj43gMP0^LdG&?l(*UGy=M!*oO2=`($v z{0L@{?MaMLm6-+KSe%lO*n%T~U_ z7Yovo(~zHnEK8Mm#6*wbO7ff{1$swaRLz4!`tWGzh6Z8{^`V?thYn#niudZdMiT|t zOM&I`K)S}g0(V;ABKv-%ATN}-dPKr$Yg3{xPhzdI{^J1~0lv`(PV1b%H z>yRo^=h;ytwU#>1z$8IY02mTJMoPah^^vR&t-RaDRc1n~4&3Q%lE+PJQkWR|_oprY zfAkNhEq@=^HedVw=oiqO>uZ+_qJ7RX?{(XOC#w#KO{m-GyYZFed^!1L+rM7>i?Xzx zbUl26R|Wcpy|m)sbKjvuXRwp#tiJhh0x=CUVO;c+)*-&ES>M<^6)4AHdlsuri}Y!A zW`HefkJ5V4F(tgO_NH9*q2${R@gm70)-y;98_MiFM=0AH4Er&xx&K>garv$B`iFm?hP};RS%f2f{Z%x1L zaNGAI+)%!Ye3>?fJzaO~V8$ry(Cm4qDVH`w&WBYb=HIG{c^Q=cF09OM&SUr?km_R9 zoyll~%bLTC!wqucoirU@w?H`P*vl9d4Uinu*B|N6I?D zPR2idx$4XN=x-dkhrS0hg`I_&zS8H2eML^AAv?GVr%A-3)}e6rEdKz`k)NOQP>Ie4 z-g&0CRxn0go!vD{b1+76C3*URhs(BqY((fdrQJRpZc_~x4AR4)L$&%$oP^mxzuYAb zlB}2(Qz_xEtwU37O3EYFUq@Y6%5%H%;%P93mM;Vy>+H~LA9(K!1~W!+W|U7kVPEHB z+N@FK{V|)Y*TNbd!%+nW9*f;!iKCrB>(Eq@%0J|8u@Z=e{AGv4l47FXaQaNcfiaq% z89j{;J@ro3ouY@j;u4x9c^!I0Q#ivQ)fLj?X&RcgbAlUbR?e9w{h}dTRw4eoDlz4P z1hqOAK8cf}`nV`NNb5ZH%SijoIbI;}orpwe2jnToiHHL%C^oc&JpNgnII*1>wU@d_ zxtO&EF2^YVW$=g$j2#hTof>B-G;|k6*OHwHPwA_2YJ#4iWv`Wag5$m$TGI%*>B~Wf zIJb%g^iiond1m>sYD+*Qo~pl}_vFxOjWDt700O6uZw0wSI9s#9o7}1jue*Fl7<7Hg z;U^3PCKd+y7-ecBiQUO>pAwO}N;s{%vCG80X-9Ae4S64%n)B7T((Tji&P~h*82ttf zZam2$*&M6_tL?fS%ow%g5HgN)SCD7asuImRxjD_}JkRHC&sHXKDW8+6 zZ)cvUcXDMXdAGad%JwMD)fB7Ojba*EM0CPaqYDIMv;?vTZY0yxX=bNSo7Sv8k}HIj zq&G4*N_vy$D544H9QtUP6!jb}0<5tySPLL{W%Oz_SwHGFv9W-)R&?)#CgsgyiVnvn zKI)Rtq`xL6jjHBZ-$`X8S@4(`7?wTXKa6Us29qF@Ch0J0c_qNg=r7M>W{=-AOG=iK zb7iFgnxK(s?8Fp+watz|GqCEsF6u)RbA_rhjZv-d68&So_Z>lQ505#G!@^CB(OL*( zqBK>+fkX?Yg1(;!2U!XbVR7|ozPk~|sQwUhN**$0Q7uZ1*}B48IXgqfa0hm60T*6cgavx1-Gz z*To~c#2Hbc(cA_}Z$x(Jh~DIRibEtlRqf5kwyYS`ML-oT3y(I{0Ws_^rCf9zM=pj@gQMTe!@@N-Le`CyvCt`y_rF8J13&voG9P;6<)rrOV zkHKbgYJyKt^@8c?z%>^mklx+^z1Su3r^FZy!HxfQ&GxY}%1P+&JX~XoDvCH@D9wQVD&M7fQ2^}N>m3XTD z9`&XssvD!sRgy4=Jq0Vl49QRO%4j@Zc<7b@Kc5nvF+)RUOGYNDgo_oeShQ5RR8t?) z$|+HR>C<#-j3#sge?RW$s@V9%#4cgc|KM~ z=h$CD(dH!Dzn14lDW$u{?_WNE{pMD@GHNnoj7pdg_a##&1UuW&B?_%-Ce5{)Cmuu9 zXbmdm32ORjwHxskgjrYI@f+Squzmo|vf@K8R03g8MFyr%1YV3k6;;}2t{rme_)<7g z-L=?-9jcKh`I*9nt=|&7n)1dDNhxj6m4IER45{ zP`G7QF*^XeabsubjX1%{#S(kSmSoL_)CN^35mlp)sF-3X3Wo81I+6U7*jO%zb?*@7 zIt#@68kUF>l*r)=nc#%lTM?f*d{C(GT;iPv1gTLOj+$TSD`73MeS(f>g6g0Y>r{r7 zNpjSlZ1sIe4G)=#nX#+`_5p zh|?q_4oa#bKCM~=g}ofB+0=}fG-1Fb`L#)o-N3)%ipT-)0;#ziszRWncJ-{^PrrVx z=gjRZ^r3D!)@ZUO41!Hw7vaK4@JHsUQ8X(fgAW)e3$hQ? zXA2D;L`baqdw_}1({e_w>O+8#JNOla5_d^2VP+ZpGsc?~Vz2%-punwD=t-=@4qN#7 z{E=`IKTN{lZc=r@G@X2UN$2`Yro>%1APRND?=21n??y^>XQos4sN5S-O9sSgWQ^w~ z0{FxV_r`HJ%Nh1@B*)){b(J*K_Nex6E~1A-IUed#>gXTV4c^npT(V55UgZQ?^^s$s z12r^3LY_YNRap*0>dkQ){n}^n!gekTtp%BP!ZzZ7CV5@JZ-C?>L=gW%lP9Z!{s)I} z7=Iq^bqlH_$B|1aPRx$!t-Ty8?(AB}GBy!u736saOcvokC1JmY4X@px34#Tq4ae4| zDTyr!N2-(}@uZmUt$Z-(XMxvZl($t*lirP-L+Xqdv4IOU#HdIF%KYL3Ocpd+P$fi` z=p?HAcXOl|hcA*jk99;UMk6dOxLzo3WKl}g=))H6#)ssc!4Q2ulaC)b?;4)Rj=$MO zoVCqzi83szdnJ<=yPMaV1(wf2^#fdAgMre?ez9XI48|r&RmU2iLO0{#szFV!>Gyt3 z35S~-W-X)UHD$>elZ30~cRGx-C>os3?u~G0DJ73Mw?zNg z8Kfkjk#WHQJ<2eS|ueDRRI< z>#>z+-Oe50OlRZ~G8QoP?*e*?hrFEvC%X9oJZ@tqx%lnWu0Bqkfe`-jPr$)ilk;Vc zfH6vqD_~%A0*DocjAcP}N&oe%PgaX2mtU@85(b^4?hr{PmC4-6G)6VHyD_R$mN=>e zUy9y#1#QiU(s)aRwBR)A=kQ&2pTZ>ibMS1;L8T0f1Qq{s6?k-mXISESvVlUILuN7< zqo#Vvl0|3JKRX%6-eZ0a}%i#+-XN4q5r|6+AT ztlL^-E|!Y;im5g@0-JCOF97ji7P3wb&8T6%+9Ih(*`~z6Z28p)0JK~(mRAPJewN0Ya+zLzjTNv~qBWw8Ys>JF(_JdvUzn)K( zCk~IZQREpkUPZ2Z6Dku*^JlNWQu_4#B8*W)eVN&(I*;>s^3nxold(lubxN}Dx4)n=z5b!(a~DFF#>Kvze&BaCMp2_V z9eOqyJo*u(H9p7WvSJ!JFYuY4jt-r|Bclp9v6_M1e}gNf*p1qJ9eO@iMt$1ri^LdZ zDnupFaDA+x7$7ue;2KqldPx|&=ff8zA?xp`dJDZ!%QzUSx=dE;UPu_DAi`u2A!Uoz8j zjkcWAp}!Qrf|Zc>rGJpk)_;(ZI)t)8#ihD9Wnwv^eY+>{z#DpWCF%@5?I5k(kLvj( zPT%koYV@WBZ~TKe%hb3WFM&8%c+IIr-H%R8;5HZsDT~lmkC#-87N48a|7?-nZ8*aD z^io{FVq7~s@-NgnjXDnBHZ|72zz!VFK2#$HmEcE#{j}}SYv?g{;5(aBt2-UM zIi~3XyAwM60?rmQKU>8!-R8eG4b#CH#icrXc$GgWJ@#i)_78_1d zD^gaec&2prpfYcy=F_OZ8a;@H+QzmqZYhbhE&;22V@tt{pxMq~$*q4BEB=s^C0g(s z$AwGZLq43n;{0;@CoXdrW0WE!v8%*1kCX(IYQ%NK;n{)|DMe9=DLND56#IkkpjOra zqdmCIXysMtcrZrk+rL6Vcr}LEC1|TnSj#z%Z19dh`O5_T2HGOnOC2#8_wAxc`Dsrz zij5@4eX%;Hkg^W>5}t}_QU5{tVqvhMGBaM5vs;fMH!sIer7_C+yS1`HnA3@xg&`8; zbSP9R!)wvs4r7O2?7~#Xa3h<6A8>};kD810h-tV1KIL$#p=qcQi7Zt2Y%+GJHcO!} z@Yp&KG*Kkw>4ORt)7Md3a##HD`SWyg7yZxdpj9L|IT)4N7zF|*zDHB_MUdOuYs`^k zkI|_IbFfWc)D58=lH78MUX7S@PJJx3j3?0bl-$(127}IPFQ@}3wD}WHpq6r3B&yrk z>u+3g5vmC9B=c=@9S54V^=in;Rm;Zc*YHah`pZt^b`_r{0mo*@Sd^>Iic)@k{BE=Z zqYA)NMZ`F(NNGuPBuw4`u0O>=luHRbwduuKp0XrkInx}8-?2lrZx5FtB^R8Adwz;3 zGN6!rsRNNhcN~S$Ytawm@LP@AW0ZC@D8+cko}k<3X=lyHbKN)Y*@0X z0Fy3HlB7<4Wrmz;g4g1(S60p-A>s6{NGR+~kgNb`k=#Y%Jvi!;x}v~D2WQGk z-LFVC=A}5p7`40zRGRoyM2w=_NuPe7*Xq8+M;V)%EBZhTZsJLPMdIoCTklEHl|UU7 znd`OLF5ak7Ajc%pUm_4d&#Qy*JcS-FlB>d{F)yKqB;-{t5=S2KwcY5&I*SHsAeTi- zk+986F`t=|^yDP?9&oHVY4QU_p)dA)C&y7g0We07Wc+jh!_8jm90QXi;ovOd)Pz9! zm^GhW4J2MU#Y&COOJhQT4sueCgoI&?o=GYB1Q;j`;ts}Oz=T8irQdQ?cc0Wq|i-Ce>y6Ss9r9x`iSjBlY>o@YTBt$P#Vp||=@ z0|CY5OUx#_jiSzTyr#!yO~{tgO534De1N1a1#jqZxmKpv=hS8HZ_r_w|Df)egPzXg z9pM~-lK~Mhyt#r2q?$-^tDFI98$`QDX?PfoS`KPEySt=UM$3tN#z=IgkKu$uMHu3v zwRI9M#*wJe>tiRISX~v2>Tq)ib$B_*Z^Y%r2FTTE;A}N&kXAtira$v2ZT{A?uWs)*B;8hi=s67?XX|A@IkYT zleHl$H*SV&mxfVwH0=8`v=;A^r(l~tWjn8k-ixmTR)Yz;3om&!S%*TAj?vSx!-E?P%IWMRCN5CD9D8g+x{glgZY(Jd0Aq>ULXy z@Dbln2cP8?RO1>C?tQbG!lk{gM_c1;D5D0GJT*t8rsgvvtDc0%fF63B^Dsy{Ogfd@ z#$gA|YjUD85ab)iD2aqxCLZ&btIPzvxQXRaLkyF!jcK^!YEA1fBp^j7ky9hBa`B8D>O5CJ%qW7FbFe+lsZZ~d`Y&`3GT#~lv+_# zUoS9%R;P*VN~PJeOk=dbqo3fi<2TgYf+b0&8ZxmhAN{IrjJ9fvF5NNjF9 zQd>Qfi>NLUEQa*y4Es*oO-&-+Qlrb6+^TvzvOa@}uz_TXIkRr~*(sQEYw)DjWlsMv ziC;8EXEWq7M4+ll1ZDJjbf6nNk(Z*J$wQan0!?fjU67u4x{zABmc9vY$LGNj#;DXn zzfKUouoTdVy@k6Z_!zi&a-TDUybFN3j~Hc?I%F*OE_=n`!H5Zn4$Z{B9d}j^C6OIu z)+Y^PbkVA%vT4fw>Q@mbC&~$mF|#y8H>O9OK1yO2eN;cYU}dy{-5s@hRS;KH$enF= z!KZM{(RH3Q<048&vR-`HDWt|qgfqw7yLmt9m=KUV^%p(c)!AZ ztH|Msfm3RX^7|bBuYEJJn6D1sgBEY5XGWiPuo=c1^!)V;#N%~8wMRc52}cI#&5vPG za)m!AnFU?w#%Z)**eQ`B^X*wo20Xjp?9LF|uF)83u&ox=Dwiw5fB3;6 zrSNM4PZc4ftBItK(=$0hQS`QC){il?^=rAzVEuxdo2SmwOm%3;oVxN2V>HN-So2Cvw2-6G z`~4KtR$#e2ViHhBPoNXs@m5ocG9`8%9|E-jjM2G+9*O8p<20i4#F$omBKJg4P>b>O zu;+4{!i#k*l?t62qkJ_+r|wdT4&9M@Q%}6Xn+D+-WEhl`JIj_y)K%y;4X1j~ej6{0 z+JLJ^ksQa$XdE4)o#=H7b2MdJ86`EXAFyn!s^FBJh!4ISFeY&ns}GQU&>Eyz#o%Mg z!icaUBsBwJ()#F`>YOE?K8i20ob>d_c4>}#i;v#G^99#-9Gk=#C(x3ER0saSB?3MI~{zjP{S{DZ+`ktMUupct45(RZE-N zryVsHfV==9!a&9e3UQT!%s{tbs`H@Pm0^tL&udFglmV2dqasuNvkGj9H4FVC8f^x6 zxmf74h}@4-DRLSL4JJb-2Z?(8Dkb9G4#u>BnZX#9YafQ^+k00jHLa)#`zBZyVQQ|Q!D&uL4D#R=j2p8C~J3f8r4xO*eI?8#pMA%57Mgt4Snl zSACjkr|MJGPCeK{pv`IIRUM-0q$Z{C-j5HBNuy{cC1Zp`v&+(mn?kG5-X{7d;#!|> zR|{5^sPzHV^Db*MPr1&Nq5_~rmJ6u6x$#f)$s zzMgX!rcYB1w`$C;=Z00DbIk(@+6GVz=`s}XUBp(s#gfTAO-9A zN@Gor;`g>DomDfY1am=P8j+G|(GPj$Nv-1jeC``DT;WSP$epzaN{$Ls*gi{MWQy!Z zOdNUg42uL7!c&6cmCM=coLYffw@^Duo#Ti87X&uxNW}z`Si#1_Z9qkfJMKnPBOo?F zk_~H+l_D-K#xOg#Ph&L8gVXl~hANf~5CiWPHe*>Y_fpmQ~_r3g&ZJ+x1k=z}A^>jAxxPgbQd*iw+G=#rV+;`G^H|^YCR4nS?)WMsb_!+Bq@3bV(cv|mdB;yL%H;?y^ zJJ_}l=W2rqua2)dVav+()?4tee!|Ceg~~iRy_#5qDottCb{uQp;I>&rDX*P+#7-Gx z)2jm;Qioe37=x;JV|@};WSgO(jPb04p03Vug?E8VQu#vAdFXO=cfH*l#gtGwuTTgL zxrBj95GwHqc=&`T*UvCL;oXPv*|UVLcbX64Nbk_RKp#IB-jiq*btO&sxKq$oL(@bv z>p;g~_U9 z(}72=Yd2{5`*WV$I&(=ymqL_>Az;E$i-q>n7#1QA z0>O|`X?`5&Arh0kc)*$W1Ns0BC%6qpjub){MU-=pywq$_wrb(_*wCcb^kboO4?g$s z!a4=fze8=F?{Ix~AnJHenXl*A>L;hXxc#IA?bLKT!F~ri4OiK)Xf`$3CTj@dqltOo zW|WOp9xFsgTzmw4FZ|ch+A^x26dyIVLRiF_owi6(5)Ml6gP|dOT$Xln(GQy|He>2& zwB2NeGN&+rcee`FQ4O0Y>`N#VcMrGXHg1!2|ES&ANh?p?ZB zQY~0PeH3{{gbg!B>H!Z1_9w~C8_G!@oaUJ!TGmqBGD?#t?$ir`bsqV84e!ed+eA=x zLMWVJDgY*erLEbz8v!4F6+3Y&7cSDV%NATZJnjyKcZ7@icb-E|k36K&V*Kq}E=H1{ zMUQh@COL@zwC)&r>VPMObw4TA)y!+-*<|1$_E_1I0tkso|qv2Ai+bvyF zYMN3fDvcQ=LJpGXl%;94SHODN(VtYxnx}ttyTiFxpvn&ot;(h^G-a;AW0aM{j**w{ zm_sE7X+LatAyT$vf)347Xp5m_U`idEZg%8oT>&eBxG@B8XMAS$On7;331IZ-4UM)t zQk#k0CdMRPvHu^5BQRR>mL}AP{rfHyaf)G*>uD7+f&m8(CQ>yF1|8)RB9N@%sR#kq zKO!YTIAkQGSpMRfBFQ4J#AHIEmGjpg-~>e&Az%H>q7R*?Nb*$lKX^6T+PgFy!S4;@ z^i!HA1YOixkTC{uHxGeLgtm)hA)z^g*A5WEl2*n21G?W58KX3bNFJe&9*a^+k^11_ zwo)lVN__`b8g6^=Wk8|sL!N=}e}FtbM^;}VDWj_-swa`9P0Sq2p=pgmPk8A-bt#f1?a}jKhM+j0sKcazo@l@(I)2O3V`r1L2%UX)5hm@bklXl8jL|02)s3`y1jt_j z&?#>J!Z@;>=F7YJQ$UtI-FyYQcLjwGhcw_3P(6N%LiZ#wb=1WY^9+*upq6+heYD2uzpM+kb-_E@N+P8yTo%D=lYh0kb6RI~eX(e&rgDp*%_^+nd zB7PE6b?ZEL+`Y3vEV5y(cRPr>i)K(Xs18%s2I{cy;%gD!pzkkEvKRo4&Mz2S*S0bxr+NVpe}P1(5os0MZ#qKGkh7b4Ia96 z#uMM3b?HjJhU|I#AHRa|sttBqiX1yqI$(W9NB6i}6aD$^}u;y_TkM<)!MT_oU5FFbeFXBG`dWS?Y zL0uz6rg>a?oSLX5gS42`5{6Ja0L-J=3s!dRfEAKXC2!h1h;>oI4Yruh?K4k1A3^KM z6G1NLh*w6h5luEm*W@05nbyg1m+`q~b>&i5jtLvI3DYi1_ zEjl6#6hw|A*s4I4uyb6OP4^TI6*la(+-{MJnyYPp?GA7y> z#f#ixo+Bjuz3bX1dU3c*_{v6e#24qQX~$D{d;aDMYIODX zadHlWDZaQ`2GgAgfqUV+pX`MD^lhBcXMzm5PbJ2v10wG0{Q#b~o0`5gLb{1XkJQuX zN1TxXd&V6M9=U~!umdQ1_exCM0iBB>P+Tc18`s(xHTb>+h>@HQ#mm?`fybP7^&G-3 zeQy|2f%0xQYc!PeyWJt&hVNe23Pe625|DCq}^-4W5k+rVf^UtQjjq zqR=8vQBi#iXpEAX2lABffdeVz7cpo|ilTNO|Jvyjc4eh*!8x?C8u|14!I6zoz6^wo zQF<8WXl}kpO4_~|0<;>?r+J|zZZ~79?ZfM=t#ub53|#U{$cVxD4v$$r@VJn zk>bRsB?h+zSdT>wT2|1e%+Xc1ILGMl2)60($AWWKEI;J*jL8EVqZBw?jP4aQEwKCg`ex-&3II1R&?A__TmO|TgT``dYbFk8kYylIIAMb!Yyr~HMWJWtJ0^2*L%;$LQ&z$$3E6V6~F zwHZm)Y^=)zuvRD^c^KwGA)427c_GXsA!nsvj7CF#LLOCMkdh!9WTDI~Qi_Cajs!9n z;aGeRIE?$ohVXiE+)7G{(q&TprFdm@FiuF-8gk>b zN7273K$J1av*c=Bw1chNG0a}O>iPU^9Qh0Q@SUYoYhp#YmOIX)wtm6yf>T4tG6Ba~$L2n_>Rw zuannY!BVNb5-6{oMJ^#kQ-zy5Zd_BtBxH`PT0rNlNps4jqWYNhTg7d98HUV`6`-!f zii(-jB;SDehWIoE#j}WUXJodCpqmdbw)5b`SvaxR=ervv{g+9qA3PIw^}2LNAI3Mp z8@H>1SZPUfLsgwm$CzU_Kdp6B^73+JCF$EQGduKpuO15vz58NWHb1V%m~`k|`f8Qo zb~iaqE3bpA8tu?$$-!%33$7B3z&zCA8nHvJMw=rpZ}GqMU>c)4kRb}&iMJOjaZzV( zZt8xMr&f3=#Fug7@OIK?X-PD^WfwOHlfNlbVlVY+&lS1gBQQn@&GC|hVT|rX0zc6} z{|jLX=?#9n-U^gr`IsjJ>H{}Wk2_a?dAdQXuZHb_nA)ghcZ@*!TPSTToGf5JJL+xI3H2&?SNwJu~Rh|c>(9CB#E{zGoO+@JG_%fI9 z$A5!AvWn{uoSe2K5G9aySw`F?nu#Ho#J@DZr_>mIf_^0)W^H3sav5TbwKR3^c($0w zjseR{6#G^wRD8qk71MY(Y{7e43VaeA5zDz`y6I5&2?&afQR>yENrF@NTiqC~kY{u` zdKbfX`$ksoO^OkAP&1iI$dR1xC@%u0c_sQ?1NHJ4DAn7+Y|J&&w9ljDBI;`jO{sER z`wjG=^HTKzTcl`=QV?>^JQ@`bqpZ=^MXz$2AEFG9B8q6iNwYARBwBDe9G;8w;j|eD zf)nq=gVYobfc=-c>ue2E^tG|+s~>uJXjooyO+$+n@Y^^c5(N#sVb6I)84fBvGm3k6 zlKk>|JXfwfNrus>@tOmLE42z-hx1(;M4nn#Y91Ab><76wr|M3~-?buo54z_`{Hi(B z44&& z5nX@|*u>hKI0uwW5LaT)`X<~1T-T12rfis5e39L3>V9Y5cVsuQ<3Wx3ByA%4?S z@1{>c@f;d;sL6BtS>wvx@Te{ibdq;`UdMY1eWmKEoBFWkpO~JkTueJsLIsEr<1RG= zqc)euqS6Wt*N{mE6tRx%qTSyoI4ct(9;$bsXU@#x+hD9BuN`@a!YgrqbB)A&j-%H1 zjIlxk*uE>q%IQT!a;G&^L!2M)sxwTjQrj1YOWa6MY3I^8aKptj}5>RsV%`+Q*dYk)g~S6C#PI0st+Tl5SOfljAa28BrU&$D7Im^Fm2PS{yRAR z4k_+?>P@aUp6Fs`l3IQ_&D(s~A5uo8gIRQV`o5*>r|?0Rzax3p7B)n`Hi_lMhew9g z>o3QONtRt7BAdK=(pA+R;09IUsR+ha98+VU~$zQ+iWh zq*20!}{F%M=<=Sf5^KuTP*m*>9mv#JPk0_pJni4ohdPlEPU34}P@$`Y zM}-7u`BmQG)lDvB9(;c;2;6W~)iBmk`D~0TwE%99iJW4Txlo=7hm?tW88F=ZGM6vE zWKkVhUj|~E?*NA#?j0JPFHft~F5K5xjMpRQ9D(|CF}~3~hq1)$tkh9M6H7(nPFR^0 zIH1nz@Epj;yI);FJ?mh}t}96)Qjv2S_J`;pG55JM2`y9x@`pGvY>iyT=Vynw_nzh9 zK3pH4svg3C_q+8;LBh)F6^iUqEk%jh#BX8!l5pIUez$8=D0FB$XI>DTT2ka4CKv0? zG$DZ);T3?7$v8uS1?kw=cF(tS%F+C220k^9PrbU1AMKtANp%?lO&s@FkX8aUzdTBZ zNQl-vd2}zjW_`sViCs4e(c`qa-mV?2m1p zJr8i?ivPwRD)pX6->ICBT_lhN=9Z$_M5&;c-nBkw)P(>ONmr0~-gQ26945n4e7nwb zFhs>Qp3}Sx(K(J>3}u~yxanD>2>(^hCa#zl56Y`Nm#4_JOba3BQcNNz$KA%4FY0=d zgtyqGQKi__YW*hm>)8Cd0kslc4zDvxqkcn8(-kt8xwb_$i{i7iChIYt@~qmSTyd-v zeIdI|rWF`XaS1(jMu1b`BMlj1@OMeIZ(*7Hdk%CnhH*pqbR8=Er1vNM+13f@RdSol zIqEoIX%s|&3EHG@2>MRZJ;9C}=(R38SP1~B}udrHL7cBNTEOfC&R);T)Db+l{5NeDU;x4+d$>~?r@}cF>}0lhSc4&lSBX0b>5`^{2c71tLo!XmZO&9 zYm6xZi=&ItZ^bMZIqfKH48rc*%nozgj10DGO3}Ze`uX)bjwF_I_!LHEP>7BY_TK^r zmC?XGce+k^>`FBncRFrJ2Blr?G^HgM?idzyC)F#_XBk)5sC5{ltDgLTFZiC-VvS{r zA;p}qm6^?^c%-zh;JS<}#*=tv%0i|!Hy5)J9lz>$`}E;^xvvmtjR#`rlX48T3W>t5 zQq`SIrDQ0B$Xz8mR}jAmrVydYrjNpt>2orZ;tpHG#0o1zRn@zChNg_zt*G;OJgAIt zoU{Xpip*PmIi;C0NL3V)Yl`Cmxz8-a7Qd*DEKma}MZz}XocKEP*T2ou#Ur0;Hy%OX zev-t()-J`ztbJac3NF6Jr8`;ZHb+U!k&7X|K8nlpim(apJ%lcZ6pxD{pO-nT&XjZR zgR((Wi*j9EL|!~GC6pK5gl;`SZ^U9t1)Wf z!l=?QSVI2_MV~RRzZT{YwnQe!Fmk)dIcrg)dLH8?tCclBqERX69$$)WJXopIvDn%` z^;U!~O<9dYnYzkYmj;QIbE+aEj;^SfL8;IuCciBly79V2ylR7kA6xU-$tY#AbhC$R zLu~L>2lwLLgNucoHS)hb(xmIJL-l+CdKtzjMMyH7h?N?nn>I!)QlfP$?~T|P?F`3K zFtAeZA8?YpRiozmbvRJ-_b!HQ2`EzUgHEuE!+?9v==xY`iBj@cyno83#I``e0(7jeVXL5k&*^+ng6 zb0=^;JBijfxLu=!II8gZfl&lZ7`EtRld4Zl#Po@BQQV-0(lkjpjRA>?R)s;D2e_ag zspxic)iCJERLe3*$8*u=yhOb|$HrV^e)Edh;z_cE~V&^BJhBsY7Pj3lc z8I`JmRYAH1?|i0tGDls~6Yq!52CTOtj_9LenEVw4avBenUlN{Q$F|$*gVv>TXWxrXebANIU3T!vRiC_r3+>Jx zm$w=JXqR;>lx-36=U(Md{C_Rh)qQy#`p4h{r8(rejUhbYalMEx9p{|HoJDacvRkv3y=?W^ud;;Y%i88xAk*HK^40P&2S zWf#4m?n#TB%U6%o>k^h(yIN15E&UX*uY zJBce(;TaT%a!!NyCgcPtYH#gDsipHo~ z{Mr3DLGmOR9>fbww;v+E`OFohK8lsmhi;uKaMX(O#C<3uSQS8&l)6+Ty|-pzRN(uP z2BQOuir(ya^n!<_ymO!h%d>AR!|>$Sx%I7_P^4%Kt`;ncz#UWibyHkTfS!gGC;DA9 z&ji1fWQQdS8mWHdLE*bL>Htb|=vFFvo2U%*;>V3Jv&*pfti3Q>5%G++8;)h-GRtYX%KqHF?C*IjvisN0MgjU6KF6 z>*zcfqjPIpv+hI}CcoqTudv*sSJAgK4$)ibLeEok*o9O#Q?ogRr=E~kM*j{||CXaD z$5AWFr*LA=s$J}*&M`1aP?Q)1|8Tt_W0LsSd7!aLaR4HbU{8*O5jmL7kXK>A9fR{= zuxF7_C@V?Z7;Rr~EYBnXE(t>@*;sfMn(rqFB+lpN#gfdH^WfZb;3Lr>BBe}nHPyWs zk`pnB0TP&0iXN?z%LC~I{`2jXqe$6i1y!6T2UyToIfM}HPQrD)hPa$qY4w7t0BeJZ zlya#FwqE#=4}El4@-7Hu!^Q&7nG|w886;`q)U%i&ufQNB0Rwv$DTQ5%G~>jh{6uI7 ztQ)t9E3)Ep4bgB^D3>m&u=Wn&o+6PT#}0t!ikBAj7dF~Xb9a0BNU;oDr77f8S&HrE zQ9MF+02`PU)9bbMo!ke(?J0~f!54Ymgnxs?k{y=I6LR|<;PyO7m1NO_38tCCyc9St zg!aUqK+sLDmk2F!xiEU-Fa>#zX-QcX+}3M=G%ab!>5{r5c>~wLD+Qvr!D4w3`=Hxiq?}EOzEuXxk9m;XX=QJe zyD%o^*Xb|9$igbYXatl#iMw3K82BRK5q{NX9iA+Rc0i)Ov-sF=XjYS? z3nr^v%xBGrQyL^zcDx=JaZJcgqFf>l7z{$jG6^7J=ec(-Ha~i=gAL^|um1I)+UUY$ z{ijg}BCkUafW;#Hrzuyx`gF5ffm}X~P)XeAQz^JPfc0pZom7`q!E@-(F;+<=rN_Xhnd-a&sX?}JZ zYgXg9M#EWh=(Y3|;r0yd_|Fk60%y-abf<0$b^XSj)zAD{%? z)qe`caEqMT>SPTmr>X;M5D)kEa;q_u=b+h0q1QYIlURjPozfEvsJ?+v;Ez_1#t7Ta7 z6`q!s@tUZv<(KL6m?1|8+gnh!v7!8i z+WP>@DMCao@<7;W1v0XfEBFhHc6$8BgcJ}#InDxyy)vYqj=$N zJUA^4Y4Mbk8)Q}{VFAhjv#ZKj+-FrFw?f4>0MS+zk=#-4_w??fd>D=V6a z8jg*tB%J;dqle*xvbQ###xuI>rOEfcr8R{MM@$w1tF#p+6#<W48jdzyy9wN;_#nM}2?|l|nOGTg1%8z`^i2c|{vN|$4yGB?GfoZ} zN06;+;T7a1R7gypHZL_FB`!dqm&K0dcI*!s(HX_c=q>UKZ23I7dm@OwzTV4-ojkN! zr3frn?bk9nCBYrv8jx4c2D0IP&x1#>&yi>jdd=9pxEGr5?CsKU6e+~tb`(SMs|cb$ zf-t8rYBoJBc4tF(;goNx6I-_fk4MPCQBg*w(c?d>+M6H7RJq$Vy;;|*=JX-JuE4>G zrrdi3FEG_Ndsk>=O4~7;r80bEclVGMwR2-uKI^~YoSRZy86A{QamRF)HVvC;Q`V?T zlRwCSxzxhV*Tk89%JW}2`fbnf<=9#`j>>8J&2opMJ! zA$p`S5FgtVnOx8e=Yh82k|1YX`ZOPv1tvB&44K@gVFqPH^0x;U;f!i&JU->BpWvH` zA+eQAUOnb`fnjnM1~8etJE{@8|n?I0ZBrBWc45@ z4>%PDQ=2JW=<0<=mRuI^_*qZ0!rrx($q3lKYIx`2JZqcj$m1S`H*i)NtFxhc%e4@tA!2iyF| zQUJk{+UVFj~mP#w+ObBdN@ui4o!h0f&Sqquxx=c>m;*+MhGnbJdls# zHxHv&xaxB@37Ip|U7pLO#?&P;@bPNgu$)@ZP;MmVHuTf(a2kiXm|`*qFTNnI#FIt) zYJ)S4Jt{X%8CA!|vh^{Q&j9NRcwm}$Rt3Nm7Wd!+{u+y7T?DUi$UK{TU~|0K19P?6 zGgV#VQT8mwvq)|gtc*^}>-I4{hr?!uL6hOQfCcpFDq!MMclztk$DJbDqv9URT6UIFb$xP8oDwc6~O1wHODS=P_puH;K#+Cj+^+$HKP(@#Jm0+_Hs%Ks_yO(rN!L(GRhvDs*Tu*rS z;bVX=OZ4sZDXJ#hYN#-y)r5(+)h+dM<9qk0txF5|knSv9eB5Zu=0Z6~d(@T_5=;;U z-!~GrcEU;8Z?G-`&nqgJ#QyYmiIw4C*pAy2CN(JjMnurxsPuTcl+n&(j_zNd-uMdM zEu>4+I-*NVbl#0A**Tm{st^1Xbodv_#ePW)@)On(%ejNG+Z3_Tke7nKiDFmes}Hf2 z*p6as<|}dux6d`OH#BBH7vKPQO56N(=M{iE2~XF{wFZk@kFu~ln!D7%F0xIkLO^ghi8NpnEs$G?!+?HJ~Ep86k( zzxXHE-FTi1zO%6<6Ov_tz0mwVy5|GFa(;IYn&e%-zHsu8mh}l7cT%cXipesu;Sg8; zSsR<)RhJl)Ntoxd!L~+KWvLH}H?ZQ4KfLzG;spPGV%y`+w&Fn(^Nt=j4V+tOxJox} zihV9Sk(+EJ&diQ+4MSqEqMdln=m;vZ={kREsn}kk7m%P_Bc~|^CGw^Om3sDigWJM_VeJjxm-NQE9o$} zh!VEUVq)uWM+*&iY6bhSt5wV+B57^5V}Fu7M-Mlq%~vo2zjeQn$6kagdP~84IjGBB za&p(S6A}CNLt1|Sgv?vp`jcH=5E7f^=mE26F-*z9gkztaY-=*O3Jt~idmKl9RgHN6 z%(+F*@0==Iw_L5OBFaCC^=g9qNxdOI^F?8?d4#(gZC_;ymAVyx_#&OrtvJ80=`}Zy z);&?k|9U7h7tny0df2&+Q;T8#!~#G9L* z3UMN)i_H()u9hc8^v>zn>YR2XSv}vc@HK(X21j-L6&^n38YD zFoRP_ZUajqe||{ZXwDrqZ$G=#+laA*61e8~-Ee|Zv~GIZOuXwao5sD4m@|b()bZVC z;$6;`_y7?Sxn0FD&y@i?H*Lw#;=n-h}Aa_sOb!;zo@8?l4&SYJwIuqDE;) zgXnQ6<&+)2YEd=w3(DA-3a6qw_x9vUs%r~$MqDG&82aevF(sVdX!z!kj3d|$nj4*o zCPSmKQQUe-24)yj{%7odCpEp9p<%GS5>vqDqBYwXIn*^4!8?fX!6WS3_ORZpH`ja0 zKY|T76$F2YJ59T9r|$hSl3(QuQ3N=n+k+mB*_%-;)pl%NYACB1M?_wvGpg%{jbQ7d z^YELGD|z&@x|b3Af@1=%Ntd938odr|@b*K2JbtjQF&P9ey}2uWpv-mb_J9wW8-`L> zOhZ@O$|yzMHXI!jWe(%b;xCT{vzud)lq#xHp0`w6IIs5lgwojL1Gfnc+r)aatZo=? z9oGm}L*?EIG>j}&(_cH-GdQZQb*D_reie+ZtYUI1LT4!6ig~OPOX)nhP4KO2IP_+> zHzH>=w2F4Z;W5>-T+`+>R9^uqPz8#sKWcX4CgoPwX|{rw9Pfn5cO8?hfY;)CUR9NL z=`8t1_3<7@-Hu^~z#)ZxcilJt zZnuXQW6+^4jNwIYAYlc|OAR_=Qq{P}J`%j2_!)e(anEt_e&OHgH6W}RbyBmaR}+rb z-jh)kr}7d&N?s|FL}WxbAOBnp*Bho}aAmE2V+;QHGTNruT_{tfO_Hk_M?16AlWz1V zPHV+udZGTNqh5Wkl9xiZO6Xd&r4Cu#6@chsct+nwE}kh;7yYbpI*neztO2`NQOI(9 zVC!*gNLg_gMxvQnYiff{uqX|C&iI4?hPw=^jJoD9D^3$%`+zfZD!3hQ(=U}&kf{+A zg(Z~|;4Bg`(xe*snEs0s;P^Bi{KFa5ZQ`i?+xdCjbi&o4uo~SROH+bAQ8rg`HyA=n znWTzcL?p@S<8{2gY6b}j$t}f+bvbzqkLllp>+>j2uuUkUlmr0?8vgiJM|ORR*gPm{ z>=5TiFKWj=ray|6(Y0e}7&@bmk~GILZ^QcRNC<+t3~&4*%Tbk2Qfs_CmboKYv3>^0;? zumD;OUurw$cueoh8LwBXqzrT#N&~%1Psy(C0Gv@7weP+UV>aF2Q`m?mihm`DRiSY* znJ=p!WwPU8CvJ%@izs)|Z0f~?bH=5&&5OvNB$aSU&gg%3$MD`aoY7Alm+4RVO258I2Bb+4>TWVx8)Gh^0F=E{TVlogioR-H(ABrKF z1#;93QAjqJ!JlAe5XAsRD3LHB2O1=b7?K+XdNW8#NSYMEaKBQJeGcf`18Ho*lM~siEeeS?GQ<8-KR*{`Y+0WC%g>t6~qx}6}|`d z34@!UiAyA)E`simKd?1}v<$Gx6tRILaVUk1nfcyK1V$zgW~WS3Xgu(Ix-Im)a`Dnb zAX=5`CBv#+Q_|+4<*rxOIu*KFF_y{QxN^B5VyqAEAR!@n2|{A%Zy2;lzdL=BJgE#Q9FS!TqU+lreeG<Bqd*&DA-J0*7JhUONpMff0L6@n6HYZk) zVpNF@5Uj>_6@z1pt5|(dIDEJ=KIe*uskeIEexggmnY?GQO3+6CqLc2pt@E-3r!VX$16e53PRW1?;H6tUd=uKZ2?Qb zh(A^<(RMnfr75Lf0wQNz`ZXVw<)t=uOZ#II6J={dgSPP**KvQcE6{&?YC+_#*2S{tiy$gOqlmZ` z#Oh2b^bh#4~ZbfhXtEcF56aOhpa*Av~FL=B4$JC2J;UY(lpc(5s{h@@ok7 zXEnUU75ITUEDJAQW7$rv$FoNhtekT<=!gLgO_P7tSaiQBTEL zOgm?nMlx9*AENO2$E6`3858gCeHBEz!m@2kG>RS1NUU;3)jAqt^*6@F`TVGiM!4($ z9cqZf8=&m9eCdWSQ}&6mE!d~c3(1q_qZ0aeMl&V~oKR!4_LX&|f4I{dd*WM0^t;n; z(9MV$^+jLSEBl*)HCV=rpUX#gDv{qD@!dCfDeQ0dOxY|ZhPgQ8(y~J z?%*Mrk}rDReXxRq?h!fHRWQ9I_FRY(f##m3aiF2ZLFn)|;fk)Ht3;VDz-G#U&c)i1 zMiuf}!?gxi;gEaRVUM@b5!Uk9;k&@O)ry3`@#|6ieGpgf07dIQ+%RO6na`8-@gR?8 ztZZ+}G}V}!s?i?|_7m>9a{&igniFGgb22*}7gk`JfRT2F~ zSb++ifhNi9B!SLvqU;W4d!cUTN+cvBHgbuC2_*=LA-SQHeH!K@&ucS!IGUK6mkVQ| z`v(s$&Yo;DQDH)oO7YhYbXo;?G$-M`5cl00zD$jk(ddk)2LB8ytwXzc9~qeHcCm~r zYrRFiswDaVaeRFNx8$zO&5O#ejQVAv4q&OTj0HyHFH*A-eAeKdXXG?k8I>2+3&8*X z4o>M$Ip=*nW_IXva7G)w6z~t=W)(pJG4N~N?4&>1#Lm88P>v|2p=8(WHc0CqDw?qW z%$JQ)T`H&63_93vkBIZ8tMtpe7kcgB3T3KXYtCb{j?~PI7Oae7hw)21#T78*Ptkys zo=91*fCPE4LpzV!UTh27kXoCZS*$D~aYp^r-X)kGW#HhoxQHpy=Vr!cTYIp@_T43G zx=qA#N=#b5yehK=5kRWojQS497ml7-kfGlk5&z8@@&Dgbs$w!oriT$JT4ah-U2D|K zML88Bg~VQ6F!)hf9b54f&&1^|IXhL?#XQH_%TfgbD8B)0;IxL#g!eyayliJw&KWJ` z*)GD}Zib7c&kjO;F-*)}4 zaJ1bpx8lr+jnjDHmFBAfu;w2WqtCLKlF%WsZ4!wXA<5;1?4VZ{!@7x8O!p?`idt=m#-Q&3 z2apDp;B3SY+U)A$Ag|R;CJC+=qcCoSThS1rlogTTyUCR{luDT ze8sDczlrTnze~A3vu4er8!?l$d8nyfeI=p*JzklVh_p#f^NJ~O`GBMDLH~&BImA^EiY8RD%$BhCqMTR|iWbb7Q<$9OVVspAp}7r0@6KiCVq z+$BLMDv-q{v^56Z%yi>rb#SsObpT&mi{Ol!eV&Ik0mo^LjmLv`5?^+Sz%j4QDjpUW zbxpap67YK6BY~%vjM8rK)UeyE6kijoA8>U=?=rGD^8%LQpAYjDuhj`|$hFK3%gCl9YGI!))b~A{Hy}6S{{NHX)`-<~xx-DUjp23e zd;=;+6 z1D?AtFRumO>TaD+=){yCthoMh{YSn)Yg~55Ch5Rnza&CUTE@f5!3P?c&;d`!mZ5`T z$j)4O)cYN)7=(R`5IqV-%CnQYg)gU>T{V0yY(1A*iJ4KHTg+XNJAb2F^?eFtaP3E2 z9&xZ07vo&^_%g8Ruc4DJG~{8lml|K4fK})~H=(=XJ2`uXaO)-^DJVti(o}l~2?@zd zkUB{blX^2qNkIAPF;CQQDUqK(g4plFE8<3-lmcu6b8NaP=TN%#=W$HeH57pq~KlnVJ+=5SL zwOldg0mVcMKvw|Y~VmB`C^J-NCA{5woLN zx<3MtG70nKfcBMtcEE4P{vglEn-q@Anevt|3=d;>V{O{}6ZEeflaq(gZxnQT;kd#F z02h#6fDnobzYuEg?|c(a24_I=oo6MO+V6?^T}Slu>O~3B^ezOg88n|(v7MVcK@#~Ij%3b2FO@Z7?oHDix~aZO+%bXh$3AH!+R z18Anxo?5NQd$~~OFBhXr;bV0G1{lqrc4ZztZPdLp&oSDmFt^~f5zgc0P?bWFozr8m z0(U*yhlPo5k(Y6ywm?!*9-8ltrUIderT7!jM;21B9} z2`NfY2gZ^|OzO=bB?0C7@1g(koQD5r&o@POEY*1aO+kudQ*fQI4iZkTE-wEk3}DLu znNygBLh)Jp(>M)sLVj~p+;cOS;84xl%+li6fg1%UKj7~5JE#u@rJ-~q2GB%#Kt5K( zFV#9CUDtY1QC9}6Qn$m}tb%%O9q$?Ll&9sF#PffznElS<@HRqJu{DPGKR!}9SqIwr zC76ZHjaXxG+wO$kjzF#Nc~NjwWNPHLOxLrMXt5~7%{p>i%h2>|INl01sLgw>+2wft zH!{^`&9tWbh6nhyqaz01rH6wW3t(K|tQ~SkChm3~W)fIh@J+;t<`gzN<7XV7Uc^M+ z6B<2JuZcvgHsPT8l`@CTc`%Uu?&CJs~BKFxtlPP=AL8}2kTR^ ze?HcL-suj@cLKd^w$z9gwIxkYf{3YIZ9~Z|i#G~Za%5MyC}$K)Nzq>@92zz&rv97~ z|JT4NW5k-YtLAwixvg~RS>oDdo!FVZbJnf%AkXr)P2ZYK}Q>^G&}=XLLy@ zD4M5>Gs-C`VbEPMlO>W^h%0fmvTld*d#^&9bRGXaRxLNj(5p^3ZhmC`k>Kue3RHI} zbVcmcui~$tg{O)`FgoUs|P|kJJUYSdUFxg<>x=nz&UDziGLZN6#p(5 zN@I4oP<$2a1rc6g(st9+9@k*ncg^ZPrTjal&|r7MSd7}9S(W*+;WFl`V}H7vkJwOF zm0kzZu-PM~W(~9b#Cb?;Vre5rNCH^@C$kb!3cPTLna6YWpJ!G^71_e)mkF$lPUt=N ziGBxKt?FLkzk#;u_xhN@(3^1@qzONdyzeebzax zToOc;tV!Ax`Bk67<7A9bybHD_8;6b2|IJH0pLT)ugODo3P;AWvSe74bCaVBhfgCeB z2#?m&wgw6un^+ZW(p4IKeo?v6AeN@~wwUM-KAvp(n)=4ip!n`{(G_7by0Sp!(YX2hAHjB*5)D5_@0BW&Fa6_HsPff zt4L?`ky*z-yL0++_p?S83=DN1R6cs?v4||PHZSW2cC)LM#U>`Q9|oz30;d;}JNPHD z-dx|0spQyn&Kbq>91giPARWGNUsKcx8N9Kma@<|Y-%iQ`ozV%J$kj7ihM&Mt-22O7 zHs;}w{sd1$@H7Pv@{nw(Z%Q%5KoJrfyB)jq0G@(mbVHosL!L0Wb5{h|hM#66dYYCxA~N4VaMN7PF&8Ua^@g7bJ)KCi;X z0+)-Ax}UrFyghT)d!|+--Ug$&>0=lN*sXvwMeq`Mk?J-eB51;(JF8-18b66$y!s`( z`>v@$j07_zuOb*Sa)V1}bXKU*QVGCaAwbh!d0;O~Kx4lc_RT_(O>NBDZrth2xrpVU zZBXi)lio?u=%pEy#w+WaMKzX*+1Cu27fFDsfRsF9Vs8d12}x0e`mGry;Sp5*-E}d4zOTLXnJ}@v3eIQ) zAF7(v1K1~0(e6v(H=M?N{RVtA$U%)@X9ImNoQ8L2HC|!FivHZ7bw+Ky_)gH6l>#kL z1h=}@mOK%uOj6|X!Nt{(iVIix-MDGcjQ+QxFm;mfrSHud3DgmEQN&B|++HI?bw?-N z*MQ1+FvvA|N#ij+3PtL#91Jz9?`;F`)MpWsio?vA7tu z;tcv4yBXSg$Ar$$ykR^tc_e5wHwS0y<*WC$%APp>)cE~i@fGM-Fh&(3)F#!V z@#jMV9boESV?kt}g9Qk$fMFs5_fDc48`!afe9pQ?i(rq5bpk9&Qd4cIGZ({h7|)56 zkoTxp!Xu|CMQk6sNHV9tC{Qy(Df(fMltFUqhvL^TLdh+(DO}C=wo8>NAsL3BZfP{wMNk*5q25>Q*|yGns^>|_20Ss>%6ep*fD%)$mEzYtI<`YPEB(pWk#2Tfyw`Xp~(){fn216?k801 zhp0PEzvpGY0TI(9wae@jHqinbz~Pe(7{AUj;lT7L1Dz&#TH=GmJ4JX!Cg2Dr_GEBR zjf%=^kK*8cPCbc1l1O3d4vv7rt`y}tp@gms__!^ASlW^Zw*pX5J3`1H1q?S~_@x(kDb0j3P-N+iG)0gDd_`7XUBgR~5=Q6|8bsq<18 zWhuCSe$leUX?M=7(-xFt7b$sYx(0Qav{I~*91baJ<7-kYB+*=~CH2xtO;Ob%ye3mb z7DU=oF_sOt{tV%-jz0qtQip}^g`Hv}{FX_GECFZah}e*q-V9O_P+H#}hlb(RIB_?I zS6`JkRsIcS9dY^S?J)?dJx7jB*8~kv0(cu*UK_){TPD4=7mdX_J&KmVu5qt*Jy!1% zu{t${){Edq#1s|N42ew`M2zG}M6TG;PNnX{!n=c^T!hx0o@d>kNt_p&g}@w|5Se{O zLfg^!`T7byK+DcFI)|njvD*iKI1^U}@B)skXoc<2M3MQ5vCJF~4 zvizvr;W~Teym1{YbSR=yIJ$)3A=Ldf{2FMCBe)3KA`al*9}q8Gc{usq41)MLP34; zqcVUE%l{IpJ&oDi6bNiI#KePbwmMGZzNTC5gDJ(`m3a=hGX{~VII$zGiV+ucrQWYT zFgT|{HrT!0Xy?KpUbU%>)!Pc|RGTYw=%NPOz{l{#P(N=I;#zrfH;m=kku~vvQ24V? ztG!dWe?5=8QM1EnnQQZ8fia*Ft}I|meV<`=RofDsr=W?=mt9jE61($(Xl1L8<#=nE zyp>@Yd*d_m7&>ziw0>y@xLZWgq8XSJoQ6H?tBqZryLbGcM1Ri}YNXlqfp%`?n06@p zvz(1=JDhW<`ZOPvg-ik);V%qvkpcWAm>zZ&RB%RpxiE-VZ5{|Fuop3qpX}oE3_!wU z?QZm7xS>mGSoGR4PuX5biY)m5wO!As2#Y+($SwO;!G%TKa)mn>u!f_w|4qiF& z%)CrbmU5Oldy(sQ;~_I7<|<;O5A#8?A{bV|dJ}yxNJz+pRSNOr4=jrO>3885ab8a- zEL)Q3J!0hVrajX*_3ybg$#FW`~prq3rMB~WX| z!9n8JS&iuhN1+*xtOa<(K~I`}I6h}?RpFfpFU{BqrKmWd3h`?$+Frcyqp!L5x!=M} zaTLp?`C5>qg+Ken1soh&?paevJt{IEjl0Zi^BZ(*ak)rx8tZmAQRgqw`IJVy2k0JY zJ(H9f?3w=lC9ZQ71H)C|jJp5Z&c)#N>y6NYbl@41%ozJVf<%v%Okqqp3xB}HyN&33 z7~a77D`4}(Mld-1+kxEwp6Bc1*h9|NU}_gIcs$)DX$v$N19Il-ayX+jiPrw~b0#h* z+bg5u9Ie5`9h?8A{Kuj*YDwr%)$dyf{A11|ETII>SFvda&ggK2q{sMXPJKr7zxX|< zaA{ax(R&7@PP0fO-7%Dm6d@vFyNW3?xdQQalWoP>P;@&?x$=%x41WcWFLPi?6i}gW zYA(;yr~r+w)m~?2hqw2d4RCxAf3GT4vA4H^$%g<{lki}&*y#rIt>N`?$NCG|x`71q zKU(P45jX;PERs#MVOUAg*E_>-MyD}mb*yw|X>qUVUc^_R*(@kV%8k=!Kge>jVO_#p z3Zh)Y{-S#Ta2mmuQO$#3H? zs#K3bN)CoPoj8ZzDq@mG%9*Rgd|-g(&4;x=HV;Wk!1YM}MNA2K>CGS^se$tOyJ4;_ zjQQqEhnMJ#zNF3}b3$R+rq1Zm6LzAP_*7e5J6D7)IzRLsI)-Q^8;Z5GBzFLN>%7gTQajh?f}f_C8y)fPattC7 zFL+WXo^Ck^&3O3a!-P)TK{5Hm3UEe^_Xk`VoslW|S6Vh6L&wTN>WoGhv=Ne=S}r|~ z%-)IqsNKL&-LL_A-t2bA+)u)dRp@vV_xlT&u9}uODWT+p#jGyn8ZvWYTp~vLFdrl< zf?-#%HnuB+@&^==#rB(!`^4V$T>Wc0kmy&y$_{f_01VjJ-GMVI@`G7%Pwuvfh!x;V zH=wV_E2Gf+H;lM*Z1D|3v9uBYs?Y5cuI~D2R_TFg7oRrRqu?lDn*zG!gvxA z{}eR7xfH>5T!>djTMlw)t(}m$1|%GkRlMF^OFCGF7fx_d23yClGOAh3i2k2M;UAu= zBI?|Rt~s|RzY_wHK+&?a@xK2`LZOmC`diA=Az4m|E=QnSWB0|Io+d%>(#z~NIKehX zq*n(dev8o=Xn#+sS|Sk>k3x0Pkj;$mRXd{Lj1CIcT5(1sOe&qxqf*ft%$q_TefNEX zike)8ve(CO@*4E%JT(Q)deg4`-8#3Sto+{c*az|du?Y=e^eT}HUnn1Q-cXU3(h}MHWOOgig8h1 zpBS8Wyk|A%nIgo`NRTihw~l$ww#Hs+ys{&ha6a#>W6@v5-m)y|=`O5Z^rA8)B^G~m5wVRpJjM>Jx9(A$7($`k=4#p07_U1Z^0(Ga zsJT>924%erR~yV+(;&az`RK$`@J=JYZ8-UCBpQq}RxwCfRAsMqK4k+hr?*fs9xJ&@;JDCV`@n0d}b%#jC!iOUL& zz3&gV_RM25q>n=i4lUdleIL|sjkz*&cwIZc233rr8uOe}WqPiYhwSWRR^%Q}vG+tXCliC0CGyaA-B$EgKt9MR<89iMFelXCHVcX@8;tab?COG?9X6Sb4Q9%tP(H~*Z z6C!&pT+(K|KNxks=qY?k0C)AcBN%9T2JS8FF4dzk=sE7Yk870JJrOzIC#Y)azKg~< zCD?A~>xDR$Uc+ewp@b<~K??`kzu6ztj%eXN#{KAeE32MFujuiiJCLvC8(IA;BNMf3 zrpmCY#BKx0hC{RTGMZ(QBL4^o{#oO+9s9#Pub}j#2n`45PeNi-BZd#($XmFPRQq|Z z7*a-=R0K{0{9Aio%S#uIi-+BfBFEqo{LRjJK1vz!-vOi7cC-Zzk!s7}Zw}bm@wc3a z#4#cvNm7v*Az6-u$jb+;%f*73HJxFGbxd1>95Wb3vyosF0*xfn`9*C5#59gIa?BZd z4m!{h9ySfURivC~0JGF7SdJG>oTdAiia^jkHO_sNUvUV7?ub~+JNI!ydQO8Q#$t4g z&tGBvYJ8k@i@6EC5sO(v&9QY$!h;A!&mjDze3mXTP+uHi+58H?cEvH7ZE;#^>}grR z+~PE{h%Vuf_{Ze7fm>vA*D}5q7I^ZMGfOiuMKi2c=>NO;2O##cL;Gl_-nJoRu_cm9 zSyF3LM{;cM5C}J15}-c(n0YTFsr6w=$XT9DQ1;C>Thqh-{0x}we+9grj%pIj7YKl=HG zZ_Y*ghyyIca2mKx)i<4%B)QN8T@s`Qt=cx^ELuuZRboUW$t7o8su?6CBu|{tCOWEm z^YNk)qktEl#dbyfnwwo|KG5ZZoqX7$e*mic-ac-YW~O9Kj;&2{FIuQaraT^OCMUOc zM!_Jpu`tXXFMS{pI;tcg^2$KCO}3_wA@bN2#KdwsUYR z)Q`_VC!2Uqzjy*CAMA1_93LA1%!pHS8#?aF-5HP%9_(GqV1}ic%J2QMuv=tcc~Rql zP%bnB^e}GJ2gIN=590H*xco@eb@Gl)w3p3UXmWZ3kEneI5B{AIOl#U%UKCG11bgr$ zB!UhMx&z9)wjs)j-x^%^vT;-%k1w%TC$1&d_KkQ-2IW1$8{zh{$xP*!i-5K__-36Uj8ZLGC-S^HCFkdlCl=FNCggyCl| zvX(dc2|lROGQK}Zl7=heZ#Yv3nF(2Pcp1RP9d72q>x?K<{mr)n{U4r@;G@4-a^>W% zATqB68$)kBD~y`plb@fI7B2{)=f=;Hr%C(D(#}`4Z+wk&Mtw13+F3XNG`?$v zn^Hvz3pHFd8@>p3jKBxGX5lnGDxC_yIqsSKz|=B}RO3hA#?NhZgS=7L!-dq4z9XtL z=s`2;tax%ze4I_hMp#}l;_M4X#KYs!h)S#tSFlQU8%|}(i5s|hB^uZt@VV+kUT~DOY|M6?S6QcH*&g1GoKZh3- z@MaQTIK;FpQ?oe-w%1t$lP(C2fHlG;gMik;F+xt$Y%oE+K-S` z7J_W#lEUUn9qnW%(rt(aXEX!&u2ni9E&6n<;BnqOdS(Fnwl=fn$%8z49G6k~YfH(7 z2{zpZzH23(yogwH(7+`H9L3^E#EzLf_DjpqOuj6jFAJATXHsKLQ)sq^F|@Pb|6?~+ zsoA~OegH85gaga@5jpReUy{FZuqzk9F{$OByLf%U^>G9VsGbV+-WI;h;+&ACGYLdg zoWOxA!ObO1KM0)=^|lQm$x0+i-rR3$h7*(6#c9jgA8^p*k`}@J%~=Yy1Vl)ZccE&W zDkM?etz+`qh0g`^GGIQA3({RWquv*Gsc&95f`=dP;_Fq|4C$Vqn-3P>I^N_Pi;lD( z(@XkJ&`sqL<;UfvB7t{Kq%QSRq`N9$!@)Xc0$L@ZPp zm;%>PU2Smf@_Q1)uN#iH)g4fg?4Em|l`*|r{=m^U%ER#moewGS09s%3r(HCHtjr@i zYmr)(vE#`cGCs~XShlFnB{wT}&=()7Bdc+6Nv&WX=A){(h)5d1j!8@~-OC^aoKf3- ztQ6O&w$V(aSe<}F31@Weu7lzTwS*%s0Y@F#&C7o;M+b1>c2cg4zA@(n(-#KuwXpqi zu7-bz-BQD~rFUkvG-h0hm_6i$WmH1ej`yn=%ZLqb7{ZW<`w3OcE}`*f`vV%g zf`q9#SVxT9NZt>Fw2XF9hWRLk1xf++u&ROo8O zXO(YY`7)8h?MMNxiW4VRLu6wf7dM`lI3;0T`c>?NXrBXKN8&o9NbBXJUg(ipA|Kxw z+9&bp|vxSRIo&X#3Fbda-MOs z1%tEs07U#aJ#Ht!JRne!YW`x!B^BkoX(r zH|ctm0ZvCxWTbe^G&{bR!9j%bN}~x2EfX8JL(gyGM4iWmfb}B>Zze^BJl#N|4>k!!Z?sW>?^HvN23TmrCX99{Z1IpXBVtjcyz85RAd%rQQ zZ=yRU;HV^oU$euL(Wl%Ps?;7jKro;?XX*5UBXTpSC9h!&{wKVnaQIL{yv{4d8^QBXaZfrWZJCyXSEd)T)@)JZAV4PUrDYVn{F=+H5c4he~}v zprefbO4Cyr!-<=sJSeWYTi}h51@HQLdJtPgPy1^)9j48| z4jnWPOVId>OJb-993Iy)eDRK8B9(ua&TmFAP17Ehi}V5ErAqTL{5Y_o1F;Q-A!TP_ zLz-B5UmjWYT8=Y?XJ{UtEyYc&xk;tv7}$Uq;xVC=x0v*J*Lff%GbjH z`0MBF#?(ZC{^((6I+fxde?AztMWht3G`t!$Ry&4)YmM_WP@WIVY0c+pk)47IR2ShY zJiGDe;Wdx1+Q>N^&)zzsjQ4Tz^f!0=64lWCC@3FV+s|2NWo_8+Y>V*AHb7B$>a|#`Cr?_&X z@5_7cG`H^Hql@^ny5pxCvS6e*!dcYS1$w*U)J1i&taNG|k6^sd8F$Ur58G6MJA_-r zwhfJ393_YghK6GQLde5`@2-R!0l$-j#)7$wn03$7xGXS4ni%{v)JE_J8LleGbhy6c z@`p0r#GLTwJ33|l5ll=RUHX74_7)Fs&pjZ4+ELsy%B4`2t)#I_HE~1ln{VBz?O{=N zc)!8KVu!5KDDqqiY+)NJ%|~TO!kb@#$GtJAkHr^-cQ14;$=G=hIxG1=PQga-wbu08*}^+NZR`e z3i@?MN-!Y0BT}`|EGeeMV5VKon7uF%cNM78 z9T^r3Yne3jp=Lr3OgrYUc8nzCgOuULc`4VznY5lk zKfI`HJ$YVS;+ID=k}S7-2Xz+%&aX9(!V$f5x!t`6u&SISroYC9j63@cM`n+WOyOHg zM&9@bzSJI@)iD)p0={QyY^1lT@0}WRD_>*I+p>8fxzK!6LSk*UWq-h_hV!gx&}eye zh%L*uh}5pWg~5Cp&`x50q!+U3guM zDOmVAXeek}2EzsTqTNBBhy+6dV69V;lA7j8NOpCA{UBmn6ubu#Pv;%c<}{2c75;_A zcBR%kiN%l#uxDJghFxqfb49Q4VCS3Ap}B^)Ig74$o5oZB4>$ONZ=RzYCV;0HNszzR zqaXd7WR&v(*yQwVmLd+rNN9wpd7Ruf?A+5Da|86O3EY8NtYftrA-y*kBwyU1@6C6c zsU4%Y&5WYBe%#4qYVqvT!5}nYcO$cmXK+h?_hHGb0kjE2{6d?tDx!m!#_o1KkJ#{| zO)H&aS4JnLqBTI(0Ss-^iCsi!3)@g>J}Qd|i3Pba>?ii-yN&5#e@KDlj+7$2fMbYg z>QW|4BRF2&ZIj?uQ{1=sT%-s%jlh=qJEz23de`#=E2Dq8eg@AGFFYhl!5KBj7GZ{W zIVMD2Y?6%2P$gai;8a=bRg!kE7ZR@)n6$&SEe(!#%7Mlfv1KS+#aQmahgPe=v&d)w z{v}U?Y+{jQ^&{?Gqj=)v=sVGQxx~8S;O0~kZdGi6)0Ozd?o=jTZluXFjBA#~^bSW} z#%!70VS)M)Xb2=RL~)Nwq8(CHH$^T+Uqix>;}4w2ZdBxOW#TrHnlZi{i$SS0qt%eI zsZQ>WjYaF8zO57vp^Jt`-FP|4GFb7g0q8JmPI)}TI<9B<3>8$>hj0#fGs!gm>#H)_ zTz32jYj~L0jotmkRBKo9+hgJ}7poY}0sxjlciWIyo4ommvu3Vz&k`3cHHj&vM;XWm zPt!^Bzu>=QgB9bOt^;gglF|F54!psW}8~9lw!rWtr2dCaz*lWN zvkQIHFh{$&dyIMzUE@l{)};>A_hAQ3CyLN5t}ByxpT=(Zy3Ns2pKm+;IWqX7)Pvr{ z`Sy4z1e+fgmZf#yywN8_R*z!oerb4q8Q*7(bLGp3ah+@L)DB%`=(0Pb+cL})X$^l? zimz|L#G&)RbQ?(?Cq3~Xl5xbP3GUgzC&9(&CzrHo_cUg2gO1k(9y_8Z-FMeqJWi6~ z*UuPv65f_KwI8=+$1u4q;h9Lt94Lc=s)}=TAOYIAk3Cy?5^}vNU?5#XGE-E_g6$v5 zx{eS~oBI@Q$$hx!yiFa#9`L6Gm?HS`jnG=O+fzfI1dmM+t=$XF8}aVJcdf9I2iRA+ z@gxiR7*pDqazZwn4fjD(%F`zTjeYT;uNU3UZJtMi0TgXH?DEG51pu81y44X(nyRm3&Yx7 z)*^qV92E{Zj>gJp{!xBAGk&xQ`2yMh?780~a&^z3zr?#&e+Ob1=UO$`B3nVmIo^u& zYDjiIbgdo-S(wHtlM;bX2mnM0yHla8K%h z`V&vNd>)*EXaM7stHT7ddtJ$`BnYsmeglo5)9K%qB)-HQ&wN#eMxS!(Lm`=b1PWeJ zBGKa-lN;O zYD=gA_V(W#XfQR#um(7CNCg z9>zc0y|Sds3EOEP?6L7$gUz%NBBgVps9a32vWL&03pOJRLqX_nhJH{H6cIOg@`yMX z@VlXMI80chMt|Oil(`{Khgc=`4s!_igkoFuB(6&AZS!o?mq=ct@ z5bOwU`|*K=m;_YjX87(|iu{e;3~tF^ycv_hDDIe^xL4Qk?o37dp}dg`!#oFEtBGS1 z>-wDX{BRxoKfU1LyH+BvkAekAtXLgG35p!B3e~laNY}kyIWCtRVLAQ63mBE=&|pM* zP5@fDT=1+0C2&UZVZV7-7DpVqRUzKDFKaYHcKdW4oQ(c@Anvm3Js;91fRAR7YF)K~ z>)m*0lsSOJ0=q%?)Hc!8^>Y{GZN@`O^GB_lpsR#*>fwiu2^O3&<&Z9d4^Rp1^}S`? z+KW7Ec*;_vey2Ol<*)UnD^tn7A0(E|FF%;ASWaQ+;6q<&Jv~=<&*GTft8jIAPhW@L zZ-8d)T~j&7q+Q{IjrB**;LXMs9UQv6Dv;!WImM z=#Y%fn|qrhasbWr(=n{79)^*{q4x@WwgSBs!Bv-T*q2d3{AmUN9X)nJKwHg4OvZv} zXOH*XJo6M_BOmuQRt@YXl~J4~V44jj-VHLV@>G>E=DW7wjK~u$bkP1JudO(~KD%=P z6O2HUi}=+Q^+ij}(i!!^R>Np296*Y75gff?zN=iB!zphq*i;`RSupu1lggNEhCaf* zZ9{5pLb6H?Wfk8#kd{1RYL!Wh83aRGo4IKm`b)ZYo}v^{#3Y(o!+qc%6Anxlc^ zghNp3yVaEr+zarmejU0LoZT3_xziDS1lA5W`8PFY=J5vTv^(?`v`RrcR*;b*RM$Ep zS@(JsnOwfOotw(+(jG}?G}=uUozpi!yBgOHp3FYgoabhW3(HhG%8ls|nf|a)rgHMv}JuU$o!{08R0bx|4nCIpUi;3nS^^EUOJ}t4T^`%ydh(4eIyJegyA)8jD>pm7 z`r&{y!+V#!`a8aU>E$?Y<{FGR_y~^FPvD60uXswmIis|`3CGOg0TpiY#fi|8%l74+ z{m7OW%COvPy^f6ySwK?jh>@=KVxs8su{;)kX&2QyyLla}ND)`m6WA|~9mq+Xy|>eY zJ<)QfC$9VGFg_fhbYt%jEU-$5Grt<)fIW_8Wq8>j;JZ*VoKqT012Zh2Y&*cX5$F!4 zds2^-i3XT<IE$Gd#tM^BC;5x2s zW*RR;u#5hX~-lSR#H26+2WJbC_W zfrM=i2XOX!#Bi>N)UL97y>QS(2EXP>Z{7Jg3(7PIVliL`IaWqJsbSBkdH#$F;Eb+H z{jl#fjt$GixfxeNA207PKbj}t_rn%-oHu_>Y-|^ilA1=$l}KGFQl9MP|( zdyN;YjLPh+7X$;K`iIc(V_5vu)N=*+Y6$K1UnX&i^LWt2(o+z;K8$=gsnUd?Z+*L% zajDG3t(9>fBt~sfc*I6EF_CU}wW=Q1W)s=2?uZkjXP6zJWbdtjS>TjD3!^e}%CE^$ z%ys6F@B4TyjF0K#e0a*dC1mWvmbC8+!Bzsj1}T~*CrYm@SQ*7_q~pOPVm=ecS)D=v z{k9q=9q-L(pQ}ecCfMdMt8bEh3eM>G!_wlUf1+l~>a^fOnGN}00;J}u(l!_tT_UM0 zjuzUIM@&wPOPN8iLytrgSor?f_d=wb-OGuVNs%ZNs5RVp?a(oOOQ+>5@sDLn+eDi z6gsW-M1cvErtsLYqHtXTX5PcP{8%)2SlC7$ z%?xB)0u4+mh1CN|QcSLlHTXfA?Kj=74Bw;4QRE~iBcpJ!9yw__(U3j|c-m=1qbtoD zJ{dtCCZiM`AjZ8C0bYMJ#Cl9g%3wdr!`k+hsk0mg6mQ4+I!HXCfJ3?538zJv2E%Ry zY4L~*!xXhnF}X5a%~3ussDC>*W{?~OiU|0e%`)-L1lYb3lW@~h)XtUv!AP}zls_Wh zhsv7{#3eZgEFL-sSUE9H9lk0_P=*y0!2&3FSgb}-q^;^zU~{QatVUc;Fl2^WZ3Ei3 zmQ^`&V88;KTh~UNm8t_(R{3-r|9nv`&Ty;HbyrLLvFi6PLqs3E9v5de> z!WVjD12r``&fLW(kh@DU>V-0ev9U1~_#D)-uxPUtgcx_?gTzOntVb2d=T%& zWD~d?IuZ+-))c9EFb{A4gmvmJfk0C{vsQ~t_UA(3yr5Gt~lU0Ay3P+JE>*J zC=k>Bsts5HE9k5Nih?GO4H0UC@q_AGZ&5F1KkwT4)B4ZW*hIu~iml_%K!h$n4H8O_ zl5fGloHomXwLDt}xs*J!h}cw$W3VUq7@%l6qwk=XH8cW{ya;uCe}xY|#5?C|r-e6j zWLHh}3x~{Lgtm}ZFooAw-T1)Bh`CutC2S#5rDC8;;B_UxOG6r)orQJ*y!eJqcFSE- zOK2?f*{5!L0R3wZmi2;ZG)EQx))e{%b~fDno~N;K*Oeh^wKWcw0lMM?(g>UF&u3xe zwV{>-F8O!;k~wr?fa>;yI+S5xt_jLsdtFqRq@Hdo(bd3oG?FA|e}8%1KKjI>Kd_&r zMgeAQ7&}L;0~RB$$hF7xcty$sQ_Z3F_igUI6+@!ne+LbRxEjK$Ix&M(CksSYCR+w}JoxhD zkv0i_%-yJemLe}j1Dwnm=)rTeOdZDBC*Pe>$XEhyhGd!N=Uh+TLgXl4w!0b2pXa`* zM4tlY9X#VFNvDhw7a$@cyNgs=uPb6eDaV%JD0G$RGwe?>n0rkUtoq$_v4YH-Aceb~ z7R;jDfV{=ZrEVv3*%*}y z-HP+)kYi=GlWjATK@**cV}w6wsJ*~9cQ8#1FZDHE^Wb4^-sWAxJCSR6Qra}5w~hs2 zNV2`y;e2#a#X|@2Wg@$Q?v~I4Z+fI%>7?0JsO6AjSbrKB*<<3}p$wxd6}n&RYew*f zvUo3OI~}?B!3roYdC;yAtPhf-IgCLsUgVqC!Hk%nVhu{J7bPJgc_>dLCQr~`tdl8Z z23V?Un`gx-lQ2&ai~|g%cR7pK@t0ykMA9AUI69H%4r$|zG=so9>KLSbxIm9m8?+giHl}JrX|KDVkBF}h>zWM zA-azu3E=h7vw4cn?nY9a#3fpVPN73{^mz>T-yKoa!{Db2Am8oi=o8Qyc8v#WFBlv{ z1h4mq=GK6B7Cn!G25m!GZWtsw5iU8QyUZq;dMz`{2L+x)deFO%{f@9U75`RVYw&}h zzI#w}H2b}>hD7?xY-;WpuB-rTf0g0HBHr(CCwy?-#nV{Q~3L>}B$B;{HT zxk#Jm|Ht0j##nNk=VH}odRAw4*V5S!QY(R`YnDT4BumyvmaplAzPENc6i2cmjci4r zbOX6X>l##IT+q%3NZ3efhf8@R%hr&#g_WTwM-~$)fqyU;Mj+n^K~rF0+Tgw5y)lv- zz(3Y9qw61mKwCfLA4ZVpsXkTR)m8m<&Y9hr<-WV8yXvj?tKP19`|Yn9J=>Q%M5b9* zVsShwHy)zLU*H`Zyum$6nX{Oo_86|YX>kL*{t6n#`hs2)XT`xjW_K)v;+BZcF%SWh ztyfbdqg*fxAEKl>ftJ(S1l}>Gjs)I;bR}1ShIs%z_{1Q-2Jb{V~!Vy$=qSUHPO>I%iabkE)x$tYgS!!fw_P0iQ^<-4!B&h^V5t7t}+>p95T9Awi zJ~E%dqh$1E>8{-Vy{1=k75g+gZQf>&^Y_SQ1m&V20aH7^vUI@`KYYk&fPgmzOT!Whs3Rgxx;Nx{^_&gURUVl{4F1<%VvRr^nBl)3UFEo&W`Jb!z$kUs5A; zXY?o2k$&b97Gx_%8ro+-(k&rpkLmA84?gw!Gh>NQZTNPh`rW&bh~f`4;PaBg(DOO@ zphIu7PzCll-fL%)?P*3n>pPa02eKkcSIW`iL-?>AU(*9LH7gvbZy9 zhBlu#mF-0-bzV>2V>G=T{Ly-y+B2IjrGm{=DG*pqP>jP|gr(>Xi-MH9yGt zS?A`=9)v6LwSg0W0a2gBu5DIWhl`#w2LacXU4WOG1U|;aM+@qlS`#0g?1|mteTVm8 zaW`Q+29g$7z^Pq5Y0)}wlj4lJj`z8(fCHSGZMk9p7OnV|0_YG*(`f@0!~;WLJ%CX;F=T<*c(K^&zl%q z=FaE`7#oYBQm5t^0?=EVI6~?QZdXaXHLft~52P9OYzJ@>w1IPY8__b`x(w>nb@dE- z{Kb(TC6|ixt;VUm33iPGw7D}n#ud=(r1-ZwjXTv`OHvfXYW~rxiT>}!2zN&1Xm4h< zMhghKV6}@NY(EH5hluf}vsZyqNyXk_@+t71zWM@E0fl9nM2a&$&SR@4#*wiKW^g?| z5+B(4g|XiI1ah*6$BF*jTg6nbVa-AbT8J=_+FeH#?_X4$=7kLPU1}e>cvZmo+J{vX%dV*DnF9YJZl#> zcZ_uDtynNn;c5M4 zC>U$>F$BtI?nhs~|8%Oi-Z|dTAIE1zFRjZ&JtLCMA0X|&_6@O`gS3dlCQ7hYg%Qq! z)+C(VO2?Snip~A1%2;kWTdp`v%ja|4rAl9bT+UH>QaqyA(;B4rj4zlmW!m)LBr2V} zOQf1B?3oLQyJstu!YQhGkVC2&7B%VJ)tzpV0Tk5^#(G;3wTW^EiKWKPJ~NJa^GJ$^ z&lUqKS-0(#eTG|0_$UOgE{=HtD$b_g)|h*j1YXpc7g2X3d-wmn|k5R=r&_+B8B)z6Q=mN5az*Pk|?#UivT#jEKlZ0@r5!R zO`A}56J-OQp@fGeXFG>`5c6C_b0j47fbrGE*d78L3HUPnTv*Lf39Z0lj97jQuDJHr z^yL1xNmB!jNut*?!tvG`la8yjzEm7SH)AD(@~RC zn3ECP?HJ$cK++-z_9p-{Y65J4kO78>2{%RpMPt}VbylV7qYAg=;n`punL|XLx1;GV zPoa>G$14}cW0FtB`E{{2Md3Ycz;Czm@Bq~+a9|uw&^^sEz6)rB!!cHGL4^DOK5z!@ zBz6P~84vB_(I@U*YbnMjMr#)?6Rqz^#Cdqtu)*cJpzTU*EmiOR;VkXcTYVd0#i3)w zMS{XFUoRpe>pqa?G!m=pPvRl|FQRrIzf?Z8Zbqcqf?x56ZveP|czwNORb|nY%!Jdf z+P9!J6FP)^Y>fyP1-rvXR7XxM!*GxI<47z|V1>*45Il8VFzLK9nV6a)!*RdHoR7U-x2e|!X#(2t1Mo!LzBKHjGgL33c5JTMWf%`w*SRX23%Vz9Xwdz@Q(=s<-Y;X?BK zV<19yiCb14^{fI4goP{E;!iUCrWmDHQPdN-?G0kx(B!{m2BbC>vq{QgS(0V(sFkAj z4mDEoTUzlL$1oSh`vS(Qx!+uu10saYbtV2WX7sC1i35EB4+inor_}a|)MO1rxQrEd z!_O9!Ex7%>W;>w>C5tDcG>74e!^j3^WiS~r%{tH+rP$g+i$>>Oc<~0*G9YPi(|2ye^%@%EGnK}yGM(I`=7!GP{>7p>Rp20eP0wW z@y!cLfQ}7W6=BO63o)a$caiUtSmx#LW4&EKOCsd+>T_4p2hS>@{t6?E5Ir}E)iG|R zjD&pY)K$DIfqx$asZ`q{`O$TJ7IsEl#rVC*F{tq?fPSlitknlqThA7QBpog>{D@_* z#OOb*{?pB<7x>2djd0`D%?S_ogru#& z2s0dZhqD|Whi(=v&7l0v$$6Z0aE8W}5QZ5(qDjPE{szNt8;Q~N2gE4(sqqG{i_+(L zw!-=+qwF$<oK__KKCFmEwx?NrAfp!sUF5B zVeiIc`uqgW;r~U7yYr7#H%K$zfgpKdB;~*R1>8&F$M=(T^3mskJp0~tA$elM2p3m? zXb0Wc+{QY2^lQ9(xO~W$FOm|+d5W>dk@Yg>_PR|*$7M1qGfsM3!Q(N#{Bv~*-s5|C zoH61YUZ1$Xcyh`ZP{~LyvRVT}Qp1@l56CfxU2*-2#-FJXDQ9AyvrAvax#?v;hVnB1 zgz;UL9*o2Qj&Q^1GZ$w-0}cQ(%^FP&OXl}$$PK^{6wM$Nd>c&7K6 zUw&E~yyr*6rO^}X_~P-D6#vhcL?V808IS4Tn2NuEAemu9L$jO(4|JzVBoD0iWE}a* z@8bmV0*0xwd16+Jp|}=GHXjie_Yw)eV5>D3we^&lC=?EMwfI61Fh$18v zYxhWzlipo?j>J!k$5yZbd`4*TBA#Ys4~y}ov*TyQwJ*t&`FoQ+@x6VV@jsmMuewU} z*TTx4lCcgM5zQu{r_+#xJO+5GQ!MeoF{WtzM2eqe0S6f;)*1;LJm3ja5<_y$Lc0J* zLei)TOQDTj5@Z)B7-GklQ3;Y!3}U`|W5`oE5fa2g@ir*>q*xiHu74v{_LSN^p!imk zgd}}HB9JJvL2)y{nVR$g@JLt{eVr+1Qi9{9*?{gBmMip7;-IQRKK_gnz6et!@nBCR zQ%MZT_&Ht%31FQ`DVT9MfR#XM{EQBE-2*KnLr9avnPi12Vkv;#w~%uHo>0t!N64Yj zbXs$kfqO-AT;PFDKw_E8J(597-baAb0SR#xCB>A>E^@BZP;9s|cnET293>LTe!mmH z9duT)Uq6q^0e%`k@m_4FB6U3_&WHU@lWb2t$M`}Pt|@lo(4jIC?i*?3q16qC%2Hsu zpp@@|-z~5G0*14+D}(PNGON4L9FT-6wI~+_?;*gEU|hvjIEwKD`hpsdUJ#F9h~jg( zcVlI6ow)N7{uFg_UfLFD63O@haY6B~VSWb#8jnAu-ong#AxTsDA)Sd|MNrs3hk@>T z8V-dsT_(jHW1WJ>14Rr^AbKp}Lriml`w{qrfb(R8=us!Jyp_Ew$v@aF!0CX5xC%$X zVX1jXbQ|9$NWAX^=~{@toh768(q8COVua}m@>JvIh)D6UQwsD1uic|xBipYP8k&kV zv>6>J*Wmi-YP8p-NlHYl=1OxWqzt)LbppD#YWiI@V+o^r(Dkh4X|dv~p!vZCiBKxU z-dC`!0j)^Nr%PYKUjq_fp`bCIK4s$lV)X~xn|t57cl$wo*E;^l>gv_=5(^gWC*qs4 zWyp$K(uJWsVgaxlEhdpZAQ9qWj7>K62ic!=d6fAIrp(Yg(J zn@Mc=`dC&%B)W-NK*Z zB45<8PnSjvq{h$ZFy}Lz$Mdga0`dj4mocM9p9T9h1hE+?7AsYYe@*SR?QNFgkrCkR zHB!3NEcgslNs@3`HP? z5Qzc#{ngQP*#lbXUln64Lx!_9)_xIR8sQ!u-|GPx`h|(kiBBDZ{jWo-NpZ*Q)Ma>e z<<)L#WuINf`>j|WT&^PuV)bQ}33d5?7d~hANNFX<;kQMquAjTIjt`;r1$@G&o7_E~0I7yf@c5)Xg*jqu-n0$=8UcY?G@y!#?v=eY>)qRjcOxhISw9+jqL z?#(P*#bZMmZE`Abfik8oBa+6NXN(*U7Sj?}XFM$wirxP;-1WGi5Cy+2kzGEGQkjgR zv?i`eTz75%p7zW}ekvbvhc591Gt{>=7<;L_w+-qE}Uu8g6< zp+A5Mbi}HM7dRi{KpkJc^4Zs6e?KnmD#_?Me72y^rKuK= z;sIQK0;5i2WmsfW`pV@!Jo-#9JaIlt-zB8^J30B25aOWjQSDlmQ8>i#(QaPwL}%*J zYrI-(C+c}zQJU9%Z8ACwq(FWNzsZJ){A&CmEmq7jWSF2ObwdFzc0*EC#ac$u)gU%{mi|6EO z_GYo_zbiNA|uX{=d9SC`u(C4j{#_b=kDVAyN&TkMlWIVp2L6*L7DeV&f{a8^!mB4ZHb-n znepEsc;>$gcgQQ72?p#~n=mYewEWr@D*LA^uH;ZZL!CfOUdUl_h zBCxZ9WrRNlBYt?{^8fHHEKPv-de-zSxIrTSBXM@`XOgLza+$yrDjO&xhX$vv4wI<6 zN@CuZj2Lu-QRa*gjLpD^9HytUkGQmc;Gz~EHP7DyLV;uyhw~yP?LS8T{_52<(ci%{ z&--!v>H6==vABcYOEKM|52*3 zdh4@kzAip)PR9aCmiR1|ZP8f0@%niBUQ!Y0+lC|BFV-3<-bNKQW)VvOxda&$q2hA1 zMSm(q@l`(ksslsT3lPASnnzDC%5<>nqmLU5S!rTWoY9{0qf?xW5 z{B6s}?n#sBub&*rm(Fa6?9EBC`hVgE%fY=M;iopQJO#p8Pd|^_{65f}Mw33Dli2pu zx0)@-0`A9U(sevdHrF7Nt7dq~xaB7>#_yLR&CuoKi{d=~x ztrHL#?Qz)!mhu`vHsI$&540<;1oJWum-$-ar3`mJRz5EkXp{~J6F8H}=#@W}AHWrX z#viVfBDpGcGA>RMPoou5;o*GxgH$C4Cxrfs`*B&c z5pz8=TO^}0`y?JG|6oJtYi}K|;iS2$wL9XN9YyDz}~uo#u;VyqWj zT)2wsfTVVc$@kWZJEMX_?InEYrCyK6Au-TEPQJgU&#XTn#j`7TLjTgK6pxeE67hdw zcpf~6)al!x;acM^P@-4|{;_L#p88<4%Gl14*U>MM9S4})pB@M#qX~MqAs)Yq=kE9- zKCoOVW$uhlkc?ivehS|By!dBl`20EN5+q;6H)8m!Pv^N{*7^I*+z&*|5V#KTn&L;# zBRPZ$kKXb@I+0pPk&TcLaj0w}yX5%sfDKGW%^G9(r!vuJHgFISBlu1<-q;mqtI4Rx z>s4|D>{}L`+ooq%M|x{?a_>=|pzg&A1+hM>3rVtrCiN$86ni*9O~iLs^+%st5#x=W z^;G=t@G3!>?G4;SjrH~SAn_Sc!(j12`qh#`+XhFWfcYjSdX9bTghe}H1s^_;?(FNu z!HGLJ6>$WGw8@VbQ-iN>mOMybtBlE5{VLIo5s39sQ6;CsZ9R`>2! z+Ie$s*%I2eA{n(xEDCq_k0bfpg!b|_@{1r%l(GJ(IEs)~>8U_FHdFv87btMjwyCH~g66p!4EFBZ9wICU~@%AQ@_ z$E$q+XGL9-#*$?5UgspWIxLCGfJ|3Jj-3I;t)ico28VFgmoQEz$+&eW!*OD)-?1si za_=fXdB8Ue;7j)8_RcphN~Ir4eqI4#AbM16-RvMLe*ro(|QNT5%W?=p3h-FPaoDE=n|KTAjlF&Pz(>vy+;8!h+@qU=Vg2AK_ar zDmcf)#j7~Ff(j=NjQ4)}NQ_Z8;0rjJl zU`e#72Yz%xvKt5EQ&&eg!Kaut@-H^=a8KfG((J9_J!Q&^0o--q;p?ir#NkrQb?Qr6!)00&S-l5DRYjZh&~XL-pQwA z&!wF@CEWmmoYQOu4X2eBzcmC6#K<&8>4i#X@S9}~M_k!Bw`Uo-qdiY;QFSQB}LBo{fc zRoH+h@Ey%Nw!VnBng1z7o(9eJFX^k3AMTG8-o?iQWenR_*36QUcc9QGNU)L{UVpl%u+C_5 zR(!jAK9BGxS!Wu$iQ7!EZM(M6yzfC0F!%6Tz~dnpODV+m)@$Soo7dQK{y-cgVxRs5 zJidXczk+ug?z|+6VT~cy5H$<~HWe=_i#u}rqI(ONg;y2C^;Rj#%oF;;NK3viA}0GI z!P)<~o{F4*eVvQDy;|{?u?Kh`lwTcQ%gKTR7fBR(GO*FcCm~M&mb@b*YWCKJM3mzy z90kQ5d_#!*{!68yfpQ>58L@Yc$LX~@E*Asxm)Ek<7G8h4@Nua8^K;|12k-t|5vi>e zSxTlF40^lB`mQFUsOkV)KcYnt+Yaa|2+i5)fnv>@tMzWgDJ1y-W*8}!JRw9>LH--L zA=d6ZwSkjco*AZ}eb8Ks%B{DLPK(DYvwaJUbt9ZC9`fO!Vk{vt&}v9pI~I0ZQkQ3D zT|k_|PDsOAC(-`*^kTXpHbIDm9}KK>i@%0#I*??1vd>WuP}UN=FVN*S7)51MTZz_M zi`(dqKpUdqirAQvCFaJS2gV&!>K{DFp zNVF~i4s1Z%#7yZG(HQiium!9MPC1)xa4{G!BzjTP@-$OWtaxH?GO3VA;;Nt0Une5_ zUMj_}{g&9fipx!qPqP1Xyq2usWfJim{sNQ!_5qU7Rdw1(bXJhF4jo3jp3Y3tBl_+b zff`91tAom+JTK1FKViachtIE#-hS}Xy$H4siqR%J_$Dj>@zj%(3vwjXM>#@A-yUCK zgM-x~D!BTQE$Dij^ex>*wVfoOkbIyFH%+ai*;n*>7EOu1Jb~EBfy|$loY@=WN1s84 z@Jr@=rbr;Jyia_`6x>F-(t?Gh30lyOp6nxqui`5w3{_pI`5~;^jN71MvXY$9KL)S9 z_w0zds~m0NkBUEvS4spv&gDyGNsx@LfX|2avEb9jYB`ZTW8M}>O@tv&01PE&Vpif^ zXJDT3NwTKOru@vMNt*eOPbc z5^5KJ)n=sCo3)>eiW9+UN_84-dzwS3H3`QP2mFu0eDpvECc#N^GR3$fUjo zhd|+>3WutcO_A3N2Uu*Vpu|W(gp907GJfm|9x|Q6J$}5}Br#;q=!Yg6-!U4ejgix6 zk%z^2Fu~8(W zZ%_WiL?w7*OyzIgULD=dtAk+l1qe^i9F?3rJ!75=lu{EQ+xp>Xaux54;zsI;vHs_4yQ81{@D(9H4!`}`Jqbw?6Na4? zp^G7)4p&3wsdHv}5l;Lx*B* zgrC!A$(>0d33&)Zc%0aRwX#KvVwG03D0Kp?t1M546&fQoE*~=3O zm=(!s6I+Sg39uyOCr^hJLal-1EK)Q0i$X%!Ckh_ok|lh8$4^J`A_DH>X?pMXP?^&5 zdkaHNH)ur&7kil$SRD#l2s2?{ZX}Gw?_j}!%4TZZ88=~=ahq`=eX;sJP`&__vU?$M zM-mE`<+|hL(&FC*-Q;ybwCKRN?w~M2-UlI!)Xq>W(dM6*ByKKU6REjPH#q_FEhxXr zw;sQM!l$qwp0RBOKZj}70jeCo4l7LzVw@=`j*Or`$hy%jH%5Q)iRp zduMd|*pun|kt0kl;cv2z)mL}KqAnff7Y4o&bO*jk7@ zQJ6e6H9v;t^fZ5PABLoP^vP2_-avtawQ=es6S9~(MnJJqxCb4A3{kfXrpO>N_6H9$ zOTcT4wa4^yCR}#ymlCmk7rvz>T>FNZx)_>Ryde1^Lg(XWOoQ8?Ap!+=q@f3Dl#!@s z%yZ!*CRo!9hT$RchvYp%_ZSJm_19zcSwrC>M9%6;qYz|H22ZRf;^BJ|oqbhY6F|aH%LA_s@-f<5%2NBpwHPP16gSjD?Fyh0}`32b;0Mt1xP=JUZ0_{ zdp~|tT#MrNv=VQ}ccIAeg?<;-$*;bHhK!~KB2I3ht~E_+^+>e`iN{5I+AYRl>jN_( zx|o^wZInV~kc=WHg2;)yexTFjT}HaXn!FyPkJU8BR3KdJ%fN_|NI>Px1x|^*B zi6?~pRvsp(l&%SyDhTDuaOoDV9WCe-{;5Bvmu!7;vbHMJr=K>1U~lh(JOvF`?LEod!In-(m$; zNae@S$8hT5+eN8<`Yof$-X9-~*S@!UFn))? zjZrKljtIa%(BNh}istc2b-)5w94u3qZ=*xD%@9?`^dPCT^r*)MOO*|OB%mY-lhLf8 z-4Pdd)%J`Jr=sa}b;1y!ic|NjftUhzZNc9wzuLdnFP`<6cZie^R9~8pB%>x8sQ>$s zB+I#QIN&^z(Oje#F<>$D#1~E4BsrKJTy&+f@Xbe2R%N|cX~$7zEcJ|eHc&d|YC+Rd z6Jwi$rW0UED4<7#;>zGzH5moZV}2lo5AcWU#olMu*T*lNT<3s1i)1u^_FHeggumw? z_WuxXH{$Q)2xSb-k&K+66;bG6QkCR9`*pnFaXg;CwBBy>!JbIx`*0;J_UU_86F>Qe(b?gL=wjaJ7b;UX^tVIE5$X>$!SM zS?9a9q`H0}M&f<^3!*rm<(zw;JgeAt z${#IBP51bKQBB?3`kHAf>BNcn+10UlaYLAqxQ}F1sEJU2_LY--qHK^xxa2`N_ zcFW9i*7lcjB52YUewqi^GurhOBojvhst_hX=@7jPjA|9a3EM_N!|uB1NHFX|km59w z_gArQxc)hule9?Wb*?;yHF1&r>)BE1FOd;ykQ z)#tWtaQhmC`^#RjgHG@8uL6y5GkJoSmy2#88I{xVL8aH2I+dDJRVj2kq(XdX#m1w( z9F2-%9+*8V#{AP65mhiV^AlH7@#S5-bn>y2<1IMm{I|Y=6aE)O@?|>F4C}|w?8+)% zSk6M!FpLop#JnvCF-F4tQAiR~VabKb@u~tuD8Pm&3Tli{Hi%8k4ZKH5J2Uj8xp*YP z!j@1%zDo)HpW%VrU#_jADw22p^p4p6m)}rY<4M{Yyeegpj7pJ{5mxA6z``7N!Eg0x zOKwdHNd}B;N!>RU@J{>a;w6|se>Q>=`PuJnw!z!kALZT@jG8okPQAAU@q#it<$ z$@L_W!1GrC4{aTE9TDD^3`zQWv?pCpvcS@2MAF<8Yf(ggONr$AsutqsQepV>6@fq5 zCi2m9V?IT$K(qMRDX+q~XtAgGd{I3Czu$j5Gnur+0WIp?4=QnLa;IFKZs4XfqRH(j!U_iEe?FRw4$jNoD^?CTKX_@bQ$iYM~BvF7D-4{CAc z18CFu{nhKR#nJ@H-(x9P!TWjmER43Yu_(=wEK@fd5FIA$&LMnr4xSz?`T=dIifxPm zw@7gRIS~rUXyGW6j81S<`M0EDa0kAq8r*AgquA@+%SGSmm1$A*w(8#h0LkdJPbJgm zKXeiSLJ0NcZ{RDYJ0_F#`wte8&7F?wL)?=zPi5aRWh|}W=b~Vb@IjtBXj;qQG$j6z z+y%5|D_+EvP)1ry!mCXluDosi>FpQC`}jLxh-g2#BcA<}hj#FoUhkNN#MiNc zyD>B;H+t#@E$bF~CZjqdgEdL9aBSdl-DT=T989yHoF>SW#FBHA{o6(DH=aqI2EvA3rpF% z1AS*8E^t|RTmzCo2+j9Edo@<-B<&Q&h>|3<39f@sI}s09CS24{jCL-v)G$RQ+m;FS zewoAQE9gPuGoTg>I@L+iPGJOEl8xYSK>8Y87V-3zF|P89;fY-U-J>I5X+!9?#{O-p zKj~5^Ws?-+P|rt~um5;SY!VS$Rkl#u7x-XE)To-OV|VJ$Nzx;2YCHwG6le>AG<)SR zHoeG!^mU+~5!p-;72^4f{2D7ZQ75w=l@T+Os9$&|z8eqvMU14O0Z0Uo3|O-k&Z7Bb zAbl=Gqs!-#)}Kko^)CMLdU*a>729Ppk#lCOFK z0uoS!AVX9?4EwSGOgeZaa-wNF4SVZ>ox4az$A9j%u#$5VRcl(F!AwBf= zAZgHXrD4uDkk5_AV=(bvdmQJMauXOE-V;bv+!l5Hl#a*CI6X3_21yO{7CjLUqX>_- z$A*mb0SS=-xEQ;ICUd@Ym_UFd0cl1_%@lPZXL69A+#ypH)?lhK6KxA1gX~%w7x#j*LfoD^;lP1%=3t2@*1h!unW41-I}x zsK^S6yz8qhc69aEN96*gbb)DV4a}oCdTsS}?)?DNNq%GqoKHrhD`V}MCB0g#k~r>I z>#c(GIyoe}tlk;*oMF!R+Cwd+QjZNT{(RpJn<1N*|A);DnEALGgxyGV;Y;^u z*sAuTtVNpr$IO>D9;#AF14mq`w9Zo;67yW3j48^AyaCCm`2!6R0}^*W|bV9nE?${ABc|W-!=HZ}h{-*bHk=O741E40%^w zprEj{6PM*$I&dH_7Xm9~>@j^52Sa|2%ZBkOX$4GDVCt%ZU95*xzyWccK#s9LeEREY&h~2A+u2F=ewruMz4T*U! ze8j}&MBad8w1|SC1rwKy(h7IiQvNF}Pmqzk5{4@e?n6Py%X*$oJl{D%nk5Ej$pViK zBb35VFlFJv)cE-%M0ju&HA~({fYSlKxC%#M#cC?FhALL7pdm#O5s(WG=b&E>L+u_& z=$OYOC&b$5R46tDc1dEbT`c>67H5TGQ@a310!oda^ORGeh;0@^t38l70&#MZ1&7CB zv?s;TVtF0zhdPElgwvX-0=QQs-)`x>4mf#B!0MH5QShABo=|+dg-idy(aky_p@SkW zq||In7n^jB*q(=JsXUuYljd##&L`2W626bPt?q)5 z@8Z|7q;{wZ$Mrs7Utn11A;6MwqLOE*6~zg#YQ|1FjvI}I#3TK3J(X1dz4bk?=+d}FqcVU`c$#LR9)Ipuk+{+fHc3zH|3gvBWfvt6EI+D;+A4l ze<4`|Df@%KH3QB#@%S^g8re@O)RE@lsKTwo`Hm_FQoyQ5S(|*I2y85ekRbjq85oap z%B;qpj<`zl05W1YDExTW9+)l9Kr6J-LFNjaj-L!(Idd@*sa>Fq284E_Gb#;8G_l7P z)BwvjTLatX(q?J&&Zr6@!Ad(z6D zbRgTtPshlc{PsU@I_k1S6mOnPBFX52r>Y?_FQXj{A5pMj_(FR~)L#67Bp1-)QP7I@ z4GoX<#@U=^1?2C$d;Po@^Ts4`-y|N8$%m8knS=w~FiU*#$E2otXC?~zqVn*5O$Rf4 zso9h|%=l65)`IsTgvhGWW@V1`2t3@DjMft^^2^496OsNJL`{CYbqQS&PSlBB$W84r z&x=1UL`4;5#4<$s@y-jHL5#CJtyXxKuEQf6t*zvR&-1OEGm{ z08oy+In+l59Z_UcfUKE|h^1>NR`(;gc2wjcUOR(nRk8=s@gc!2cLNh{{gZ+8tA0XYl`7CkcSWwVyfD3&HZWPT4GyP$l4A?A{mWm zTe0&M*b!}XC}jYqBU}aXhe0n28L=SOS2*XIlQu7E7CfpeLRG9NUYsGH2d8JRE@u4e zs#v5svHd#uZ<6A+$DgH2nmr#1||b6@lWGD82{fP(tF6eAtd_n zweV>%L7Q!`f!9(FtU6$|0hMZtbw@*4Ot^P6Vbcx7a0(qRC<$jWSo53_&Qt_SHmFEA ze`9%`i44{ZTpnnIEbmkPp?#x(GPv{%AD#)UFa@+};DC^5OICWa>c2H*c0!+=;Ic4&5wd9mrhpI?SP_mn5P2s9^XCt9h*!V-RCs4pF;GexDnsYVhF+80 z)8U^l(0FVh=yW7zj>Sf_KPS`Qh`65;b(Ef?cfLEL{z{Pz!uz7l(d$dxLZ_l_GQ^JE zoSwB|$eCan(jplR`AbaTZeHol0fC%3WE=uZIrd~ldWp42Rc*FN;~x{0;jFmk*;{)@QZS3Di)5iE}(VC9~Ytm>p6#9h|Jj>4PZ`yBLSrgXc;OY zg%j%;N>UG~ucuB*ECZz{1r?gJ9Fri-yTw}9g!&|cD^TpqO@$oVhfdWZP}GN{@%K&b z%i$`w!>*4O&!ek-I6xy~baTZp{=Lz6){jq2`jNz`z^YM*3TS#ERLfLs6L3`&f6Xc< zksSzq!$(xG4Z}Ai8Lg)lYNTBQP3^X{hTd5gu$|)HVqaPDe;mKF0FI9p6gY zl6toEDp+YRn&-9%xMiC#W;w8y0CR;<=v|WfCG=n^BASzsx_ISzEb(fjt%s93e`npx z@p^`js8Hq{COGA6?irXZ&rmC|&@{g_CT+;6!UmUmY^YrN zdL-ltz=o?ilF?#?YEMObT#W{nj3!wta#orpx}K7(2L3u`<-Lk_lWIbaSv3|44yJQ- zLPlrl<{XlaJWJ~(`9xe?S_kI4Dp;EOBO*vf>_`WnVa!1b?ko3CYa>Pw)gBvMw8=lQ?&L{nv-fk{4o(GD%r)@A@K*2 zf)Ljl#8k>~En+E8#s+Ie&PuFb#d;b}hl)+pVJ6LtL$^$t}Y?N58_)BvgzWg`XoI z(lPK!{QTq9!wOpM0sc~tCc;_K-Y<95!zj8pr%BeF70K+S*Fxeshw%wzIjj3^T(M~( zGIl7w;C5UGWEh^IR#bJHvL3b$xakHB4MC2UWKt-42qyf?6GOO{LA$iuM>^)_0TvEf zNc_8y%VoPGFe(=XcT0=+SSDO(A$}F&hFSr(8X!F#vNX9{eY_?{2#x7Bk4aV#9|CF) z{(&G+QN{%o|w9}_5Ja$UH}*xDSxybuM-oa8Yp+Js0l)uE?O z6Na4?bs?kPB!7tTkS+>l5aN^_WL{L@<3K2Kpl%+u^RBTN)-WHPFv77Pf(Hg*S7-U!aQ z9qJgvd2Bb6h~@LGb`ue#BEdF7R!7zU7MZRS9N~Jz*2#Qch;(wkOH!Kjar~xb>d;}) zlEPM`2Xmm*96X22B4_s&waBQ+#-A5h=@C9iR);di_7Gr6C}hseudcsOGFs-6IoWAN z6c#bbKgasDsIuG0Bj5q1M@xk;71iiS94YZ5+Vbz$!M0bsa=300cDO~s3Ie_Nr(*pqz_4($jeCW z{x(<{yX&i5vsa(_?A8EcK`kv7!^*xkyb+eHyH&l@+hG$ryDj&R)Z1r0R}8K1p3N2Z0#~ z#Fyk6aW!E&I!q=9Z!^q&c$!5XxfWF$ISckVn{_acbX1RQK#VjtqukA^ z0Wr^osHj+*jEaoS%>m2{QJ~C8-eiStcv*=&K48#Rbna9osxVTQ7+*?J%eiYZspYKa(WA;_${gqc z^gXZvV>e>HJF(qzZy1HTVVaJXB6n(Nm`c%^GQ0~qdCfT3&9b=b^l;}jaL5Mya?y5x z=)Phb22x*kL)R7FD#EON6_ooNs*0PHy+Bp+(r6VSFHynKESXE9dT9#?mlI`dT@lhI zEV?0qAXy!9BA9PfQT zUs>|}8F;zYXGO97fN@pXgQS{xbW_7TcsZPD4@RCE&UUOhlxIDM=hVZP3P-~{AP=4z z@sw5#E-rkuh!L_bh4l=- z6;B^~Ju_M+aUGyIBnVv|zXX{p-Yjmj8O-_Oa&D@G;qz#qx&L1WeKjO>8?(_N?Km~h zIc)PcR6AL9Ulm`JWqiU^2>V9h3QM)<0$MyaRKiE)s=X?}mU9-&gl~#J6#XA2WJvN+_!vZ04@2XsS%tKTg zQT8YOtMMh#YCKD*dXA~d8)IpwULbo>l|(s z_|+k``j7?hXm$e7-Ew-6bPKtO6wdxl=UQV8lTqP^;l5U?2;pdk(~-6sss~Ap2Gg8PVa&6bS`tR$ zIZY~9v2{rbjbu#G_z504$pXhhK*9zO6i6g7B-bpo3veVPjjC`IGD<@6B_J6cx(>MO zDYbiW8MS##vO*LK1DvTz9{}yMR`hkIoJk3emu5rz5m6-ZU{451gtbDk(%di2X6hh` z2U{jn@=7v!wiWI|Jo%Y&jh4aoz@ zh#`3o0glA-xOZlHT0y=F{DUdC8*Oz?GZJs%XE3|0)uGz!lYLDZeWEVrIMp{iV|i!v zH3x1e1YxVED-as$k31abs~l7Ffr?K2`DB4h-`o>)huza^yJp3idr)l>V(^_&pM9CN zu?;RFqu5#aNOM-2!v|Xfu;ljPZnFSgl0b?JX#JvKVW_XYcub!YX|1sRQW>%6m;Y?U z_B6h#dq0yltPW$+CiP&9nr(ff>WC%R#|z8*07F0t#huZzUMX2ZUBOj~rEH0qstOOJpv7B#JLluz~_y-|nR|f6HJo9!VF(*G#5#x$= zeSJc`V?LIJ(S~O>lF{h%ahDi))Ltcs=vss`!{a!F%5G1YvvXe)HD8VgEH=Xm8MS0| zh)X)v+Y?)%sd3wrtdaj_Hyro|_{nIGk?FJhwJC#vgJ2B17O$XP&2SG%0~<348vwm{ z*A;(Y2jlWUD>iD~Y)(e?C}UTHu;?&s4PXwF0vX2)5gCPQY16JY{s+1yVp@}g9;CIQ zQv5RwL9$;Sb?)p^pAP=_{#22zM<6wq?Z zI4bB6kb{nHMZY&_Exy?Wmcs1YLysesuC$cG(l2E<7d30QT>xE7(6&%iXItsj+A5Q3 zqpntpa?isNGAT}8NXBHn#+PNizq3t#!Am=5b(|ZKv^y3A$(NsTH5T= z3yUVggyMj)(5d4QqV@J)80Gb5vmf|9i=aB%Rqut`;+ zgUjucez3T1hRr%jBH{zFplg}+b<_6Rp>d9e;#(Zzh_x%3Lfuh*YhG4k zvSYZCWBDa7DlT7qD6!o;GkWduDX&(kDB~^8=;DioFfyBWDJvWUi!PvLWb7F}qB?B2 z8WevZ$ptibz1?Yrj2JKyIkDCX_RC(ur(VBSN#Zap2SAhDY8x4wJ53_dF@|tb%C_DN znp5Lq(Ds@ZZAuqw;~a9(c7kw3j|Mi4>HvFb5`W6G)r`W zl4KsxoTZrLNgZ0rhBDOX73NT-1^q@LeqL<_nWz9tO=)pWn!@C$k6sU{sdx%t>acDg!!z?z$KVcMAk|M&8Cjc95 zs>!G>!&N-~KuQqenuC}%G|+7j8MoGooRt$W7eVv1mAH~2M4CUxU@@c$py%5~t2sGs zzXB;k5UP#X*y*#;LEt}l)}o8oV_%3sk+gaKf~wzvRssX`(_N9ipNb-&-RY zC3?*vM=I#D3Q>;6ccMd^f;;*7==p0oSqH=)6Jet2R)A$Fpi^f_J`ff%5IXl%f_0aO4>YY)^Amtko=w_fk<8OuGo?Yv1i;t5JBis!r~{ z1lxTHyXig-l6uNii#giv z1(%-TBPxhP(?pw`%{I7n#2?Eu)Cx%*b%^PlG{ijD)4CAWJB)Tc^{kEwIvzMPUmGS^ zk&N=Z(HkOz{Rk$bdGG$rOSrVDD(AJ}7UPTgp|6wuE2PL^kBr*>?pw=A8;d^;IhTnr zQTaAS^Rqq|uHrf%se{6b&8gH1iKQr$j1*DwFf2NZ2#OQRA?h29Jypuy8uT5N`+sjLK537ge@LiMy;7V=$GrM_N1Vq(Njevx-`f! zokU%ZqSMlE-uTYQ1k#y z!#9-!BrHlgT~vVM-*lz93i>{<^;}52Tn9W*CCf#@#XimreU}NxxE{Kkxv_#LGarVn z11^3Q+%z=&)DP2bE|aV|c9n3&;Mad0aLaD@lX6k;b4m!!K-6QIaIi~_%}^`AQiJP* z)&b9KPisBDM4O3}J5F{TTTH4}^ni7LfRI$}dk(ja`4{FRbTMzf5_ z<^bl!A5i8b4^(8tHVZM$B(bnP7Awu42VBD>0@9< z4TIB=kVtYH&>F2!AxSJ&btj`Lb@^&8#4Zihv><>^lOk3JLXI#Z;=WjrjgWPBN_CSQ zK1HJuy6k5?wo5&;tKLwcr4abP2(0&JR_&C0zBB`A3%&MIdv9{~;+YYtc4Cd@fP_zN z4A^~9lf_PBH!Bv1$aZdXtFkzZ%fnu6sg`Y|^=++EM9VY5L(@P;n5a!Q85J4TwFl-$ zED826;&(9A3i1a4o$AfN=4`P`le_4i5epLY*TTxcOl%!;AVy0usV=#xzG&j2D}t-# z3VM!WIa}M9d+O^+)~4yEw)Hqf?If6=s<8)y&REg;*C_h18V1Ijz>FTFtDS^XyOCm$ zby;BCK!g#{8uM0XBz^CUo){2+XzWrlzby#j51ySmiRSynS#&q4XGxNS)+D3B@I5UO zC!phGkWq;B9m@k@(2*V}`%uW`d{Xs%Y|G{HLQi|VGm0&Vnwb&KS4&|C+&J>EGhVY( z)e6gUh8R2yV2V>WlhJSI`Jf+5GgV$~>d^;BGP7M*`AykTuqF)(8xL!dX3weZ!OZs( zNNqi&`gHn4ixy8qy<(5{fS896RRq1dEc4`PNHUsH0^<)L=VqW4@)DV&@-M)GaOlzF$#q7*T% z`EXlyhBhZ$Lj~)h3`dK8cR_RB=lJIzk~>a@DcD$@v1SvhoRuCCjbwEwV{8urmV`o< zl8g%NlF<%{${3ncGpz_gHwb81geW*GhEk6m201|7KS57qfbkKj*zggvnu=l%3)$Y8 z?s*kbFwDVAeIajksEl3ktGMi~Iy$tiPI1HQx>I9F!EPvz&)pfVpPD_Yc;!s&g8vA5 zDsKJJ!`}S2*pHr1UUL?yvd5KTi9Gz$fQZ{+%%~P@I>^K3p=nd&DQK1gP3+CGh780{ z&0tSPn}%9Jo;tYXEn`cg#Pc-NS;2B0l8l%}0S(DsUCQ$6jV@oFiiIWR3rjd;0XP4o zImhWg?h_qH-)OA8`nu72oj&bKix%Xf0=6KaXZ$I{M_p+S1b@Xf%YgV}d4^g+J`Z>e zSiOhW70WYn)6f7Iv-n%sq(Jfz#Nl4ky2QT4q^NTOdKq8>L>^#{BjSdg%9a*v8@&A9 z2K;UGTpsvD!8IV}<>Y7_PVRo(koW_E8Kn-jf;@E?ax!p%vM$8bGj0c)blBtHn09+} z+XKhT1C!%r?tIn`iFqzi##CJ=(!|&S@yGHEwSs&BEGHSI*m;uCUhe<|HuphfeTZ&0 zjk!^BvyHf|zuN;h{Yt#s#A8S?Am-)l-tAIJp<0?JZwoqH4|oYx&uiJJMec%s zNMMGfjM9c$L7qC?>^q}{J-6?V){o1Ab-5t_ZT8z9ICKvTiFqzi#;nST^per*7SpVw zn<9^r5E;PDy)$ae;T4f)iMfen!M;tbSYe2r;HUBPNr>>^Dr%P8ypF8cY%!XZxDGVL zRqzcvg9{*!o)lKlqPZXH81fKKYi2LtUXgserT04E!hJ&Pc6UO>+!Noaw6v`Y|q2AOdj}QNgz4W7XY_P_@H&b1BE!Q z_W{YJ$;Ef^>$xU3C+g7U%*{IBfdQCYJ#`vG-HPX-AT@l2^{PpV0opFFtvMa~tpi>+ zBy}rr=RE{C5{MZ~A%2oF9aiI6lv2ITZW`C zAScgYE2yUqIT3xYp>0p=LQH)=lcs%M9E|F_jf{FgMzOb%w>@y=JTN5Y*$@@&L`E!w z(~yv8d4^g+z5s@t3|ycLq!3|aY|e`9bw|HQdK+$g;8yhjXV=Yy>CIH$rdy-2BR05b zUvBmBn4smE6qSA(d8>Os-s&Cf(LZmAp&sR)!1H+%QqN88U$tcZPib=F)ZqRdc zE{k!pEJ5OEO!}pD=eD5R9`HQCrJb4+#f-Gkw2Tb_WWD(E)ysCd8}y_;$bhum_21O51c9A@(7Fmg4F{MM%)@1MWvH(hQmFQ@v} z>d!pASunY-doUY*Rll@BUAJYhJ92W^i^q=apkKZ*=(TewN7|IstiUx(dmcoi1IUQ! znf17y22zL^n#nEitQa$A@w2|x`!T`G#2u~+mSE{}uYPI~3(h&|+T&^b+GktfYvVtk5rP;#UwRyAC)Yz z(ZJ<4{Lym7-Ik_~^#ICw0Gne&5PwXBi5_&DqIKkveu#pkK!x}WuTXWj;%Qmb zUYnwIEgp>}Wtxiow z#SJk5jM39JlPW!xWVViRf-FK2<0)+x>zHjpq2S1ebPRkFKWCO=k;qfVe z?GWNAXGITN2V9&`g^oawkfWGMGjg5aNXPpXN?HhWW+Cn+FvAZ^g8c>M3|UD0yU@jD zyCX0XZfSD2w7A1G>kwLqUxhfIVnwJ9A)y6o*gD{D_3=;=24QFtdfn5c2`uTmka%?+ z@VX(1V%Xu*ls?tj{2~5z&A8ls3QX7h)EiB?fr6s zGL}~G3(^bCz#Px4$WsSL-jZGfIw&NrqB%#QkSJ^c>#WE~(%3JRvza=gur!mR(hnP{ z4qHJ?KBm%bxb1=0st01>>OwXCY=&Vm>MIu_Gd4E{Fh6R7GADV=iZ&tS381G=6NX)& z45R>&6S)+VDCjoa_P}iq+}a+<*uC!fGxA7cE^%q#Xh8g-AQ#X)741S|29zKCbW6;0 zN}!G?bPEWrjtXi<%I2nei>@PX*Kd2^wg--s2in6`PFn+#Xa{UEsxmhB42hu3Ngk-k zh;0_a)&QExgRode!9WTSIgv{-iGps!Z4cb`z^(0pjNKa$e{#~tz$K$Y;tvG{AucDj zJ&2JafB|<#%Rm`OA!2DJMWx?H-uA#P-~oNp`+&!6OM>`Arvbv-!&Od60ZCN6O-5zL z=AMBWlsU<(Dv}pvA~Ir|g|Ib%X7V5`jvXeD0z^(^)ucXgl{`liQgIDyydO>5ZkSba zxtchB2ExFp+7cs<#<85k1uY&kSy)SZq^Xr1xH5<5<_+UFea?H2Yu*`SAm76>D4Y_R6a*P|+T5zl+i-d7?+Zd*xG4 zT}NfNvAsR|sYX82K!4`}N%JuZNN0#?v|(39(cMTzl0_WY>@KH#$Ca82dbl4-Q8|l= z7%UFg*hbjhPTgts(L{Wis_ z#&A#~8SS&eM1vkRUTt*C8GE=JJ=)k%$i_#MLTux=fzct)zy^(qGQT8S1rndV8Pjm$ z`vA}pxFD$H5h2#Z6%;Ty%z;oOHDiuWW({N`7DjtQq6&rhrHK+bt#}`PGtkBd8ER@& zptdyk+eIbZru2j7B`T&_1igh#TGV9ncBI&v=m_LO7G#^oBqrnJCH)A$0@ksEuCX@N znSm_pi`b-Sk_rWhANsEp=`VpyQ}oyHduAdw%{t1S#b_uYnRSO9lN0FQMnRnvC-nq{ zvml(TW3!!Tv5CJEEY=0kLL5kJ_TXhfT?#)7N}{CD;9wuxC(>1G1*-TQaW>1-C`_JA2pao77%F}6W$%3C_BArmkoDUe4!jDnVGjZl$J}19{ZfuB>O1CLfolW#dEvwIA4&Q2g%n!5(7sb5zwfEf{cnPg_B-dA($9o zkqm37BdHcjavF`689Cx=^6+NGEHo88jh#;@vFJ4fJoN}2W61-@M6ITPqXs-5U%1PB z-+$ChTaG=*yv6wJUaG`oLyWX+j$xo!j!H=JwCoFUMy0)^n@fixXG21qiUY{P4wo*t z@Q}$4uRn-s>4w-5bDRqx^$xMlYXJk_+$#F;m(ch3zxlsD_t;B6`ZYF=fAEV!vhYvE z1I`#0ngBUc!~g8U_xRuBhuo&$8}@$=mi{V?@M@o`7J7Iqv*@Pl0;;auU2uIVd@}d8 zFvdAH{a)47YWe>k$tf9_Ww0Wo^gyziMCiriW_a==%3iFF$0JCD+c52AV7LP;Jv$u! zJ>*bff|NOzV}WH3Fr7cZ6Q>NoTtEuKV-#Epn4*vnC^f>Ra4@i{K@NXhY-E$M8iK3< g%EskiG`GPr7vUU)obu5OfpEd00X$L&=!=D70ApW$ZvX%Q literal 0 HcmV?d00001 diff --git a/Control Panel/FTD2XX.DLL b/Control Panel/FTD2XX.DLL new file mode 100755 index 0000000000000000000000000000000000000000..60af3e5e255b684382306c80f502b8314b2f656e GIT binary patch literal 81920 zcmeFae_&MAnKynXbCcX8lgt1U2oNN|h+xo00vZ^Q3Hd<~0+WQykRl1Tm^V(fwd7vZ zn&8Bn*tv7OO1HM#zH4{OVt4J2-L*f~Vq0}WXcEvOV5?Ys+h|>TVNj!B5-@V#&vWif z5)fVc?)Uqj=$(6hJm)#jdCob{dCqg5b5s5K-GW^Z1QCyE3c^0T>7S4Pe)7)s=$77-RdOFfRHmM z%a$exPatKtl_~}BcHxQfxQmAoCw|et$UrLg7B?$K^W~7 zgcX!sWD{c40hj*s*@RB%(!@^@j|oBnNcp&b*UGovEhF>P9{dnpPbA)WCI1x&!nSL7 zY`;amMG&scMQRKW5)(W?!20LIFuB$O6V|Um+5jFT@;6?|e?FAFc1P=uFCu}r6>a8q zUqUG0eAn){_4cm-@YNgu`x0;jKjEKG5XSm!Lh@(9&p7ZI2R`G#XB_y91D|o=GY)*l zfzLSb83#V&z-Juz1P-vH!KZx4FOISEXMU{RFJP<1$~{uw{USoN@9Mi>!i!*7mDHp~ z9RyL8&$KX-w5Tf??c`B6MSb}!5}^Y7wP+p^_KU*q#J|1{jue?aJVib22(WkA1BA6J zrlc3g8r1`i9(LF`W|}5@fa>lm_wa3YS8V6{AY1uhOmOMt9#=^4vj?bpeZ9vWN~vKF z5fXiqCod${`fJRW*(Q&J9j-M-kW6i25Al|GyER01{HAFi(gj{!lDgfySx15r`+iAa z8b`m$BZY)oU~`31YJuMk{55_vmk8lF0Gh*=QHY#1z*T$@l)ED3o}B3O)S7QoPk|VBWW8rvNCYc6_ttyzLt;&> zzgcVZEHYoTI$(`=WXHZOWBih=uHys+*iSjbhLkjP9T?F}oGw1rOgyHrgK1O~D$b1l zo*Ez7>I>LB18;C#7S0kwZ>D~d&S41zmK?c*iQ+lvU#OxYCPB|XZCdv7Wy|~^wRhs z&_L8J`7|E%H9MbtFSTywVn@^iV%IUn))Rbc9uln?x`&E4b;aZqEk(gDsVfBmwV>yE*rXZ@pD~Ea6N$Tb zcbt9A{#vJChpBcurUQP$P+Qmiyl!p$l57q|y z(o+e`ix3w#1&!4fwY+}NF-`)i!RTLUM|a6{gT@RC4&y8jb$&d>ou2OiI|gK5r-vG2 zQS73&8Ugl*hwNp;|7Ye~^G;cqy3EV9pASnr{pvxn1w~@DX0AxHaZtTrDl>yd)M_0c z9~aSWocj>-_;}X|g4&*dq848SrL{`z7oOiB8GPHM-RstV+=&S4TkomTuAu2v-dC1i zG$6QYSPozcAQFwnsU&6PE0Mbipyi&bTEG+FtFH-QdKxkcF#CYaqNDy>vR+Ip=s~I-VlK;+Y>bHERD5MrbP+Vu_KF zoY;wX6keLEjtkK3N6K`Up2^;GUrVXhGOJf1R^@O?^{^0?^il+mjRsK<~PnoO+b z<19HYyM~k;NK-<%RXuR~eg`!-A2N{!pFaT{5@=K|;eCwpBQP4>8)54;J)>`@Obb9DjZ52NTaqw6ha*FRADFFe#4oDC5FE5OzKGe7p% zvMHdUJmmAEn)M-HO`z7eT?9A^Dm5J!s3n-MR^!3m6;W68(DJEDe&<2i9rfD~3D}ez zHI}0GrgR=u28v_x)R{5$IK;htL)@7tiGEw*?Uv`WtQzA(w4XB-QozO9Jnl;GFsJ|i zHCT;M?Oeu3DF(wPj|c05-&pSED{%(PLZ{|wHF;Z_*l!yXJOoG6(6U4feb{k2jCdWy z!%%2)Ai%o-EL}i+M@5Sg-7$h`GAYp=n4(k$Jz{kRcv3XpAwiTbzo`X3RX&1` z@B)Y|a(4a#h2ozhumWe-zalaL;ddzdpap)=*{KnJ2rTWoq5UZcIO^r}r};Q_vqRz6 z#GzMa9#mhGI=X2vvy+%0p5hZA?15E;=e-UZMm}Ox*N~jK>}C%t{5g`)8+o;L#;)_| zq!>}??0OW*$r0tpXwpHdANUF|m^NcDp~$w7ueRQA#Nkh3d{lEa-ILV$k- z^16->`A}O-Gn_5!GUa9H>ILjwwO7<{vVj^m>&E!=cb`mw=F+deW72GF87O?MYc z`87$6iPUZyBell2ftQ+A<~{Dbe=+GfAbD$3<9Jsmsw_dq0*phF9)7*b#*SKb93niZ zr}oTa##$iT+5|xNgz~7A*M($%t!6VkR*e@|k{F%iweH>>pye-Mbd8uM*0j!;lEEcz zBNla5DM4*;kWj94V+LjTjrW)GB`09~rv*=77YnQ)h?j!K(o66)?ROCah&Rw@Wm>BO zeKb=97L@!o5dJRIgB8oE-Y6)Ujr%a|1u6suk6vSyB=G-X_+onhd;IQChd;p&ml2;o~!7jh<1A3E&xP z3k6U}#7Kp*hAD3S*CJvn)EGN3Og_Ti-5^53fKDoRG!HP$@{mkgcZ+D7EBK8YP*fce zmDGLdVB-XB5%oc*nou85rUfm}NLF3Zma9DeSnhA-mq??KJ@boiHW`2C**j|~#ZB53q}{NK{TOYEi+`UPX^cneuMK4^h90_IAw7S{f@+$$$q~X2>r$?Lg>C|fL*S?!R7UUuQi+> zB>?*;q7!U)V{D<1Ycae)J=ca@8VN+&0!jXseBOrVv9PNLB&-_Nuuk;XCGEYP!+syI zm$n!CTdE6W(io-+L^K)o)@ZzXzVNz2j#?=GXd;LyFgEi#9lXxV*SDL`prXsyhXLq9 z9khm^S=23gAx8~W@d#C6ocn+l73GQqT>_Lij8S4F50Ls)j(pU(hsjBj9z4`P&HFixh8Gan~;7`ZcveKgiPd0#x1z*9rq zLxr3;f~L+!gCc;cZH8`B@NZeY<(|23<7eK#8F!#q!$dB2oTl}u4w~fwyz2u{CHoNw z7QYqHZujIHzj-&gFz{U$vIH!5R0fPsCdo=SAy$k$O+GtTa+_Wpv%@NcH?^U0J05DwfV(F#T>etsd`M$4N2}89%%BA}+T; z7iELsYLd(Ed*A<99=naoMH6@&Fg|Y~`y?LMfyXYCn!w|LG56!jJ(U4gUY5tiaqt*~ za{ktTl)(!k^^R!S!bnASblugF>YQj@VWi#}En5_+a7EW$6RDom%<8Uf*6Js-GzG`@&Z`H_;@ErtK74eod;^bBxbU2QyQd1KjA8a+LUZKWDRx;^DDd9DQ~f9cIh!9B zF-7x>VQSfxr$6F3gvK^IpJ@}TnziLVb$^PtyX_slGb07m=?{APkzK=e7P7yuaEd>T$h()hfArRH$+va-3(M`C_s3&6ky2XGtz z#KUztWR(0lh1GjK0tA68ET_^dhJ^WooEnDEDB#P~VZZSsUT8}}bH@i~ZLLzrU&u`> zp8D&v7BB+2e!%#jB z%GhG%KrQCRoP>O_7GM0r5=G-R7$`nrJOR=$#-P+Y08Eg=7LtP4t*gH?)m4I$y6h{W zyb`nJbsAa60SvPW2j-Fvz!;TjSEo@+ZhtrN-#D zSOY#m&F?o3&j8x~cuMiXu7jjIDCtiV;&>aX$z+p)W-Y8n@q7EqxHx0BI@6B?$kHub z@WnS8cU_F|nmLz8Sa=b_tAUWno`5iu&vLyLrsl2_az>qT^+MiT=+(sDCdI0WZ{~?j z34I1j6-KeNk4!fE^T_Dqz!K)ts<@7%LDZ27UX`c$^GTJE{6@n$ESY)#@8y?~qM9rZ zOS$ZE@a}vKqr>XXENtW^^rjoe14^R(6z|~iWlouDEVUYnE-9cc@nSl}^NiVJshO1e zhuKI?!NeSdU5Icc`=5&~GDgl}Qz5`=r7LNA1&$7A>BbQZ8W6-_hNo&_cqFF(ItxF> zFD}j-AD`pxnRJ= zbTRB0C#=Bue0|FhP9{5e!hFTP`DO^7#I&@!7-?;ud~hiP^BTrOD54khsMM-6$)hz8 zd+77Ru~g2Z*Je%V^9hq4$dXK&7I>QX=9}}a_WqWH4%J5TG)U6*H}HQ97`>O|k2eyt z<0Jb`TTRQITpxXS@W0hxZI=EjbNHr@!YB8qq>@@{sI@PJu!a&k9facO%inT0%GVgP zseE#$V$leIi}dA0eQmtHci#C3eV=}R6y{a_1Slr%kJQuK>Vr>V%;LNPE0F|C6|~_y zu|PRUe8{0~mIA)5w&goO;K#zcU=j_vF9H$lVqI?_!fuC9f-Uthg;5&)>_rg;sqV&T#0;=Bym^qv5s>EHeW8GLg&tGH*d&Cn4!UXK1PloN}cHqWDJ#N(V+a}mf zw~?TQEKP>Thtp;ETaR*1uYMby^{MOu-{^{lHYF1dSb+)^41H#>dw!(w^ok$@v&6XjsugH#CsoDP7D8!hm35b4$`^H^^Zd~AdwCr?eB z*Fed7+=i19j}wc6oz=_*v;xC>evUlO;-M&9_`G( z^k^ry-tb^8Eq;rXi8Ak>o%c`1rWtCb6J0WXT$3-TADZ$NiLQnTmkv;k&+{_{C7tVa z^%G8a@}bqM{U$%#$xj;D687SX*OE&&Kjy!z@qFJEeBK0%yDeaD6!u*rA`jvpe&CudlbQmb^azNW@;qhJF6xBh1Q zWIzA(_?KRc9~y&2Kb=uHf_@w=;1m@nRG)~HEXbVRpmh|H|B29+sI}lRO~_PF*1bxJ zeZ!5hZuK%IbHWwkcL7!B+}ZNLafnl61CM5b(MO+HBm)DCLCIDMx(|)R7cW+&aV%ne@#!< zPnM!T0yMM8Sjz6_^LYce)KXJvNYM0RBPS2hP^rwv93^uui!7j-9~grir~Bmh335X| z8VpE3`$iE#R*pfAvo3zm#Hz`phOwCB@Oahn+KKg&Dd@8G#tSD_N}YB2N<~a(*Kj4N z)gKw)*w&iwE)iULV9WRL?!%)ayb4b^`#9W9~5?7UDuDyrd3V)s9SswVW%@ypbLJ!-av z7xI;TNWKEBhgPQiv7M>aB5Dun2J=Z2I6`jd%gm3F&!Pp?BLCR9vlR>SxEYgXgjXeMv^4{ZaRD0YoEF*u4-!QPNgdW(JN=bkd`cm@}q2gH5 z=Sn@jp7dAD+-=2g6%WCfe!It|IH5OL<_h(|dW=Qm2Uwp&lk4j(_o>e%y&?dP{e}fj z9ut@V1U}{$;qhA~>d7mjWXvmq#I+~s6;W?FMVy9(IZsLk6+#jev9v#*NU4v~FT(soGtoj$gf27ybvDd`1NJOs&H5U9mj2~9bzbsH@Z zbS6SdVXYB@wm|j@R0Vq*KP{^|@_T7}xP-D^1S??VM-tq5BFD3D|2p3SJ+ zwRMXo6~`<-C#5sar*o+zNRT?9uVq-?G!R{Nu!1-s6^pR3T*R`e8_9CLvmg-U4QB7L z4^Sf}GS5@@Z})k-cf8TmxQ9Tuz;a(4+X_4^n-5@krjQ#OXc1X1L3sB&)d!HGi(&ph z9qCJOLKK;cpZYlvPGx@U2+(Cka0&>hJU9~uq{#_4>oHL zkbK8$E?%rR>4^bY0{tyOqZ=ki18()T`_$L((;g!IAi7~HqT07f1JE8?gx_&T%tRM7 zv(mKW+@&PMC%Uu3zf(dn43|uztQR&>Ovi5!a=kp0+}uQet?|DwR}(AD<=_)CvJ~v@ ztxOS;q;m=`2hYAi1W&-9{>k{+H>hb7;9o{`j2=>If_jbE+}A-I{Lzo}Hc9lp+`1&t z%u-^ysA;<-XJGg37TcGo)~_sDuWw&t;JYsF)`sl4-<^(mtLC}Gf7 zOj6CZo#{>FMl9kKBSjo@4zi9a`iX~xekEz0{ZnJ*cCciDW{>%>T@!;llX(Nf36;l{`NcMJC)9f_1? z8yi200{+9L*+&P)%Fr}*K4U`>wL9hLNEJI$1qm@Dpx})n+7kXG*&YO^|n2fBBHOX2_ zeH){j7K@P@Q#lO|U2JXLy*qqQmWXq|vHnAQ4cOLA98#q;_Czal8a?FZJ@$|C`!C8r zYD|QPmgc}2YdnSEzjG0Mw_zX8CjJ|DUy?6glE0bfH}7-VWE{{|x+V(Aq&zmh2s1%n z+IooMKHh!1z_31kM<9&Qm_R0bF}A#9=EpE%*ydqvjIO?-_(W$csNIYu9SoOKe@zNh zboK1cm~0Qf;EJv;ppg2UExLM+6-tS&o@<4~=<0b^NQ$oZP$>KY;I6bnfSYfH0C$xY z0^D?jBq#wcvi?R_&p?zUxXU4dAk;T=EWu?Zhx@2yN1dn+YZZ)L`|-pZ`h-b%;!dn>cA zY1S%pn%PbH?1N@)gNqxFdn+eTrDIyC1n#vLy4iKNb0rfSaFzKT8e| z7rKwU7TJ)Sa+GJFR5RntBW5&ZVM0YVOoLZkCCJnRGwN#TJi9W_7=~Yr^O;I_y}rH( zJD$VFn>-;fwS};Sj+?d%2FH4dVj(s`f>2ym2DX_IAAxWEB4;}ouX05_uT#Z6d zG|?RuIW<5+aaQk280;;cCyvEGV%(>4RAxReOdH7h zGZ@KRSzs(du$e4u>^UD=I@kCn5~BUh#m8bsYV2g1#}Sg?I?`KFWNT(+Vzi$fiJcTtI#Rtn+TVT35q_O;Me4ng>J`x= z(f%W+Vkc9Qi7O-3H%5;XAB>%{N1sn7!hy!ejxZF?=sua*ZDd&)9v~@=RIiRyl%b{^ z!WBD3m?HH{qo~v#J4N+ULN&a0Zix0rk09O@slLvtH8p0WjiV}#R4BB;5O2ZQkZM9cz#pFgF)aZRfN-Q$?gH>O;qDPOC%{+Bt1$j`Vu5kEMA2qm zAQ2%UOL)e`tuKMmY80B2nDosn1K7GYuWS~S@}RzJ2J6!wqV24=Ti+eVyLED1Gt0wT z-mJ~w-Yz=^w|LLBx(Z(?j+GugdGzF&A5S^hj1AObqwjsv()hB}%sQyS9C;QYms3xU zz*)E%QAN17Z;IX?!`r8gRb& zM9Iw_&zT?pRD8k~nGtD(xKFc3Hl#-?C0YO?jhR17QBos;tTBn-`0EHGsQ_TwKhplpI+h|U-^BI9+4(y1ASb82hXMJwt{d;c zvvT6PLGizJ-FTaq`Pg32g~sYc=xSqgB2;KJCPIr0IT55l6A_;?_+;3W+R1;Z(QuuQ)C7FE@d;yNloN9 zK9UnhrBp^j4uTWP98KhiA1U)o%ISxf&H0drZ+5?%)t_}95;l*_omd+4YJ)Y#H=$(u zjqAREb-B&6fXgxUfTiu~bt&q(H1@~VJ2)>RDO|Pz%#BM*Ru8SUzpGwIYyIN0k-rER zDNe(SQlI(T)UV5bPb?->^*Cweq7D6G3t zmN;5KW6!c~s4tj9l@6brrZzi-__Tnr5c)^JpiNMKjTmKaIQ$A)1yp%S zz&Md%0euZ`bEnJrI}5lg)}9Ke_+*&BVV=tJ8&wc?YIDBOK$UP@=2AcOc@qc?@K$JO z=uz7|;=o;Vkt7;q9_@-XC{vd~*O3WKH2xWCG^PCsoA`QmI%Kv)u!6R1+9|lU?*Y8v z->zLrZ`@<@2lp?uRlAu1@vM!MHu-YSakx`ZSyCu4{pH6@m6u zjH0dBbaorqr|gIC+Zc9oSL4`~YXb3nP=zwLbMzduVh`Cit1+i%5UQQIbO{JHa~IIL zVfEm4jS6ELZ#kG~`}aSt?RjWBaN{yv=TA^%j16AY_Pu!^$7=U=@MI#&(4vfh->9Z& z17`xw9JT!oAzp(L&r=EB{5r(8QY_Izy<)(xR~+{TjM6Lk5YZ}L1v01KR0d4txN*Og z%2Co*No{{sP-dBm6wd@End1$NH+0cQ8_Ih<2L^zKo{)`?lviLm!AJ>BH@+njT^I%s zQtj?c#ks7)abIRz>aq%{mG}rM+@|8f?h7>@x2nHrHc_`JPZg=+_lFk80b{2x?+014OY+VK&Ilan!E zj3uarrL7E~wF|p8ue>j7^uG3*!qkFv8?F*adIfx0e}6TYxYC0Zp@9uLJ6}Pg0>)3T z;Pvly8Wm&bz!xHAE{vDD+b|WP=cXFqt(n^f>1N7 zIMwV;T@2}?co8uWDWb@=h`fo&wG^3y$m@t)O%XRD1Bfi3Ncx@vyy6vl*Afg(AT0t? zb;Oisl8~6(thOH(bec533~=PCf_m83o(Hp6AE^h0pm~bHnEfTGO0A*#F`{4?9vE3%@q| z#n)lxEI!r)r9e_gY|gF-IAP}cKxW9c_wh+Km+@Ed38GY9v4^5CX=ncs?<--b6uPgW zB-h?2@T+D20>9k@lD&KHPY^P^g;Y+-Fzk?&Xx9!icLmVyEx!txvFik3?+Uca`2Hdc z=iWBkjt`1vauVWyT4*KRC6=h<0?rAE0c|Pe85tL4 zNTC_Vy(BP;5AOR8kh5GWqKT8#Sb^FtuFQK=aJ8K(KKQH0Df3;{-F+cd9hl9Q{HHJ# zXR%_8i4V|F#u=nyS2Im@e?e)-dFc(5I@;J{RDrbc%2&}@wAFqNRns67pQ5U8!Z&T@ z$bSj0yIh6c-6N@LEZ=Wj1Gi?Z96$}{9EFqb?0KG%Hk8>$s*tou8 z96@vY1SmR!aTr0hK)|F<>O=u!HA;eYm{VgzaB3*UC`59|23&IyXz=zm$oT-)6Jcd@iN&#I+`8B9~8$Lv@{JOxl&9}8!)i=^7)_2n=n+F?US9PzxhDe z@bQMmnK6iv#vbeVtoQ_cz8w5&efV68azpbEC7XO5Y1uax;#<_G;$_Be1tQS|mH zIYdiX&hdN**&I(-H#iE^=S&n(n?*rOYhpc(Td<-V7)=-*P**}J#V3kQ!^91h;-Q9R zd}QyE_t4m7;uDyDp3wl-Ji)3QL*1%e1l19f%;`7sG5C2e%qok| zpj1JbGSrE(5{J%}FrBd9=0x2=Ko$SKtKTP(#l zF@k&_KZ35r2x>#$V4mmEGd_}ji&ShFtdaBpL_uOCbt0G?Nqp6EL$9GPRG7=!U0VBy z);>tznL0@qB(X4JMCkc^Kp=vNt1pQ$d-t0uL*+$cft(Xc36i#xO~Vr^yWQS93#%R8YFFz*1kH-kXh!&4rjl+}4E74yzKG;w z{ra~EvhWc6)I;Exn8@$r-^?*SL{KY< zA1kaFdF4Lp;BYQXA>*+m!GzS7jc;+3ArbW`D zmA1$lTeLC-Xd`Rz`4qd_$B{ojhFo7OjKOj!8$Pn4_F*3??f925sNEJ!B_P&N&Vyq) zDE}X*?@>ID;CT>FC!RJuGM?MI-??JrJ;(ZqH5xht6 z9>sf97j-mSun*ctlAJK9!AJ1Cif52;S4fRb2YYr?YbS=SmM2m`<3-#6E0VCfeBG$t zwrn)Pf6qAov*DdLihBJ`sKp$91zZ*o1g>O%oU{6)koseDBkvCa-ra(51kV$AqLub} zMw{&*j~ouaO0h<&ig+PnKYpU zaElTTFO_z*enLO+I9fZQ570KiSZNpa+n!BOcxWF; z$`0vW4YCNejZWVAIvf(z`A~iQkP*!tCDor-+^lK^l-q23ceH9oBq!>d8L6~2k`BLb z(PS*P%@Cb>R|_~*=kMe1M=-$5+?y>aGedp)ZsesXlhmhgM<8{Fgz8SFV1DXdzsEg} zmfbLA_*;%(zij4i=7pS{M}VUEgsu}n$@l12o)WdKxTQ^6@wNNJMFkOCX~}fuEek_v zs=s9)iW~nx()s}DiISBQU#oX*hb+OjK2S%UnY#)2OTI@*x=z0zgO#!%HK|^|@mt8c zh6Znsd}C;l-xwyT=rpGx&?}ak!{Kh|B!|A|&L!JfoSjJA& zCQs3^lQ6ym%;EOYv<7@@DQ1VYpg0y1$cWNHU$LiKzGm)r&V;>WV34mjzKIe*vX{&y zN_NW##FjyOr-pbYZD{h0H0b(hvNLIt*oNZhP3lx`TnM0aP-(6!KCx`p0wAep*DM0a zF5jL-w1BT{K}5gP(IWVu_zEDyyxx4dMF^80Dh17*_+mo>`5)9?ToT}D+76pb3Bh>Y z)-Ca?h@`pCE`T13%TARv&~g%}&@ifrU5~H4{ntcVp&_;S&_82{xKX7mwwJKulXY;J z5Y;O^hzRPH)Bq@KdkgT^vdL%!PfGlPc>>x?*}5alTc$fA-tJa9dk_o#j*j!xv>oYc z%pEr@3l*K;R7+%QaIjKWkX=xFM+@(9bidzI4(+#TTOG=-?(^bJ>iJbW?V(klXX`}oI;@=P`GVFf zehmu4?9hvG9ezr~FV4AnU-^X$WdSIdGd(^>ziEI2jAcK%?*Iw_B8?y#L@fSnt0Qiw zcb&wxi1zBU+UG@9?*LH@fm#WElyGyJSpU`$^)Ddnth0;eWT7&`wvKdvAcj_Hiep`) z_fI=h*q`jxBkU+}P(klYNO{ToNBN7a+5u-w?^h+or+!e-n*R)^{<#<59)4+#49eHr zMP)uwc3ph7zHWeL^UPmZbW=X39Bz<=N@8n8ZG#mS*;+~4Ac5^@&Q?inKP$ja_eW=E z2P%Ovjoo}y)E!5)MwEaDrN}nGn^MBBcY~yCi-LV%Xr$VCp*wy_blr=aAF@fTEO zOdpHs<@?Gr^5P3QkZYXH1l52~R1N%!TK3{8y^|B30Vz8QeZ0JAlvNCxlCz8M5bUAR z2S3)%SBb*xe8kvN8qM9WIqg-BU(;ma^QW%He96k^MH09({1T5QO8Q*tV-f0I3ol&E zFI1IT09CH=J!Jy+%iF{YJVm`*GL_rvFgNaWm@n}p^Um=(mrFC5k9w9%(?u7<-2*AC zp92>5>+2_-uFL< zJ?)-QM_mB51oaj76L9;eUNud9Z4gtW`<%E|Jy+14qaHS2d|Ry^F*W6E+@*F8U>8$7 z^rC?%^uRqdb=h(6pS7)0>vT*J=ABnPGVemx3rd#Sb(-i57Gb`e~Ze^PnOH(c^v+2z~>kxGW^pQUiQlE3H?{gv+07o@O?}4Nc9$pVy@6Rtcb3nqADO3|CCjZ| zRi5<{Q_d>W*f%->4~-p}*xa)V^Oj}3ET3fcqwG+zd5M5I%hm($VI@0JO{f5s!0)v! z_2_GB;=`=^EZcNWWSNDS9`9<^Bi$Fo4eEteyC7y7y~EDVY=|j%OnGmTlv`A@puNzV z057~4hrrO=N+5Bxt*7VR94XiETyQESWLvF1Jtr%EoxU+CdlInvgM4^GNKL=sL@^~R z0lZG+GnU;Xv9F10`-q_3BcfLJHA%Zia(0rCAxhic#r%C&`qHvfUe({|Q?^qD@WVA)fy?yd6ss0 z-dV)gIEX!;r1?ZOCfx+~d=L`5|LU~g1HAxiD__GCw2*p*8GZ7b=rH~7g*)LUa{jIr z`Wly7HDaof(@qR}Ju-?{pKo*!FR(B&iYwV#mDm?HkU)v4gjTN_^d8#T2a&69bfk`8 z42Nt@0Mkk({U(Q2CE;_R>h^yu7qs8}BTc?&Wm&ZULU(5jq9?MUOtEL&Epi#lo_q1s z@Uc05iGN^$pTW@AvdAp%qW0s^;AQ>JX@xbk(&Kcf`;?O%`p6XAIJ(x0$>m}p(ao@)B`i^Mg;7yc+WT=u0RMw!xDI_FdKKKg;q7-&6-4SlcZEZ47Scrtmhbf z`g$8*@psdTUkIwO;(H($KLYV6mnRbz{f!b(YFPa@itIj`WZ3H#9=27Fs_mnK^PwMs zC#-!GD{QwZZq(ObH>#Rk^=M*S&+7RfXj@&-6sY`Gm)3yKk%+88#7zbKdK)C==IHu# z5z-=3J%!fNbg^|#xP8jlTFQk7)>6HEce%yo7vflK0pOY_GWa^$$tg#2a>;W+Goi^@ zz8F(TTW_OjSntp)ZQ5#VL>G^oH>%%Uj7jQ(Mn!@S z36OQ9QZ)|Y_|DnYMeSBb6GD(@fJ5GmlClP(C8=v2hB76mB{`onu4`>ba$bkzft33Q z+qXLK@fLO$zr+E~-$nO0K#pA*#lh1>wT?@>dx^2c>UChalu-S^;e@o~+DaPdFlj3j zM4XhdY@EY~iNgQ@ACgjzG?jZqJ;C7(6F6Mq((GD!-Y8UkE}>v$hvIG0A-|IT3@PF9 zSuF(xm#Wru0o>W?AeLW4!ew0E_(%!=1B2Dj+4%?XI3!|-+A#YuSL=f2A4moI52WuS z+}=Uu3Uwug@Nt~I^j23c;<5a_^p59hX?rLD%ci!J0t|s7{p)bI7W9YcPKj3O)+_V0 z4Y+0wD5?0Zr`|9Y5D zM_OlwA09zDQ(q%#>3wU&K}0(Gi0JsMtT%pDVg~~GMLJu@1)^1UUUIl;-O;x;!O7jv zPHai3$g-tXI7C(?G+-}<5-17~XF0%wE2?RvrB;c_C4i)JV~?86JH!&j*l2Jr1l3(G z@Rt@#t}r~~D!`Xuguzup$10*+S;l&}GHI`Lan2`pPS{J$R-LAqy%{@rm~asny?s0F z+Aar_46|C2vpeF{X!RYb>qPs{NJPLEx>J-FsrTfW%KVT`FD>{ysX30-#L@GdqwiR6 zI>QmLKX34IBIQiAT@suR`;o-Q79Aw~iWnDQhrFe(ovGeZ_YR3=;G^R=#NU40sa(ef ze}%Ckbi7G-*S+uUD4+`hS`L0)@Zj%wO&Bcf)^ZB>H+GNUGZ2!*P1uAM8$7A7%GG@i zx4ruF$V1-wB+f9xbA*l(Vo0A)q+y{Pq@8!127xcnq6ke#~4`L+qu#397!NR`5rSHmvQtKVT4yv`7rF(nYrV}!E9Zsi5jnEjn z`M}jtG0OX=Px7{p>^Np&x!%jkmUB;*f!7+3ES#ld>O5a8?4DeV~Cw$J%z*S+j-p=U~YEU?{cYLd?Xnoz(q$n62=F*U492m!m>VK zt?Bs0L&Zo!z36pz?E^y0eq|(pO8{V4{V4I_7<+D4k&by=KN^ml1&FERVwZpPSJW|> zo0IxVdFOEMsNIrTJ*vE{s^jP8Z3$`xsl^i9(xKI^neyw<`Op;J1ad~u;aPv@V_ zw~kpX&kIs`;Aw}!@KrI$mg(Om!Qg!l`xMqk`-(ZbI4v^G+tZqEo3yY-jAy8~;DS)8 zq}d=mP96rZeK>rhfP;lzeTmC^rnM}Z*LgxI!ra+xYYgMlgko7`eAxS5>jrPdsFI59 zX&lRzV_Ch!dH;7&oR;IBc&hWsGj@(ak#;<-e^v4hx9-x#sb080?2M-228RzA8*Q5j z+MxmM=RWs z6lV7xxvS7Sxbvz6>|m?AIHnbsq$%mX@QO4+KBtutsb2)|%OuTSSnWnd3D2C0Q7&*W zo!3K%noA$SSTbKSmv$!Ja^k&-zj0=UzvCeT@)`?)A6lUr<&t=3PN}DrjV)xQ_}pD-p5M490mNJQ{PPe}@C(g~ zDYWL{gaBWMIX3(*T$jpmvF=^=V!RZNk2fO+y+~uM5%TIVOi^wMUSXn zo(211^Y_ldcpPlNwyf(z;0qeRLIGs<17^(k$Ju!$wz_*L4K_Gb_@&s2=4G>TsA}8i z_i`_;T*8VY$alXqw8GxY(*W`XfXFlV+=&-X0476&$t4djl;2BES#gIpi{jcWiowFq zmWDB9{dJ*L&laFcbLmfz4p+$MfgD?R*A!6o5=4}18SGS9dnh-&ZIuw0tY86LqDHB- zx%3IEU;%2LP|(?V6Q&RfJG)8|*h5f+;3Vzgv2Zy%=?f!!WQ*QOIFbMMY={^f^Ef+a zAO|uIS;ppGGNRGM8nUESpRJYT{)Pc*~Hvv+KNJ1THe!^zGB&Z z_R9D>;TxAL8vy<8OhEuI8yE+}&5Q*2IOrJyod?iffPNAjnWJQB_!SF<^BF7zd#P*W zd`>*O6|)9w?ZJSYgc#1q9Ng13l*I;(ZAd{u%qkM5 zZ~@@Xe%xlb9<3)uH)Pua?`bbSCXelc!<$Ou*4asiUCUO|_;PmA(T($$* z+(sP#W%lh9#XFREx6oUeg_P|G1u3gJkwqi2#$OkAC)0umP-3vg4{yjE)aCqT>I@{P z2Nt0Q2SV^xOU+BXrzYNRdMgW%<3cD%Ad?eWG%w&Y;;suCv`+<|`08XfYD=Yjaw>5L z)rd=@g% z+wXjszJu>QwCf6QfBOt>#Od+&w+(p@-3Ogf#SAQM-b0EL zZfmJA;`R5sS$DjfqDLtDXm|V_T0+_#HfTJqfU`>jG0D;nd3fTq&yViGr3LRch?Xb;eN3v zsbBB&35q-9F`hq)$)m0Db;X_vV|d7Rw$aCWpAFA`Af1?Xm@9FNYN|f6d zE`H7C9c-IfIJg=wcj4eZq3d-Ug;Q4-lJ_=dQmtQ zFqMLY*RecJuXq)I*#Hu|0V)+%nKXMgtxK2^Z4;9el%$2bMD zTSSTq6g`2cdcZ~B^&_`#hcSgGIB=v)`llf#qCtbU*s$0xTlogMT$u!5$;^$!umGC4 z6Agn9F01g*k+`*$Zo^c#}ux-gEaMR(YusDTHk7H zDImJ?h^{i!*3i(hfD$~E0M7#?be-5DryE$Tgr**%K;zVyxp&9#x4C*k*~S`N`GQk= zw((CqV7VKjU9H(;750kpx3H5izK$DAX6_>>sg9U?e-GZt%R@4J;Y#6H2sNW?s6k$c z#gKB~9||}y6Mke%h!Qhbpn@AjGi1}!HaBtuhp`nX_YgcV!q3gj{SErRW7h%Gp$&W70b3_C05Kl z%poBLsNB0&tlWzI#fte?QgbQ$7$pRZ=jcVJ|KnWc7Bls9735A!7~{>j<9C=k#D8qA zW*RsA9woG;BI9_Zk_6gv%cccxUb6B>L>S+%=BLbO4(<{%D znSwk@EgG~mJ;F)oZp{yG0|}C;ZUYIv)J%rIwB_mO<^&92%V}Y2`z2Ncj+g!x*sk?3 z&oD_nXUZi}JHCdw_;E?e!uP};1F7KPyvsQ@>iB~?IRDSkq-a(IhSG{rv9ioN-1dv& z6WE1ur{NRoiVhQJOiFdviQY=FLrDz{ZH{NMo+`S#r^em~H@;89Vnl_)GYx|zQK5r7 zoNG6dPe)pKgXoJp;Yy-pA}Gaiom32$sUO(e{vJC?u*S@N6iB%GgkF1w>gLny1~$SO z4?FD~9p` zRO5e7M?WnkXZB(Ll?ZqQ~CI_(O46C_mxr8J%Sq525;EbO}H)$$;Jp2b9jvwX`5qE7W z2|=YVUdB^Wd3Mz0b3XaUA$1~>)M#Ghib~W{AZMVKjPUsk<@wHIioGh1`%&+6S~3jWFmwX66^RB z<;|0`!}#vC?zbr-+aI^XWfjaQKDMkr&-uuHtv>H)oV~aX-&V*eBSL!9Zmu+K>y$H5 z7wEi+t}J0%fRt4rMP5#(bNN~TPM^@kG>bnLZ=4etXb0C!oA2ai2yWg=FwC@_oJLU- zA2zuP-GT4SY%ztcJvB9ZPEWSE@b$!N_F?{D7jmL_2xrkfN-@rD2EAuwM_(#IP;280 z%-mn_iS7Ns*-4AMm6w9h$%pa7Z=ZA^fHCB-$~!yvBi_913f3#<_%GEW zwlWNKM@Q=Fe06pHKwTYfkS@XB#x=Zgd2zjQu+N$@Fb2r;>gwwKSdBe^GGE4#aSkFb zV*uZ$X`oNJz^>uKM~%s~%3>@4d)(W)NLJA%wk_wR7thy1X&*zQ@(c`yO*zM$t?I^HA6`2zFtE z%ylA@Qi(s@6oEfj3O?ckytu9*NYLA1I)p8@bmeYD+TO+Y3A*33d%sOt&Mx{&VX`u3 zrvV&jb#|gc%U<7co@#Y=l08-Lx*7W!%P|paAC~|qFeRD(TPRUR2s`M81}iD(*Po^$ zMg{C9z6Nz<#mJhNXr1vO+$kDq=+_IFn;4KaJ0AzY>7fGaFha;Vn|x-44dNfar;f@1>kB95%~im z8iCMRYhA(e;zqADCT~U-fUwKn3QgRMbpD6pfPb2%yrEtgxXWF90;3b#fkw5L?sXyu zy$c7P0pvQr{y11Uc7?K(wZGwANlt-xwL!*j#2-y)z!`qfC?RvJRx!XYJAzj*pbW^3 zrt(I-3hSie0I*8H{32y(;>zeUq&g@yX_&UIj6VEd6L76h;F=5}t_&E5GKnV+U{`Jo zgrwwQ-~u!swNvs{%VyENT6uQB*g;AReH^g}T@#;_B;@=_A_N!OF1c1($FE@+U!&}h z9XdDNFWR*=U|qu)rmd?;#_v*o7bDwmJl%uAKsR1&Wvc0JTbQ`7k!D@@q2)Jm%_7ZM z``hHL4b_t-tnqT(Z9izlb&D@ieb|uWl7+DottFFY@sK9%qdDM}xrelU@*P-HZ!TxN)(q^s|d&h5fQ?tt_ooruai=#7pJrv!}*n_r>FST&AgAL1HCuM-5_H4q^Su<)5O{ii}l&vv>%Hz&hg#K+^!xoMX%%&mg8IuJ1sM zb0a!Ntdeb{jKRdO!OG!=h{S~*)OrB5a!pmiR#QTRWIcwx1AiWgOvvb`_-uBVu4kP~ zWxa`>0V~4WJH!vc2$5g%;rQs@I)4&dT9>uMchsr3j_`fF6rHW#Wz&>Vb>-P?EULS( zZuj61`WfeO%-+y|Z>eV+SrGnD_C&=}b%3_qsnIJc<43|DT!T{B_*c7A;;G>e3Y9dZ zBMHY9nDP(OpL(U$w=>KG9_Pb%ArL}dgW?H)P$XycRk$Ebg!p3EPVbhpS8V1y)<-pBEW2HrW)*hlg zD&DCMh#(xF27FFESro@~vD%u29+^B5w3&%_&g%o{P0nX}{Kl_I&SR{dyn${fUC)#e zv{v#eXLq<2N0J7(cM%hC*axxhvcC&+2h>rz#*}w*I}NM~H;#;PFoV603*RhFgX)Qm z7j-~<-Q(gj0J%?@`M6EFQ5{H;Gdf`86@>6z85nqrmMJ4UuQHCq+%Z()w)No)u=D|5 z6^^HIc=5VDDb_cD?YP@L0@SIfShE8(MTT40$nbG~dNdNp6(t86m7rnEqq?i06y=;oa|CDc7 zInda&1+MCB^=Qd)`FeaT?wyiX<*Pd1kpJ3kxR6BOoqH8YX-N8g{M(I97R9SCpj?l> z`a|nAN-t^?iSA%sAUcV@R0zHGw&+)FokQ|Qc)OjlISs<`exrbY}YhbU*C)Hz8s! zb3bhG?;v|Ux`AxEA9g#v1^g_+ceBns5AEEVPKbs1> z(+~MN@h3>{MG)Or4DZKgO^_Y*hVNFdHZ7iKebE-79E6aJkXU?-<1CJ?l{4XqlTP@t zUjN0ebR}tg{(1iQ!sDUDipNaq``~alU*w!oTPYglwW7+q%*KgJkcR6G7GVY}9R=7t ztPwMQ$Vtn=3%XlM{CE02W;|QJ=R#c4@A)up*Y6o6h9~e(k4`E@qqaw;tw~hzc085S zY%#%>WCA`?oT%$Hz%Kz4u1YXrvc&{c)u&Cf7};zEde@}jY#WL(BseOs+-B$B_ z$>wo~mQQZuVzkj?wQafC0jq{?XF|urcvGpb zy|wPW#oH3EDAL}K3A=f1rIjR= zbm5L58!hDB5V7BCk3(=R z3Bmo~;Dgi*^CQN`jQi$93kb!$Q$_6v=%LiS2i?? z?<3)zO;{CUMBx~w5W4d64Ga)^XtuMmWteW?G`y-7mu>Z;CXQBhp|6#Qn5v-8r3}Ma3U?ggDi6-U*TiZl z%aZVoIDg9b5&J;OnsuGeB9rRcM%2DJ_2PkeK1K9WkQdT!{=8xndE(;pbP!-)^8|@1 zm6y|l{VFftwXkB@&^(N$el~&s7 zfVSZLE0Mm)ZTjKP=qcgL=I{A=VeSLiOJ|VmlJumu zQb^uenj<=t8pzzLfMe^Qwhn`_ne1RMeOepnTp!CI4^`jDnq$`UUlQ!1^LAIl33z(7Za$!lLg zJY<^u&~~y!6ipjpl77MW)J2z#XkXsM=SAq$3)V-`Nzj@BN4oSGbPV$@eI{s4CPF*0cPG-HOuY12r}s(y zkW@BE0|ysM&8(N$fp|v={RK6o0o4y&hHmk~X9V_Q=&hKETakXiehc0ADNKgY_X>Bx zp}tAs0Tt*4P;x4q-|8$x0`Fu0<15~V{g3B`8Bpj1V+#wXIp?>w>Vy!W=fFaAl-`js zUC_SS3ABTV$uhK8Jaq!WGsITkqOedEtUw>Xu6#TdGv#V`gcQ$4p|L{QC_>!bd8LaG zL11a5I&c<+Lw1R)2T+*iAyCaK&<6SfG|$XK>ldDsB|tWD|peRf+8ux*f2O@zQn69I(j7VX~t!#$yF~Q zV{<5V#XzxY9ixy){viYbb9Eo-AcU8;0%{U;VwpW(iDf=T`w>*;o7&2ZcM~{-k^5=> z71|y+)1hc6@CKBpurQQRSlHY}EKEUOsh~GXwW5B8Jw2T6y$@Y7e5lDw1_V#k@mC!$ zsF~P`ZA$~R9@B)cZiFu2VOFT~NP5W%A0U>4?zH~Lx)UwDK<~{;v@|wZ>O-~~#~F;j zSp`Cm0_*!m>`Mud+72MU0V#}{p-G^n=>!v`#pQs>RYO=9~DB)1f& z>9>d=bQ)Sz8E5uw>ok3_Pbdt`utO2kY5Izt2D>LvznzQCoEi&c0V46w;(8A1FyE{W zoq}8|lJhmzEeDgDyGPpqd!y6_*cJU5c4rF2^r_28sUk@#q!T{qE>v4unbx@9?$GKY zA$R;3A(hg?-VsttvxP+H+$8kJ65R|jgfh;)Qz68Sd|E!;~ZQu4ekk&|d=ha6TAxK0Z_xwmmruT7gXKu=xuBOCbg6Y_T=hA5N_KW$Q znxCZ7tAq$oN)etABRmR41R+AA=~(gubYF}R>Ca6|V9m=TD$MWNHqxOVXRc4KdKYCz zhv-BXsepZa+T4MC^l9q`t7nSr1B!}JR-1V$x#|(b6-Okc4R)mnbHtnk-R#BG6^X=R zo_`|BH-c0yfm=x<-!El{`?^kCJ@Ro?nmFO!YO(jm^)6T6_l!)IV_S^C+ulfL)CN1s*B6wFMV2*@G9+qI13}sNa2#J z(ugVI07=rW%HmxKOenB$3uHDGr7tFxH0ZdGMw!JT@nhg#5J?8-4j-C65|^oVa$K%Z zu(V4b-}>>T_lKT9ce|P4I-!Dyn#zjX-5O~LFTEfOue+m3CIk&=n$drQoBV`VT-@Ez zWle$sibwdcl&Kk)fbY$u zq(e(})$!29JRGyC!Rmxm^5<=rY7zcYP)i#7910k|A$%H**vkps*GLgUuI>`$(4R|8XL0Ec*q29$VlFqFs%6k-FbVowKjcC7jn!_-kLD1 z{Cs#n7KB*T3ulo2VSFKKH!+&_32ITfj36C`;%80(Ftg2K#BmQQ-KZpyN@9l`e9z=+#a$yPJr=M zZG)$H#q`3Q0*EC(*(bFb-x<82*?=n_e=meSg%#c%;mnrtG~pZy;uIi+3ZYYxU%m)F z6nO$kB1I(dCT!5X!fGURC(73avnCW1+B6g}x?}E##(DtImr#!97AG2okb(Oh{Re4xp#^S3EdE|G6^PM6+LCuMz(xhp{J0%e^^{Phe z&|+Y~H%kfjfc}S=VCWD&`*F<;;+0mCYd|iCd%eUvvX_63e5N-qfLLY$Xo;~p9pB^` zSOVTU5gX7KD@0AY{%f-1vcZ3FcH}JxF!q0z*!_qx7fio7F?mltZsF!rvzregk%y58 zm|OF3*dHJ}Mr(6lJS?Wv9ZA!Xz{vfy6uZibvxi0~}Zo*$=%KaMcetBOY<< z?qE)Dkfz9VQR`WdZ(`KbejhsCvc#Ism!W2b+l*MqysI_b3I;Ot z>yU8t3w@gHB`KKZ<67i%JLNNI9QI4kqsJO(ES#u3m=GC5eg9yBp`JepHP{oa;S)tT z9M@G!4!5B9iujNqf`sdZeMqT3xhdU`CRa64AHl@8EGedHllG*)eAjLT@zvY9omq1O zKN$HB$R1~C33f4@S{NVyh2b)6Cty2dI2h_NA@rfN+9xM;wx==er8I2IDV%bXDbh3hDciK2`rMX(JW{Xp><;d?QLR(v#QHsE5ob z`Jv5ZsZ%rw2cc&s?jzyA{5bRJS<8kSInpXv-W_Kx-@w$1p4?5+KPVb{ND^bXuP4Sx zCNakRcu|m1)F#IWAHwJ-NroiF0BdCE1(Cg&@I?v6^Ei)uJpqQW(xDF8I(#X(232ZG zf##x7h+l~HROk+HsBnXzO-je9)^w}*n`N%>_K)P-yew~i zTtPtrqzj?MH|ezjgKs}AXVA(DumfXW#I{jTO^1d9Ka!*?c_fR#vPUA)BM2=LsRs`H z!z04Jpf;f^BkmT;#Z$@K%7s9Y;lCxekcb0vN9$2I`6p9ArhrTVnF2BeWD3X>kSQQj zK&F690ht2-XDKlLExhd?VQdjl4Lk~L0iFjA0{6rJVPN@DyxRhg0=s}ZpaJ+Za1Ka3 z#+U-I08XF;r~qn#jli?OYrrw!1aJ=c0qBOb6@V5fLVmMgdVxj2Cg3ID0MG#Z8E66e z;69cyz<8ht@B+($HNZMx6Yvsn05}Pp2NK_5tS>MEFabHhEFb{T&xAS@0iU7_ePCXM zNx#I-PYm8s`0eD!Hox&e(Ngd7T^5$2NW(@T&~@t7aE^zrHJ}92GFVDQ7E5W&#`iDA z0WtB;!M|=IkOSRkfbti-xK3eqZeBs=)cja?N;fa$%k}&6L+(O%aeiqiJLt)C@o=B$@@2d4$#n(O1HoL^ z;)x;O#E>^VG%wE`m^_!Cj^&e7vX3t#Ai}{-A=f9^K%cGdV9$bfHI< zH(}Dam`9d1IX8X6q;{97I;GmsquXdj$mhDp>sIi7g~#XNJua_jiCa+axPAW6yi!GZz%|#cC_&y{|3XhpL>KSz``Y8_el%V=U;KCCaTG5Q3c3{wJV8F> z@+wL~zPXgPVy?^U6*8c5X@4~R*?ymUk%u4a;>l3(RK>P(sf-k_z25$S8wvY;<$lni z*uB6rHzuq^Bln_!doJ%T7AVtBMMm^d3G@jeM=4BM$jf{9(xBT_3<}M?w`2Gpr;8M+ z*u}e0+#cOr_xSw5G7umT^v?^r$`rgiSmyCvO=qQ0pX;`d+Xo8zePwPRkMvMhrBI*i zhIIw!g(zTol)g$e(W%7iM|Qq>ih$qanIlIk zrb-<&$8%5664w&vBLW>F6E{+)jTW6krT);&Y+LSL|QNa^2M_M$ub6K1FOBsnTOiQ{$liG?cnU!F)!T6N0f?5Pc010B0OZ#Sv(r=UWqM*~yZt|& zWhvuLWo4%Fa#oDrC`B%Q3bHK5kL8Xn9t(pxa~VqqFvQ(u_qc4iyR(!-LWbdITGISFl`i11s~nr3Y{}!3HKL_=4YwCb%5pGQ?vD&k&9w z4Dmx|I7}&vzXsy>b&RD+e4ktcG_UO^+qFM=-V|x_WAo+=^eW`uqjNsgT8NDH8!@u5JL$KeZ=Nd@wi_*q%hJg4G9I^5o z`O}=~&IuwX_;uWP%y{WuaBKEk!JZv-qs{@UXFlfIyD*_lK#9SOX&%Deq2!adxEuqL z{MoGn9sQV}ghzIaxKO6QtPF(A@%TV#T(RQj3*5nSA$|#S_)y49kko@nd6-=U_x*7> zZr1|$HSW*EO=z2*J9^$mDd+l&Ltd%fP`_dAZq$5}z)|7am0TU|lR|+j=%Kk0d@-hU z4)Dn*1S&|)!X6i%#D0T)oA?58UKWV-`{&JJl^dPDB6my7_A=w2V10Gh+sr z3+Bwm#!uzF(|ilTui5eVLQk$ z?_%8Yg(-MKF=J20=X=Z11q)nW@SQF6B$ak6*@b%C7molmmq;UF8~IR^331BER~!|Y zeoSwe&4^-oe6ciC*v<4zw9a1~a5Jaq>%#gaKpiKYy#)T}cE=Duj}KyAMQ42ZM|=ud z7fR*YD7ZA^y6%PefFYGLrc}?cY;UN%l**M6Dk(wt!+QpWAr~i2=``Q$6R75-g0kO{ zJw4OOn2K_l?8qg%jqF6^^y1tdf#zdS03=u31)h=QwK5N0sLzzev7?zU8h zTVV_GX&?ez0$PEt#~Hf`=no77 zG(Z|K1(*Z)fMq}!cno+E*asX1J_9ZQUEW7sfI9#qkPFNJ<^xNBT3|cyJK!zgFF-5M z>jT6AY`}D2KClFM5ZDa73cL+`0-Ogr{gJU7f&M@o>&B9pgJrQ{EQ1Yand~-pJBT@$ z4Pq8Hj%Bl6=te!*jhI~%Fgqg_OJ?ynuiu%aGdt_T2I9Vtn^{kG1M7z`_}+x8KvG#6 z>yG8Bg5APw%*w{FvFr}^Gp1xJre+#6`)J0okxa+*%)pGy#LR3I>&rT^p)5N;OI=hX z%!=9hvxT()++Yc2@mLWGwyAu%U=x-R(P_EFFHG4KV)0yJEkP{!@@G37v%xj!-R0^h z$0F&O78o(sHuq`iF+S7M^YB_K1)G*GEJTE-psU>NaF7*jA;Uwnpb!jC?FEy(+a1KU z!!^#)3Lvs$0eDv)kA<&LRk-9}$>f{6m}Yl@4sfE(%B~7DCFBl)>%>HBf4~Zi_fnpe zjaU{T?a6rkBxf?k+B-wRc|t9s6d+TqC01I|no%6#F-mGY@R)BV`0`!l_mVF-T!t%D zJQXF6KDJYcJcDl6y^~8yqMpK|P!@R71T2&f_AI=Q(z6S%z(JJWGq=shv%pjC7YIV( z#4ZAxK)*?T-cy3rjj*y2%aaX>L3AN1x^$!~B8#>11l&{Id~mTi-HL&wo`*2h4*rnX z5%D-JFT;uQm0_jPR_a0*Y8xd5@5eM8B}}14J-cnGij;+CuiF)*1)D$>BFR;*;-a9< zwS9>kbpr9E#j)h#D4**JxGBYKNqP}Y6kUg$J9Hn)PV%8nBQ#lzTWp0T=k~>llqI5H zvnZFmgg!;NMhiiGiq-(pXAtq4+DmRxB2u=J(^Vyu+&Y#J&Y?hTmee5hEOd@&zU?m+ zR8oYP>}$)9-ZzABWWfNCe=-GR3dj_YDIilorhrTVnF2Be{uff@M1aJF8AU_x)>{|=_Q9tod+J7QWY|<5oUj|i2l090EEIf|~a$?+zV4op97sD(Cyg&fp0bF#= zmI4((6;KVV1!{qHz;RYoZ3QF(NkBCJpK7N4C*s7WU4i&jKsB%ys0G#m z>wyixCSV&78|PnbPZ%t<70eW%xAdF}QvnPEMo4Z-m|DpmEk_of#{)Sr?nSWAke-WS zmI7WN0Pw&fU@1@mQ~}k%TA&tK2doD+0Gohqzz#qu4X}n4;+RXL%UJLuGcK-u^j&X6 z#=|={NK9zKO8x}-CsW{`rGU^05sAJcOGuLt9Utf5_w_%Us2pCVfJ_0I0x|_;3dj_Y zDIilorhrTVnF2BeWD3X>kSQQjK&F690ht0a1!M}y6p$$(Q$VJGOaYk!G6iG`$Q1Y= zNdeLgXq1#t=HOE6zgNiG4*okjJTL0-{P)uOSNkbCKhiHY&5D4iTXPQIsc`1y$9nzK zc4yZAU;I%w#HDxv%9!*oVha%a9M#Rh5x;-cLyW--jJFbyy%YHyg7S)`t& z+z4|o%$s1YgV_saDNGy}QM-9MS1al5dy3D}|a|z5e zm@P0ZFsU-5U{V+A14MO}@L3_jjw|wUQjp>FA?}?4x&Tzx8-OH$%1MWF`ZY2i1?MJ-3jFXs*;ffzd?erM%Du5%S>;iCO9NHL|1e5{MI41Z} zJr@Fx0Q4-xE`{HX@b7Lpv!y@#%a+KNyMH|W<(q{VQ$Wxu zCuf~hu-PZ)pHyEP{{0i5HvSD~>6eQoJCARB5vUh-{5JyUe#L(seXjS6%d7tGzbf?A zj{mNXu@b#J`Y(?Cw12TF+|Szo#iMuslz-9qifbtKf6oEK5ofc-c`Xwr;lKO$KcNgn zgTBe){CfEiOZf&k2b={Wz!{(sH~};OM}XIXUBC`t1F#-g2h;*X}X8`*gT}>fyCX7lzps(`4M=75Jri+2bH*S zkj#6i9>QKi93)?K7jPM3_>f9D+|`h}N8JO=1^2}$rAr7yd1teHmWA{cEC(@)$q8=B zh!f2_3#pZ}xk8x&2;)H>J}DfX9Q>nSF>;TC-3^LS45C5+WgsffW2GoF z$pkyPDcIA9rxr?}!cC5%je}c9nvg7N1Q44?zEIO*G3*p*^L&puL^5a$fEZL)fsx@VX(+>@goTXIStuMgQ4ebwBNcw)M6>w!^mfY`591_DS|C`$;=qA#qCsfPK6w+x>c zzBb%w9A+G23>X&~A2V(-zG-YQHW`nba?C;VW9FC52h7LKXUyv?EKQUP3{pR>Y1O=I zIBQgz4%ptcl}`1c>J!xkb!W{`&0U%~8iO`N zo2$J`J5S4NS8A)Zk7*BT|Dd&T4lbXY!Oi2!xN>e8_bcu<+&1n_?rrV^?j$!rH&z$c zZP&f3dr$X~?t(5(pNv|J(QEY?`aAVA^o#Vr(EnP$MgOM$GkvrE8-0pFW3U^h8s0N} zY`A1-GM+PbHQi~NXzT55gCy4U);wa$9bdWVg(IcqFL!)@{~Ttj*SMtyip_Y~5|WZ3ApuZBN@?vAt&dlkJr4 z3)HcTJ;~nNKGc4QoPw-|0W=nQvbq<9Pg zLyh4P!)C)%h8GRLGweexK0rJE)$kSC@`|C0@n&PHai~#av>7KErx|A$d1KhP-FU$G zsWD>gW3rkYCby}~RBd|L^n~dt)2pWQrtaom=0WCBX06$5&NSa?o@Sn9E$}!O+bmnq*3&-S{&V}U?Az=w*k7@~X|K0`PXf6L`py&dDwREz1C_&+BbA(TlCnT~ zw{o7+t6Z&oNO@HGp0Zi_wK86HtLk>uXq8DdQRP$>sTQb~s%li*RL`pptA3_VSC3QQ zr(UT3wfZ^rY4sQC@71FYr4FX@B6 zkz;%iee&3^Mj^Ct6i=9kR-%x{^$G=Fba zSnjnfvHS)UYqDIi=&d$TYpL~7>t^e7);FwQS^s8rgHn%S#C&V}jr~RYQTr*PQLU&0 zdqmx+{;T>c^*8Fv>K65I&7B&z#tZJoYZhsiYAQ5UnriUc6Pi<+M$H+`MC~+fK)X=8 z6uqlTyIK2|_KG%vOGKShxZYe}E){j3&jm0x7I8~CwJuk;K(|J>+qe%k`ouWNG~cw) zRAqY1w8`|W=~L4=Q>#f~zRf(&JjFc2>;iYLF#pQ@xOuC2r+GIx-Z}Gk=FXO6OFzpX z%RLsa3Wb0_ubc(gaT7`b`Tk8wf2J0#7m(~_*cU!9M4x7eiv^i`O zZTYslZF6lNTfi2wJz(2nd)f8}VO;&$Hq<`N?y)aJuen0>*o1G1?P={9Z6|Pze&8A-IUSb;ezA_*$^C^Z*6q^`(_8cv`UmwJ^gH!`)SuR$ z(_hg)fZ3qYkZK%dRG5aFNQZ?{geuCNZY zmDs$tCAOoszuEHbcY!|~vj5f2c9Cq3u|Z0eGD}&Zd`VfS{8rh5-rij`Ol4C&srr+O zz9m?MFiMqHWl&jEX{s#Mc+}Xb%EvgEp_-#AR+Xx}s(^|I4VJ1ZRJE!NsvW9VR3kVo zSH)FxwW!SoZj&(A(M)#)vjq}t6!piGlqt$oWvyntW|L+FxQtS#)se*YSp*rc%h&O` zD&0EWv-(~71VfUcH|D}&hEWEs!D7fV>t=qU`#aH&)6gOv-WfLZ>T>&L0r&7SHdp;WD3X>kSQQj NK&F69f&Wqp{6Dx|aeDv& literal 0 HcmV?d00001 diff --git a/DE2_pin_assignments.csv b/DE2_pin_assignments.csv new file mode 100644 index 0000000..1fe5ec5 --- /dev/null +++ b/DE2_pin_assignments.csv @@ -0,0 +1,432 @@ +# Quartus II Version 5.1 Internal Build 160 09/19/2005 TO Full Version, +# File: D:\de2_pins\de2_pins.csv, +# Generated on: Wed Sep 28 09:40:34 2005, + +# Note: The column header names should not be changed if you wish to import this .csv file into the Quartus II software., + +To,Location +SW[0],PIN_N25 +SW[1],PIN_N26 +SW[2],PIN_P25 +SW[3],PIN_AE14 +SW[4],PIN_AF14 +SW[5],PIN_AD13 +SW[6],PIN_AC13 +SW[7],PIN_C13 +SW[8],PIN_B13 +SW[9],PIN_A13 +SW[10],PIN_N1 +SW[11],PIN_P1 +SW[12],PIN_P2 +SW[13],PIN_T7 +SW[14],PIN_U3 +SW[15],PIN_U4 +SW[16],PIN_V1 +SW[17],PIN_V2 +DRAM_ADDR[0],PIN_T6 +DRAM_ADDR[1],PIN_V4 +DRAM_ADDR[2],PIN_V3 +DRAM_ADDR[3],PIN_W2 +DRAM_ADDR[4],PIN_W1 +DRAM_ADDR[5],PIN_U6 +DRAM_ADDR[6],PIN_U7 +DRAM_ADDR[7],PIN_U5 +DRAM_ADDR[8],PIN_W4 +DRAM_ADDR[9],PIN_W3 +DRAM_ADDR[10],PIN_Y1 +DRAM_ADDR[11],PIN_V5 +DRAM_BA_0,PIN_AE2 +DRAM_BA_1,PIN_AE3 +DRAM_CAS_N,PIN_AB3 +DRAM_CKE,PIN_AA6 +DRAM_CLK,PIN_AA7 +DRAM_CS_N,PIN_AC3 +DRAM_DQ[0],PIN_V6 +DRAM_DQ[1],PIN_AA2 +DRAM_DQ[2],PIN_AA1 +DRAM_DQ[3],PIN_Y3 +DRAM_DQ[4],PIN_Y4 +DRAM_DQ[5],PIN_R8 +DRAM_DQ[6],PIN_T8 +DRAM_DQ[7],PIN_V7 +DRAM_DQ[8],PIN_W6 +DRAM_DQ[9],PIN_AB2 +DRAM_DQ[10],PIN_AB1 +DRAM_DQ[11],PIN_AA4 +DRAM_DQ[12],PIN_AA3 +DRAM_DQ[13],PIN_AC2 +DRAM_DQ[14],PIN_AC1 +DRAM_DQ[15],PIN_AA5 +DRAM_LDQM,PIN_AD2 +DRAM_UDQM,PIN_Y5 +DRAM_RAS_N,PIN_AB4 +DRAM_WE_N,PIN_AD3 +FL_ADDR[0],PIN_AC18 +FL_ADDR[1],PIN_AB18 +FL_ADDR[2],PIN_AE19 +FL_ADDR[3],PIN_AF19 +FL_ADDR[4],PIN_AE18 +FL_ADDR[5],PIN_AF18 +FL_ADDR[6],PIN_Y16 +FL_ADDR[7],PIN_AA16 +FL_ADDR[8],PIN_AD17 +FL_ADDR[9],PIN_AC17 +FL_ADDR[10],PIN_AE17 +FL_ADDR[11],PIN_AF17 +FL_ADDR[12],PIN_W16 +FL_ADDR[13],PIN_W15 +FL_ADDR[14],PIN_AC16 +FL_ADDR[15],PIN_AD16 +FL_ADDR[16],PIN_AE16 +FL_ADDR[17],PIN_AC15 +FL_ADDR[18],PIN_AB15 +FL_ADDR[19],PIN_AA15 +FL_ADDR[20],PIN_Y15 +FL_ADDR[21],PIN_Y14 +FL_CE_N,PIN_V17 +FL_OE_N,PIN_W17 +FL_DQ[0],PIN_AD19 +FL_DQ[1],PIN_AC19 +FL_DQ[2],PIN_AF20 +FL_DQ[3],PIN_AE20 +FL_DQ[4],PIN_AB20 +FL_DQ[5],PIN_AC20 +FL_DQ[6],PIN_AF21 +FL_DQ[7],PIN_AE21 +FL_RST_N,PIN_AA18 +FL_WE_N,PIN_AA17 +HEX0[0],PIN_AF10 +HEX0[1],PIN_AB12 +HEX0[2],PIN_AC12 +HEX0[3],PIN_AD11 +HEX0[4],PIN_AE11 +HEX0[5],PIN_V14 +HEX0[6],PIN_V13 +HEX1[0],PIN_V20 +HEX1[1],PIN_V21 +HEX1[2],PIN_W21 +HEX1[3],PIN_Y22 +HEX1[4],PIN_AA24 +HEX1[5],PIN_AA23 +HEX1[6],PIN_AB24 +HEX2[0],PIN_AB23 +HEX2[1],PIN_V22 +HEX2[2],PIN_AC25 +HEX2[3],PIN_AC26 +HEX2[4],PIN_AB26 +HEX2[5],PIN_AB25 +HEX2[6],PIN_Y24 +HEX3[0],PIN_Y23 +HEX3[1],PIN_AA25 +HEX3[2],PIN_AA26 +HEX3[3],PIN_Y26 +HEX3[4],PIN_Y25 +HEX3[5],PIN_U22 +HEX3[6],PIN_W24 +HEX4[0],PIN_U9 +HEX4[1],PIN_U1 +HEX4[2],PIN_U2 +HEX4[3],PIN_T4 +HEX4[4],PIN_R7 +HEX4[5],PIN_R6 +HEX4[6],PIN_T3 +HEX5[0],PIN_T2 +HEX5[1],PIN_P6 +HEX5[2],PIN_P7 +HEX5[3],PIN_T9 +HEX5[4],PIN_R5 +HEX5[5],PIN_R4 +HEX5[6],PIN_R3 +HEX6[0],PIN_R2 +HEX6[1],PIN_P4 +HEX6[2],PIN_P3 +HEX6[3],PIN_M2 +HEX6[4],PIN_M3 +HEX6[5],PIN_M5 +HEX6[6],PIN_M4 +HEX7[0],PIN_L3 +HEX7[1],PIN_L2 +HEX7[2],PIN_L9 +HEX7[3],PIN_L6 +HEX7[4],PIN_L7 +HEX7[5],PIN_P9 +HEX7[6],PIN_N9 +KEY[0],PIN_G26 +KEY[1],PIN_N23 +KEY[2],PIN_P23 +KEY[3],PIN_W26 +LEDR[0],PIN_AE23 +LEDR[1],PIN_AF23 +LEDR[2],PIN_AB21 +LEDR[3],PIN_AC22 +LEDR[4],PIN_AD22 +LEDR[5],PIN_AD23 +LEDR[6],PIN_AD21 +LEDR[7],PIN_AC21 +LEDR[8],PIN_AA14 +LEDR[9],PIN_Y13 +LEDR[10],PIN_AA13 +LEDR[11],PIN_AC14 +LEDR[12],PIN_AD15 +LEDR[13],PIN_AE15 +LEDR[14],PIN_AF13 +LEDR[15],PIN_AE13 +LEDR[16],PIN_AE12 +LEDR[17],PIN_AD12 +LEDG[0],PIN_AE22 +LEDG[1],PIN_AF22 +LEDG[2],PIN_W19 +LEDG[3],PIN_V18 +LEDG[4],PIN_U18 +LEDG[5],PIN_U17 +LEDG[6],PIN_AA20 +LEDG[7],PIN_Y18 +LEDG[8],PIN_Y12 +CLOCK_27,PIN_D13 +CLOCK_50,PIN_N2 +EXT_CLOCK,PIN_P26 +PS2_CLK,PIN_D26 +PS2_DAT,PIN_C24 +UART_RXD,PIN_C25 +UART_TXD,PIN_B25 +LCD_RW,PIN_K4 +LCD_EN,PIN_K3 +LCD_RS,PIN_K1 +LCD_DATA[0],PIN_J1 +LCD_DATA[1],PIN_J2 +LCD_DATA[2],PIN_H1 +LCD_DATA[3],PIN_H2 +LCD_DATA[4],PIN_J4 +LCD_DATA[5],PIN_J3 +LCD_DATA[6],PIN_H4 +LCD_DATA[7],PIN_H3 +LCD_ON,PIN_L4 +LCD_BLON,PIN_K2 +SRAM_ADDR[0],PIN_AE4 +SRAM_ADDR[1],PIN_AF4 +SRAM_ADDR[2],PIN_AC5 +SRAM_ADDR[3],PIN_AC6 +SRAM_ADDR[4],PIN_AD4 +SRAM_ADDR[5],PIN_AD5 +SRAM_ADDR[6],PIN_AE5 +SRAM_ADDR[7],PIN_AF5 +SRAM_ADDR[8],PIN_AD6 +SRAM_ADDR[9],PIN_AD7 +SRAM_ADDR[10],PIN_V10 +SRAM_ADDR[11],PIN_V9 +SRAM_ADDR[12],PIN_AC7 +SRAM_ADDR[13],PIN_W8 +SRAM_ADDR[14],PIN_W10 +SRAM_ADDR[15],PIN_Y10 +SRAM_ADDR[16],PIN_AB8 +SRAM_ADDR[17],PIN_AC8 +SRAM_DQ[0],PIN_AD8 +SRAM_DQ[1],PIN_AE6 +SRAM_DQ[2],PIN_AF6 +SRAM_DQ[3],PIN_AA9 +SRAM_DQ[4],PIN_AA10 +SRAM_DQ[5],PIN_AB10 +SRAM_DQ[6],PIN_AA11 +SRAM_DQ[7],PIN_Y11 +SRAM_DQ[8],PIN_AE7 +SRAM_DQ[9],PIN_AF7 +SRAM_DQ[10],PIN_AE8 +SRAM_DQ[11],PIN_AF8 +SRAM_DQ[12],PIN_W11 +SRAM_DQ[13],PIN_W12 +SRAM_DQ[14],PIN_AC9 +SRAM_DQ[15],PIN_AC10 +SRAM_WE_N,PIN_AE10 +SRAM_OE_N,PIN_AD10 +SRAM_UB_N,PIN_AF9 +SRAM_LB_N,PIN_AE9 +SRAM_CE_N,PIN_AC11 +OTG_ADDR[0],PIN_K7 +OTG_ADDR[1],PIN_F2 +OTG_CS_N,PIN_F1 +OTG_RD_N,PIN_G2 +OTG_WR_N,PIN_G1 +OTG_RST_N,PIN_G5 +OTG_DATA[0],PIN_F4 +OTG_DATA[1],PIN_D2 +OTG_DATA[2],PIN_D1 +OTG_DATA[3],PIN_F7 +OTG_DATA[4],PIN_J5 +OTG_DATA[5],PIN_J8 +OTG_DATA[6],PIN_J7 +OTG_DATA[7],PIN_H6 +OTG_DATA[8],PIN_E2 +OTG_DATA[9],PIN_E1 +OTG_DATA[10],PIN_K6 +OTG_DATA[11],PIN_K5 +OTG_DATA[12],PIN_G4 +OTG_DATA[13],PIN_G3 +OTG_DATA[14],PIN_J6 +OTG_DATA[15],PIN_K8 +OTG_INT0,PIN_B3 +OTG_INT1,PIN_C3 +OTG_DACK0_N,PIN_C2 +OTG_DACK1_N,PIN_B2 +OTG_DREQ0,PIN_F6 +OTG_DREQ1,PIN_E5 +OTG_FSPEED,PIN_F3 +OTG_LSPEED,PIN_G6 +TDI,PIN_B14 +TCS,PIN_A14 +TCK,PIN_D14 +TDO,PIN_F14 +TD_RESET,PIN_C4 +VGA_R[0],PIN_C8 +VGA_R[1],PIN_F10 +VGA_R[2],PIN_G10 +VGA_R[3],PIN_D9 +VGA_R[4],PIN_C9 +VGA_R[5],PIN_A8 +VGA_R[6],PIN_H11 +VGA_R[7],PIN_H12 +VGA_R[8],PIN_F11 +VGA_R[9],PIN_E10 +VGA_G[0],PIN_B9 +VGA_G[1],PIN_A9 +VGA_G[2],PIN_C10 +VGA_G[3],PIN_D10 +VGA_G[4],PIN_B10 +VGA_G[5],PIN_A10 +VGA_G[6],PIN_G11 +VGA_G[7],PIN_D11 +VGA_G[8],PIN_E12 +VGA_G[9],PIN_D12 +VGA_B[0],PIN_J13 +VGA_B[1],PIN_J14 +VGA_B[2],PIN_F12 +VGA_B[3],PIN_G12 +VGA_B[4],PIN_J10 +VGA_B[5],PIN_J11 +VGA_B[6],PIN_C11 +VGA_B[7],PIN_B11 +VGA_B[8],PIN_C12 +VGA_B[9],PIN_B12 +VGA_CLK,PIN_B8 +VGA_BLANK,PIN_D6 +VGA_HS,PIN_A7 +VGA_VS,PIN_D8 +VGA_SYNC,PIN_B7 +I2C_SCLK,PIN_A6 +I2C_SDAT,PIN_B6 +TD_DATA[0],PIN_J9 +TD_DATA[1],PIN_E8 +TD_DATA[2],PIN_H8 +TD_DATA[3],PIN_H10 +TD_DATA[4],PIN_G9 +TD_DATA[5],PIN_F9 +TD_DATA[6],PIN_D7 +TD_DATA[7],PIN_C7 +TD_HS,PIN_D5 +TD_VS,PIN_K9 +AUD_ADCLRCK,PIN_C5 +AUD_ADCDAT,PIN_B5 +AUD_DACLRCK,PIN_C6 +AUD_DACDAT,PIN_A4 +AUD_XCK,PIN_A5 +AUD_BCLK,PIN_B4 +ENET_DATA[0],PIN_D17 +ENET_DATA[1],PIN_C17 +ENET_DATA[2],PIN_B18 +ENET_DATA[3],PIN_A18 +ENET_DATA[4],PIN_B17 +ENET_DATA[5],PIN_A17 +ENET_DATA[6],PIN_B16 +ENET_DATA[7],PIN_B15 +ENET_DATA[8],PIN_B20 +ENET_DATA[9],PIN_A20 +ENET_DATA[10],PIN_C19 +ENET_DATA[11],PIN_D19 +ENET_DATA[12],PIN_B19 +ENET_DATA[13],PIN_A19 +ENET_DATA[14],PIN_E18 +ENET_DATA[15],PIN_D18 +ENET_CLK,PIN_B24 +ENET_CMD,PIN_A21 +ENET_CS_N,PIN_A23 +ENET_INT,PIN_B21 +ENET_RD_N,PIN_A22 +ENET_WR_N,PIN_B22 +ENET_RST_N,PIN_B23 +IRDA_TXD,PIN_AE24 +IRDA_RXD,PIN_AE25 +SD_DAT,PIN_AD24 +SD_DAT3,PIN_AC23 +SD_CMD,PIN_Y21 +SD_CLK,PIN_AD25 +GPIO_0[0],PIN_D25 +GPIO_0[1],PIN_J22 +GPIO_0[2],PIN_E26 +GPIO_0[3],PIN_E25 +GPIO_0[4],PIN_F24 +GPIO_0[5],PIN_F23 +GPIO_0[6],PIN_J21 +GPIO_0[7],PIN_J20 +GPIO_0[8],PIN_F25 +GPIO_0[9],PIN_F26 +GPIO_0[10],PIN_N18 +GPIO_0[11],PIN_P18 +GPIO_0[12],PIN_G23 +GPIO_0[13],PIN_G24 +GPIO_0[14],PIN_K22 +GPIO_0[15],PIN_G25 +GPIO_0[16],PIN_H23 +GPIO_0[17],PIN_H24 +GPIO_0[18],PIN_J23 +GPIO_0[19],PIN_J24 +GPIO_0[20],PIN_H25 +GPIO_0[21],PIN_H26 +GPIO_0[22],PIN_H19 +GPIO_0[23],PIN_K18 +GPIO_0[24],PIN_K19 +GPIO_0[25],PIN_K21 +GPIO_0[26],PIN_K23 +GPIO_0[27],PIN_K24 +GPIO_0[28],PIN_L21 +GPIO_0[29],PIN_L20 +GPIO_0[30],PIN_J25 +GPIO_0[31],PIN_J26 +GPIO_0[32],PIN_L23 +GPIO_0[33],PIN_L24 +GPIO_0[34],PIN_L25 +GPIO_0[35],PIN_L19 +GPIO_1[0],PIN_K25 +GPIO_1[1],PIN_K26 +GPIO_1[2],PIN_M22 +GPIO_1[3],PIN_M23 +GPIO_1[4],PIN_M19 +GPIO_1[5],PIN_M20 +GPIO_1[6],PIN_N20 +GPIO_1[7],PIN_M21 +GPIO_1[8],PIN_M24 +GPIO_1[9],PIN_M25 +GPIO_1[10],PIN_N24 +GPIO_1[11],PIN_P24 +GPIO_1[12],PIN_R25 +GPIO_1[13],PIN_R24 +GPIO_1[14],PIN_R20 +GPIO_1[15],PIN_T22 +GPIO_1[16],PIN_T23 +GPIO_1[17],PIN_T24 +GPIO_1[18],PIN_T25 +GPIO_1[19],PIN_T18 +GPIO_1[20],PIN_T21 +GPIO_1[21],PIN_T20 +GPIO_1[22],PIN_U26 +GPIO_1[23],PIN_U25 +GPIO_1[24],PIN_U23 +GPIO_1[25],PIN_U24 +GPIO_1[26],PIN_R19 +GPIO_1[27],PIN_T19 +GPIO_1[28],PIN_U20 +GPIO_1[29],PIN_U21 +GPIO_1[30],PIN_V26 +GPIO_1[31],PIN_V25 +GPIO_1[32],PIN_V24 +GPIO_1[33],PIN_V23 +GPIO_1[34],PIN_W25 +GPIO_1[35],PIN_W23 diff --git a/README.txt b/README.txt new file mode 100644 index 0000000..e2bc1a3 --- /dev/null +++ b/README.txt @@ -0,0 +1,162 @@ +CSE148 Baseline Design v1.2 (1/11/11) +by Pravin Prabhu & Todor Mollov + +//============================================================================== +Changelog: +(1/11/11) v1.2 released + - Now completely implementable in hardware! + - Renamed some top-level signals to more closely match H&P + clasic 5-stage pipeline design + +(1/9/11) v1.1 released + - Changed cache sizes (smaller) + - Fixed bug in ForwardingUnit + - Fixed bug in dcache + - Made dcache & icache have correct address alignments + +(1/5/11) v1.0 released + + +//============================================================================== +Getting Started: + To get started with the baseline design, it is recommended that you first +download modelsim (student edition). Modelsim can be found at + +http://model.com/ + + + You should also install QuartusII so you can eventually deploy your design +to actual hardware. The QuartusII web install package can be downloaded from +Altera's website at: + +http://www.altera.com/products/software/quartus-ii/web-edition/qts-we-index.html + + + I recommend that you use a text editor other than Modelsim or Quartus's +built in editor -- notepad++ is an excellent alternative and even has syntax +highlighting for verilog. + + +(Other tips to help get started) + The included files in the reference folder provide full documentation as +to how instructions should execute -- you will probably be consulting these +files often. + + When simulating your design, you can load wavesetup.do which will +automatically add and organize most signals in the design. Note that new signals +you add yourself will not be in the default wavesetup.do file, but you can save +them yourself to the file. + + To choose your compiled benchmark to run, simply open test_mips_cpu.v and +change the parameter to 'readmemh' which is found near the end of the file. +Note that you must use an absolute path (I think this is a bug in modelsim) to +the hex file you wish to load. The base benchmarks are nqueens.hex, esift.hex, +qsort.hex and coin.hex. Descriptions as to what each of the benchmarks do can +be found in the ref folder under 'benchmarks.pdf'. The included c files serve +as good representations of what to expect of each binary. Please note that in +some cases the included hex file does not exactly match the included disassembly +file! Note: The included benchmarks.pdf should only be consulted for information +about the execution behavior of each benchmark. The other information in the +document that outlines switch behavior and loading from flash is no longer +relevant to the design. + + After you program your design to the hardware, you can check its +performance by toggling the switches and examining the 7 segment display output. +The decoding is as follows: + +Switches 0 and 1 form a binary number (00 = both off, 01, 10, 11) + +00: Displays pass/done/fail status on HEX2, HEX1, and HEX0. + Displays lower 8 bits of the address going into IMEM. + +01: Displays the number of cycles that the cpu took to reach the done state. + +02: Displays the number of (non-noop) instructions executed in total. + + Thus, to calculate CPI, you would toggle the switches to first display +the total number of cycles that your design completed in and then divide +by the total number of instructions that the design executed to complete +the benchmark. + +//============================================================================== +Details About the Design: + The baseline design implements a subset of the MIPS32 ISA. The provided +benchmarks -- nqueens, qsort, coin, and esift -- will be used in this class to +gauge the performance increases obtained through your processor enhancements. + + The nomenclature of wires is as follows: A wire/register typically has +three components [TAG]_[DIRECTION]_[NAME]. TAG refers to the meta-unit associated +with the signal. For example, all signals that have to do with the DECODE stage +will be prefixed with DEC_. Next is DIRECTION -- it's either i_ or o_ depending +on whether the signal is an input or output in the given context. For example, +all input ports on the ALU will be labeled as i_(name), and all outputs will +be labeled as o_(name). Finally, NAME is meant to convey the actual purpose of +the signal. By using this convention, it is usually relatively easy to identify +where a signal is, its I/O direction, and get some idea of its purpose. + + The design follows strongly from the 5-stage MIPS pipeline presented in +Computer Organization and Design (Hennessy and Patterson). The pipeline stages +are laid out as follow: + + Instruction Fetch -> Decode -> Execute -> Mem Access -> Write-Back + + Important caveats to note include the fact that branch resolution happens in +the execute stage and not the decode stage. This is done for regularity, though +pushing branch resolution back to decode would technically improve performance. +Second, keep in mind that IFetch and Mem both access the same main memory -- +there is a level of arbitration outside of both of these stages that decides +which of these sources will be given access to main memory when competing for +resources. Third, full forwarding is enabled. This means that an instruction +executing in a later stage (such as Mem) can have its result directly fed to an +earlier stage if an instruction requires it. However, there are still scenarios +that present hazards in the pipeline, and when adding your own logic, it is +important to carefully consider hazard implications of said logic. + + +//============================================================================== +Tips for Optimizations: +[Branch Predictors] + One of the more important optimizations to consider is a branch predictor. +The processor currently always flushes the instruction following the delay slot +on resolving a branch in execute (i.e. it implicitly assumes not-taken). In +reality, most branches _are_ taken -- especially in code that contains loops +(as do many of the benchmarks...) + + A branch predictor typically resides parallel to the Decode stage, looking +for possible branches and making predictions. When a branch is seen, a +speculation bit can set to 1 and the PC can be told to branch/not branch. When +the branch is resolved, the instruction can be flushed if it was incorrect. + +[Caches] + Another good consideration would be to improve upon the basic caches +provided in the design. The caches could be upgraded, for example, to victim +caches, lockup-free caches (useful if you're planning on going OOO), etc... +Using the cache interface should be relatively straightforward -- the signaling +is as follows: + + 1.) Output a ready signal when your cache is ready to accept a request. + 2.) Take down the ready signal when processing begins. + 3.) If it's a read, output valid=1 signal when the data being outputted from + the cache is correct. + 3.b) If it's a write, output valid=1 signal when the data has been + successfully written to the cache. + 3.c) Keep valid=0 at all other times. (of course, you can 'fake' having + completed an operation if you want, which could be useful for getting + more write ops in) + 4) Bring the ready signal up again when ready to process another request. + + An important note about the caches is that they interface with main memory +which is inherently bursty. See the basic caches to get a feel for how to +communicate with main memory (an important note is that all requests to main +memory should be shifted left by 1 bit, as main memory actually transacts in +16 bit values internally). + + +//============================================================================== +Questions/Comments + + If you find any bugs, I would greatly appreciate any information about them +-- please contact me (Pravin Prabhu) via email (pprabhu@ucsd.edu) or through my +office hours. + + Happy hardware hacking! \ No newline at end of file diff --git a/doc/Pipeline.pptx b/doc/Pipeline.pptx new file mode 100644 index 0000000000000000000000000000000000000000..caa89ea53214972a7048ac3d26b352ef75c66be4 GIT binary patch literal 107998 zcmeFZRa70(mNvR^3GNOXCrEI2cXxM}jk{}r;O-8=b>kK+KyVH265Jhb&gmY1-|jvS z_rCt!>tT(rs#ZPJ98=etUn$E$L1O`60q_6-00@|^fsrGE1^{r30RT(@JfxnOlcSr3 zqnnYsH^{=(fXU0jo~#HOlC}T<`FH*Qj{m_D__a3fxGIS^bmbr16WZrsk{Ia;o7Qw> zu^<;zg4!o*mR%u^vA6tfvxnlT3@^@N81uCSU0yEdA}T2m!#Ib>|HHc}&mh~xzQY6E zngoVzj;T{)&X6IbSLn?{6}D++@vPF&vqlG+%MxiL|Lt+$xIB9!md(?Hk10gX?#0uD zo9W9$8Ofo6ldk8KHy;A})1oF)eDkft`Y#(y7O{tUr?wfVl77hlpmmLNInJz9|Cg+oD0+wC&&sQ|K6!7`E_1%Xj zQ0J2`;|5uUI+?#Ced+@j32`Y-eF^VF@z>dwk+XWcX4zCaZ*DAYG}o_fcX2W=zJPTC zow+yX6?{J^dvGlEJHrC!i`~DNwRw_lj@~Z@Xq0ubZ_AWo5UTysyS$HC~=@b(gj!M<$_$zRes(;`|B!)Iz3W8^=Qy{X(I8l!F zM-wOM*~E%h@Af233X`Qt z;VoPo+UPY>oS~MiiBUN*o$tVFVfs%{PWc35z5}|2Nu=h#L97-gi5fYT6V>^S{|d*I zPgv(WkXo3;YW^D}YGIP98CN-RneX_okY4!&alQkxrB=A+zd?+aTJaisl@rf>l3YgcZ3L2m8X5T zg#)8s9OR1yN`!P&?bAR6%K^diK(GQ3tOx`v0l~^ZunG{Y3IwYG!RkP;1`wjA;~K(GN2YzPD!0l~&Vun7=s3Iv-0!RA1)1rTfr1X}^Y)<9!q0l^?3*ck|R0fJqDU^gJx9SHUSf<1v?FCf?(2=)P%`8KSYz3h7A z->~?-{(kAjB25plxiM{ZesRRLYmI+1F1vv7=~a2VKTY0n_~`(%e0)Fwl>bvuxv`rGzx(ScRsP0|Pk)1ok&A`B>ldbf z%>Nrq{s%{p|JSF-CiUA6Fe61=gm#C{bgQiN;}t7@F`Hh+`wa!Jryz={x4dG#8L(k& zA6$E;lJ)-$U$)Y0U1ld-wA!wSS&T=8TZ7Ewe077)xq; zq2@?R-Fm8tJv;la)Z8vG;RI$Np9+ZhU&2d%q`A?~Wu&Q&*!D|3sOGUHNo&+YC}Gyr z(mV(1=4g*5V{~LZ@=s|E@f7Ue38yn=X}xw(6w!Wlb-wq#5EAC*3p>F4S5_ixu%-e5 z0{}#50{~=yMFRr4eQ~w7F}HC2@^1$7&kL4+q+tIvovxg5M+z=1@dt=&RM88Ht47jO zl34z1vOa(gRWd5t={-~fl}HW3PA@7A;cDNnnPG0ifu!w47@g{l>Y~T1xn8CCs=$M} zYtXV&wsyHC$3-0~7wSu=!_89qW&nQng3HF4lSh2yTbD!LVd<0G-Hj6IM+T|q;j_~C z+kmsqLiuUBgKMB5sgOPA_}$xUv)*FlZdYAkyFgB!$Kms}TPx3b?Z?LYM0U<>Jx_Ol zr0d;5X4Mqe>cQ<3HR{Bl@tcN#+x$SLnG8Bqx^%nC%*g!o{RIe=dSf*M?t6T zyXOzCp0}SC2J{Y`n_tL_Xf?!R)g@6%qjSDTG0{u7G2(3hl8T&TX&#eCBK|h0j9XDJ z81Xe4kFPYUVT7EzG>T3I9ewgTs_zcHCUn9YJ!gjZC>CcMzdLm52)$s25B^&)@DHtR zeGzqJKLs#6vbZ8RdWAP-hTN=q(yy7DxO+fXAW8EAq#2*0K{WhXT6<`_D)IO;&o~7} zZTwFZP6`Tc3cjP**vr_-ek(Wl=_8^r$#}H0)M3|x9@k1jPZL6B7@TdS!7vJyPEre6 z)4qnNe*9QG5PPFo9)3F;U$?~YMv?1dr`Yp3(fxTs7hGf~T*-OA$$7}2f#(d07Iab3 zN`NYUR7^*v=a@A*zB}7R$_$WGQc6-%J#hv-5uKyNLufMWmK$fmAaQJK284AN(tAkz zc?3Oa4{gH4vpukX+4x4v?^~?skXXAHHBp_`P zM5qv z7Zn$qQH(9`RV;^*bIK5;X%8fYMoYuTBtWkDbitH1r#3fBuzb^8L279uM5L3eEw=}$ zmaw5}j^Pti5vq)dB3^~4@zWjjB)G`=a;#O# z^zg4w}>QhHh6Q5Aa zT#1{dg0C7q2u#A-0C`a8Y@de3qd3I<%r2(*LjlQFZMXTj(fX&K=NxDARkItXJT~2K ziPOmuwdWc2U%5|^3@qpi1ORaOw|XT7z(f4Qeg2!@1i4ta{w-lm+-#g2|Bv_ln|MI{ ztz!Sa@IQN0&#NefGNZv=dSdoM9d}}tDVo9hvQYanvqX~?gchR}I`|x>I5}BFxQ~Z? z@eh8D2R(%1Xr5g3#CRAtEPOVz$*G4||6Zjz8rzMlr1iicicyJ?tC`RMkx+9p9HcV zq1OI1WW2Wb;d|2IDCaf5Z-p8?8M{RZChnSBXS z{Ey*bLX<|%LPYUqy&0f%2j4mE5;*{J2Dy^S2#mQR*=Ns(j%XM$CTi!oAGSYGH z-rtrf*Vz_g&zQ*Rf_H|TlnM4-8#JG5N9bc>!$sI*l4OX``7*OeqLDLt^&dava9bqZ zX}sr_zRKtPoB$mbT^zMrcgyeQeXVDkiC+)!dZIGBA&VY1s|g(oEieSjEG<$Q$%MAXSD|wPRrkUO%vgNLDWK6tq!ByWPQPjVHE^ih(!b z0RKbxHRa*}I~mLrZl`*mSXN}ao+Dnnicz18j~kr=88X=AnPT~%ETHyPxYE`Trz**H zm6;DB(D^tw*m5o%jB#FcTAgRo9hu|xQ85^0*TgN2eh!Pa60EG%k=;@dKc5(FJzPjC6T-x3npa}8-kGK6^@8&cSIs(ajf7Lz9A{_;w40} z(fhlwJLJQ6sKnR%L&*3E_g`u0K~w@AHUj`)GX(*_{&y|?_r&r)Qp!_&B* zH#k^Q&mRt0=gP7CCgU!x6sL}nXL)&CF!G`pzS7DNqF{c)mkZyl<} zxk|lK*~dbLuMdH5Z|C++IZBu3UamNKN=|dP=ci9M6Q`WI;o13%o+`1u26f3A89PgR z2T%M6z1tO4`>u1$Yll@Jbfx{5WWfs~Bcm^tGbeYa0oX&|xur1}2e7Pq+6~qm8jIKO zcvcKB96)&Q=VNr;eO%f8x|7Gf*_~4|STjmjOZmy2N}i+`?}tXc0n*elJhe-17?Je) zX~(Wla8Io7cCqQ(k%ujz3(BoLtn*?^}aeazqy~Dp7_ODwjFYfTbu50#v0}YGQK-pa2=m8 zBX2sWK64;DaVGD@GRa+gOdk0^uIW5Uyvj~<>aNs)RIFF}hd^ie8L7I=MY8{Q+S~-ngHuo6MhZ8>S8NE6T z>94quE^ZC-)J6mQPq*XW9z|QU7jqSX%l>OdiFK%#qs3KUQm!OX&>_WDp`F zej?Zu6aw=L--()!;G`?{m%FjC(f7UI(D1|Di?{fHd>tE<-(kVf$4X)TC0xzw6VSkW>_aNxOHS4iIed9j|n zH-O5HrBDpwjtJUZqf~%tHKPNFq2(0|G>tp^4dKX$Pq2ifzljb%#?XBBnD>P-@t8H; zLP^JRgN=S}4sFP;Q4~6MXM2jt6y?lG23`txfKEw{`H<`GeBVD>Od6Ah1m<~wa|ip9 zGe^2x=NK^|#ooOh?tH=Z5p3P$cI3SxWu2wX)p5!!QxWBk%8zU%FhT;j zIdeX@^DKehf&yzizO?EC(A`=IyY&%N6wXT`N1g7m1%ACX_)9z&Tw=RTv0Cp74I0UD zeWHegjfC^|LK9C}0yGw&usYWH=ukVZ4u^~&%Wty6c;OX})T~f1Su$4=@%QY<^x9sU zZ>!lAGzeYp(TPHNg%#84<-H$m3tBVyg!FB(u-nHRGwVf6Q_byWGRudNjMu;M``BOE zt=#^!FXZxBp#VmlFvTk3AhMj}aBD{li>`52uq3xH;}}_FrCG3f8IXMPKG)P7&N$J5 zo#lj_z(k7J7`90n+`j%p@fd#ld4r0nGD3f>O&TJn1~2OyYLS4;=i(qt=InaWHkPx# zR)c__Nu|#IQ_0|n?Wx+nKU?PSQc;ENHX2q@ZPh8gZy4`1@&{V^v!M1apBGEGX>}p7 zubGqFBNOt9$yGb=mKjwT==2+UlXF4T=u}svvcXz0`zC^}3{qjE#-a8H*8@24NeFm1 z`~yoY*X~~gOIwTo45C=?EaGKeS^496c=^?U`PY|4h86oiaS1Aju%hi=!+p)sl)A1B z%`phgVLHvIMEkwhS{zHP=v>lvOwJQ3ksx2;rqY|%)MofKW6w^KfHq-1PlPE}w7BmY z*?IYguy>D{WpLt1moU`XPsuUyyfxdBAW=XC68pQI5CEafgfb{0-&HYFlyVN3er#a7odhM11j)TMhRwf?xnCtlJy$OslD}-1jT9npcoL$1F7KNT zk7q{dm1RF3io$L`vb50>iL&S36)WDgfVst;{I8&5(Fi4JZ=cMJib?~<+KrllC!1?# zIc!u27<)!o7NIC`uk~Q-*Snja`*5%n-<4it=3AQdZjQk!THY! zmK3Q{w4aTPb69?s!DN@vj0*satHV$d^-LmHI-s2q=XUqJwL;rQ=rEY^3jrvZg5{bEYk%sFRAP@X@4b4I1h5Ja9N@FI%WT<&o{T5 zRY+CMH1I_P6r9g~&UWc4n?-dJ(iaW#yL-Mig^N)USS3w=aYi?8hMk_~H;;38AhZ6G z$~4Drz!fw?l{{AhFvIy0mMG)mFfy~QKY|mS_@feASa=BpxB_|FLprhc!R>gW6i61b zWp#zg-AoS`vpp8?(%{;#o0|ZZK(DtIVeVEY)IKu)u(8PyA^r`}G&)`L z>AJWC!*N-~TY7C}x3Tecwz#Py2tli6YzGLcjr9U~4ghr}j^a8u_;Z}x3#xpY10%eA zB8gZ0$)+cuDQThd8cno3)Qy(kaX*7w^Gi$D1~O!__;00T+$36`rmoF3lIp4Eb*!g$ zIC^Q|TJr~7(+uJfF%P}9%1S_@k zUhhv>we|;p+&{BaRz>+)PpJ4g@<)C*gBGd-->)OohF=DmG(+S2tu`g>UXztEKmKZh z%x)gQB0b{Wo%s_RP+K|nLyl#@MBj_2XVRKwVAtIW1y7xuS)mF8_-I`%Uh~W{l58kv|^$sQrv z*j8Ouwg3s2T=ba-<+p?b9bY;mod_rco?l4qEK8S2EQVD?56amwV6n&kXdP^NM_A@ zigM@g&oybJUjhSe?hR6r#E;&k+m_xL8mL=uh)IVeUQT8%LFm705npM^jU-+_Rkq-a zgUXpdr-@_}KOqyDUHUQ1N%bf+Anq8&PDJu|;f#Cza*^46k2?8@-0*9JHpi^-Zo)K_ zZb|F(2z??A9-`rL`KK29oVnx^MyF|mHmHce#*bb4&lAk=@VW}`-)ls1YWmhR zf`20bvs}u9rlWLI86C@5w~irzOVH8<9^~+a>K1q{uSCe{v+&0b%;iVhl5<6fBBmSM z@j0GcqHDVqxw@#(CfxZZ~#2yfW?b=XRl~Tvp z{gV7|NHitu2cPGa`#$WZ(&? zqPqJHiqdx5t(luonbgmnHD2o;?q82T>)CYD!*cIQukft(JFY6iyGQcj;YOp|B~dmz zASG7ppH+D3&Kn9Zj+hG`OkT%3D0K7~J9zf=E`A2LCAIWsj!V(ye0*iT^l3qwDqmI* zbnYWI_aW`M(Ets*Jn$TfaCyyE2v%$Lj5++sZKL6A3c zu+bBgkTD-2a2F_m%(1u5w$X0>oEFr}204`7D6mMN{<~NlU#(1eBG5XMe~i}Gkp>{% zyIX%d@p~M=)d$tI7#=imO%}&>a*y;l>Ltw>C*<*Lc`&1{_6!Sh<_l}rpA9)RPV-S- zVYK|JpFAI{v9${ahVo{dEG!_a0c^J+4RiS2BauoxOLn4%t;{!4DUz1yZ~q)V1-bcPDRiiDj0ig`#3?N{byODKZf_S$FVG6qYRKQ$ z539s-YOLn0t8%Vd^z0(+ptEx~zGcC=LJdkQfrYABYfi3>_`;5TkI~G;XBSM=*$ZcD zNL0Nrp%fnwDNu(#>4@|far{B39G)eS%u34j$+}w|YpccViz48+V}?|Kf*K7y>}UR? z0&UYMnZjqGUTnsV_lF6u`0r6qJQW6PV~|vAHmtXxGmIUr1#q_9=i>_IdGNC%u&iPZ zMN&TgT9U@J{*a|=f&6=;_>{efqTL{xDGKH61xiM0NjzQ%GlQAugX$^>X~|W^VmBla z@ag#v6jn3)S;eQLa*-^4ndqfOc=F)K{@gsqt_wmlP%ng>8xuX}wSMHbPW& zGx#&Kk5m+n0kZ8WA^SYW%ug&a%cn9>`$rVy+6|QLfH9Jaiz@+|IFseLwRkg=%o?4; z4hN_+`9?he<4P?OoLfAc*IGA8+1beX z;aYGAsG3-Skcs_f(k>%Zx|xptZV*Rjo35xaj*lr(hoOJ#TV8q${mqOu zsTOSli#c=w<`ty|&TotavEa$7P5+nDet8Oe>rpw$u$s@y_av`~Z%k4UWVjd<+8NRL zv;6xI-eZbcga&n$%vNC)Dz-Tfcn8{oMHa~U6q+(vqg=3evRf$xQQ}i3Bu8637lfD( z6<^uCEAL^+;Rf*Vzo{O^jSI(KO1|Z-W!=Y+DhIO46ODuXm;u$u z;^`k9K7mgGkx~0!=IF$Wu~+6iSPcA(xtr5DQJ379$wV`#_Lr0FnbwsJZ6x%Gp z5|M!+!<;&E6D;q{#8EKRm~k@dlZln^g)^n8;Ef{>ZR?K0 z{*IB)n6UxzrjnTb(relF%&z|S{*&&_wd2gHMXIA9hNwoW!l*4t4Z4FaA@ci2YwDrx9m3GA7=iPh;k9=)G~9gStGG?zkII@ioPcMzJKXFb61Ew8DFSgl%fwP zXXf5*X$gqemHk^T?C4%@Eh9kuyxjV34kB8D5W5dUo_qn_T16U8DO}M4(HN77y6m^QqAZIf>d=E=KSC zW^ScSxesl;8Xh8Z{4G+bv@rBOi|9j?Wx}va__{1&_-FQvM6R{MVqO6yD7=$xaxeDc zLwHJgyEwzfsU@>LEk>bOMQ$Zo=9kw6W`Iu6u!6Deo=X$$0O#vp4^^wKQoh1&3*$J@DKpjXa z@XsLI1ZYUpF8N!w|LOefAy@)ur!@AMgdLpIRlt;^n3sfn%w|@E8Ib=w^AK7YF8Z|E znIhGZUGCrZ@7A121`QFo>4tzjK2HYK}deMeF? z6kyaQht$Fmo1566dOcQYTSgaR9}5*<0$Jca5*V}6VjJ^1ya{0?mhtZ+AaW8Bx_rCA5*7LRb70@TM+35j)$XkT4&h za~A{$w&k$OpR}4ELvaH9TNBxenkEi%JU3~jcSz!`Z<^MN8!nco?l-*1lZ%!X8NMZ? zJ+(pT1jKjfaOD(y9)Dp#!-t`+S~$!M_Le{jquZ9@(!I5#U#AGVUaknHX4OHb+;1hQ z8Kw&e-W}A2p|toBdZ5~9)O%2O%Cm;vJq@kqZmGpvQI8>UO7T)BfU1(R=Hv+F(KDdb z17GAvJELquos(&d@@R!4HHtJ77Qmfv`?OPgJ*2Z}xIC)?pIb1dnW?9|N=3OTrvq&k zBcJjtfrsLVk=;;f!ad-@VDp_Q+uL-+yz_7lZ$&7=<*RgZJL{*GsAk+wV{0#y5*?+p z6}){~YQ4~7FjR7O`wtQpQZXy49K4d36*A&hsRag3RIZS(k#kUH&Vv@dAe$a^Xgq=+ z$VvNVIJ98JoqCwC=C&)!9ZAgiMx;(xOVwMkSTkygwo;U^tPHAW_cF%WIA@+apHT^xEP%fn-F1bJ7x|lRXu}8-cZ64sYv|s~m~8-ghkjpu zit&jTO{E+bfx*chGb716^Kltrle?kRUr^NKI4WPEbGw{lPz<+=HD=gPJ3$9$v|OJHwS94eF@50b2e+zpgI|%9O&QqG5_~VDbNJ6$KVLt?^H@# zb}N|9(|Z>ot#t!s>96MUDH(tm0v@M=f5Egaylj zGk-@p+u$*P{t!S!U1#Y}7lQNTU2LDG^JjVNa^59Cm1JDqM1`d;5n947BQ6osjFo4W zIJQoGbO~3JvsB+-`q%gdJhu@6lH*b_0mv~V2U1oGv3(rK>>KnA73~TW4r$ioiEGrm zV)X|x#jOcnsUnk?RH9L;hInh4W%>7C(WtkjDC^{yp$_M01MXjFn%mwE{dD=P^2)2z zW1a}B(d?vkXCqI)R$@J;d};!Fi!BG;ZZG(}=RSzRf11xMF=p~xH#$Jp!o&pIhLx|y zRD@=o2(-_%&{kvp{`xi8hgmxZ6XQwQWYf)PIJi|Hb7(k~KbUsFDyC}N`j1w*l&q|w zrFntH3J!Esk@^=%M&8PZsqa)GLu0A>FpM}pH>Zh^X(FcLJUWI5S+&+bg$MW-?XInV z{^X8_WML93R~lY@0HGv3+is%a8U#2kaJU$6Fkf(27V(iv=(&F@&tpKrKq=CQsB@0aA?$H{Qif`!T_pIdP|g-=tnv-@>=X|F3) z<}&a2%A|;sqB66sCDVBXH5~ISPh1o40tMw4>nhSs2rFY#UCZE^$Mokxziy4o`B-*M z8J#o-?;2LZ6|3r5@piT??E3OISPNR4i%tB0c26Qs8&mwjv5pS591xicO+JVIh8j;P zyc?WY8wxuf;)lV)uOQac#(T*G!nW-DYVS_`b)kcYZel{Q_ZeoeI=4_BPLmAK&~4vq zqGlo(#4J9!J01R{(abTPQ+@T1^YtQ)q~>or-p6wBgQyjR$$al&aDOBcRHn<5RaCHt8T&UO)R2=t+qpeg}T zxQY|n#p>HKIw3rA41r{$Avy`Mp?UgJhd!Y2Cbt*TFhm@S70^zexc!=Ix9p;P+%&Sh zXBT5kTg{5^@QZCRC~6k;#kj;Yz=9n;*8}}hG70g&`$xpNhP)oJ7NwT70=OS(pRQOw zJ>n5~@FtIuEJj`l;sepFE9;C+lI*OKNt$A1>=fD@ty`i{YdBQSH`#_Nw}bne@P@fp z-ZQ8pZV@o58v1rZhl-A(v>CqTQ=C9CWK|oT6f+@qY1;VCoX<2JFKk-=nvEZ+rTx}Y;Y9}@6Y!{OsR}@HpLkrrxjwf zqPImHo_oYU%XvPkfd!Yvf3f?;WpuXU8gu88pDJn|Q!!XrRBCLX{=0YvcX+M7aVNNC}HFk%DBQ$DbVL;n`#-Uryt56qi zJ~Zss*>G~SlPvcvR`aGL<~ll#srvX%EUyv2+8^>AEyI%?8-6YHvtlis+Ty5(aKXtk zlj?Kj=0Ff9!TB5e(C7Be7tj^m@6y;#nq_;0-RfDC>HdgMe4(KD1^joE`YYtA*K3RL9V%XHP2dZX_#O;PZ*7yNV z2{Fc~8+fm$;g^(pHn8yIZ_xcjjdANM?C+y{?hN^0R@ArPD99Y#!{PTE z0z%PP8CP&BC<~1Y8K4}ZF2k2VF_qk)0Z~ndsQtIK5dk7CCINpN`ciEfFeM2immL%; zUT`)9g^5t<_b60*vFpvApE8WdC-Fxvh4DN+Lnc+=k(j^M0glP1_)!fN&bTnQ-Dipg z5mi*!d5#fN+)3&*W14RE>1x}`5A~DCktI{FDEEgeZ@VGmzzv;vH|8aS3yFdkwUNAn z;VAVRk8a&jZmRcpZ#kn8Q*qs!kRMt0FuxW@cY2Q>EX1Yu*MmadS)Vc&<8s`2FWIKg z!u@czDPyf#Pt2Fvdl?rvAdj}tgEb9jy7dFTGjL-Fv|GsibTWxFCqUp-CauJqfR$il5dE(BcV)Q*45SIf`IleKZ>{4T&MUE3Q*}w`oOk2bH9C9W zpv)Qd)OeaGtq2mvke3SC;}DwpIswq{^0>uHd&U|Qh(zD8xBNhSDa<2SQ?kEPu8dwL zs6jqaYPzR{`0_lI34DQ>SnM7U(omKiB#$y8<~9IgRAI$)xa=qh6=(-&<;WO%kv<4U zWUe0qIuy_uHv8yBO!h>X8Jxt}EDnhD%oq?Amci_jJCWLD=n$FcXs*xUflD>!Beqm( z(QNYJ+{FX1>{3_>AiYenzN4&~_HSg7Xs5PCm+bDJZ`~?G)KnhvZBUpy^^t>r5iP?D zB|_TxqNy!hYwHqL`>2W*bkDDwpvtm9oL5^Bl2g}{xq}KOMml3o7hQO5 zXFf?b_Y?^<33Fhy+LaJjKt~{Hiqt#6z+Ya@^@$s>@`juCmq=p4Kq9WRDXQIaK{2tz zppmHL)i}LBjc>)D@1XL%GLUu%W zA*D3}iwA~7drmt+YAtSgy5aN4w&YFKk_mxfgRYW}TzKxq_jNT2QxaL6D7u}I{wVal z65WoKV7whN{L$U0958ce?}l3)I@Dmsh$K=dlZ+%GzG;T_-7d>8Xz(^8ZYdaVo=$;G zLYmEfX*gl4QqKi)sWkC4etDR7F|bEg`oJ}-6a_kwaUM&50W^PkYnhVkjP~oY2AT56 zS%yuEa3N@cl_^ywpWQZ~Q_1Q?!_D4K>|1uou~(CRVD@^1j88<(`S|6FxV5%x@xZR0 zs&bSx)%%JG1KBTDd((O6RVe$A?+@+S+6I!Q>;X%mL9%9J-1h{Bo+5`MQ?<&@U%67< ze@;NU8-TE945_(T260 z{l~2Ka)yK$)#x~HFx9KRiM5+O%rp@@ILkfuRlT-J)4r*!Eka+Rb$*^5vgA72w8%-+ zoh(N|DxpPxP{r3;VkVf}Z?@=xo9!w}NEk+S33_qD3S2B=Et^JlBQQ`kS{59^CJXpF zYD1t?2LbAUfX7kOh=(Wfg#d`cZNntk;Da;74cj1)g9adkQw#h4EbhW8X720U6}SY` zqWmC;k0OMu@3cH%<8SVFQSA^$($qJ`Syr1+rc8a{k#{V*Max0*EX_}bXH509nh_gw zm4Bbx6V%C3`gmBNXQ=7AG!pO~I^~)=T?&3U-6ve~z8`L|f7wa>xc<@cD%AFe&oX}^ ziB=x>6TOBVlq$Yu-kn5pnSnJt(xoPWG8((&Lrs)>n?x?@bCb^U| z)G!~eg5QekR6=O%1mXn)dt)ZckN@oYF|BG(- zg_)$Qp1)7H{*osCwiEvcK~3Jo+sWPSKhf0w?bd&g)xzTY5q~hFiC=_#pxryp`k*D2 z8H!(SN!&uEnXkgGQkoYZZDyOn^zWWBJ9%Wi*%1sSV{~qD%r`=cY(Ss*)optS)Pl*l zi(9#2l?XNl>z&eL4n!#qFn0PSaKd+V1`=G?=!Pr{5OgA>d$d3SB?n@Rm zT2yQ%gYIMK6g9w2{AKJ&3)1_fI{-aQ#_yTQ6hc!4qZk3U>MCb?zQNPt=xK%Y{{Iv$=)PZ;F)AY3p#H ziXFbWoZk~uVmr#p>xe~6=1Ct;93AG@dQ;nYhd$UBqZzZa4v1ORU`_EW;n=B~c@J^h zZ2gY@NAH!r~L>h(+H+xy@$sW zlWSBPmcK3~6L+!(_agReJ^VQBS>O1io=Q+7=G=8IVr3b?X$))BReXkugJ9K}S|PQ> z4dpTIcoY=n`-YGWf>kUiGGHLlin&`ySTz;kGdcSW)fYNYSRmv?;X|TmJ-|aw#T~YE z-XG*V5Uqs4fdsk8-|URjsuxokx^#HSVauVSiwei066{hVzFxaHKW*+QC)RTJA*`Gg z5xVSTgXrr_aF_3b{VX%ZzZ6ULMk)PckjOM~D*-3V%9hJy-;nJM{XMjR*Cqm`pZ5_b zO}0hZS2mWUk6@CF@)2Mb?OvET?B5$CTBV_g5FvWntX7<(IYd)}M{ZF%t;c|k{!`zsef)6)_ zc~MHT-HF2rZw$(5c>?t`I?sj-aW#{dKw~ zLsI3jUWx$B^s@$r4zHO`795rb;Z)KC2xzYzd^%Fm`)qZ?VMSQDXvIfrglJTwSR^Lo zzkm_r@KvFKTnqgNS56)TS{|vic9@+@ERH%nOdlXBIlgX zN&Mx6qD6#4^R)M(k2j%5EDrtH->y6UP-^D#m~~veFF(mkcB|*7V$=xtI@JeZR!e@& zJ~GW5x#P<)Qhd@)gz98Z&Mo;;B)iA1A4z+t zIZ@}E`Kc0(%F)!#V?vLr)JBkJy5-q}Q{emc+p1ArLes%Hn2l-;oeRSf;3(Pst)hU0 zCRv2w;O#3P!cIG(5}bf1zY?Zrp15<4((^8VmVY?F3(YE=%o6Zka|-LM?Z?T#qyfw@ zr+3$=U$H9(#WzifgETWm_%Azc0%EqGKP9n8Q)CqWGKYB&NiX}Y?PMaOG-c^ku)}!Z zsdpsF9r^%U5xU0U?4=f@zEl=Z&Fk@U!17G^uR<@c)()(}U&3AAU!MHmUrqco1#xt8 zvv5`WH=_K1;D36TYV}n|0v0s?y7|ERSH*is+ahc^Xv**8Ezse6P(*bPMbDb6%GRlY z7t5V;)=6p2e?I-1e9OU~di0~`UST#Pq;k#48N_3e(U|%9dE~)UE0is^nxc_RUnXsr zxaraF`h8v7N|nbFeNZktk!Wf1m?4^>CX?)5+=jYe^D{+MsFIpQIB=7!ZcBw=gp^!_ z;A^MXO`4ZR8nOj$bS+GBt%4~Y4T?{zd$B{lLN8S|=P%JLv^P09%sxr2uFDV2P5j|5 zN-olGhR3A{2Sb)N%4z^JJQ?yJJRd75)X_+#=z z6m4{Q5xDaCI5};RtL}03`5OD8;tPQIG{#4ru_zrhOEMYF1tYc;qK?GKQ&_FpWeiK@ z`~R@_PSKq|U)Jz9w(WH6q+{E*ZKGq`=-770wrx8dCmq{<^XqwL&8%nsYt3A|SI?T8 zeCtz{tG!lLowLtAyE1JU{Ec%^-worG{W?g6H>j2+Zqr91WGYRWOEp=K4Cj|0P@ip` zqJPVR#3f@a=iitB+iYS7@%Hq=Y8t`pJ?e+>q>8L82w@&OU=DM6%MZf$qxXaX)B2vN znb~p$0{GMkjvSEOghZv6Ws{#NP)tCNBYT+N9vXIyT zIejhW<<26PV9bswD<>8>D*}yiW{Hom>*SJLIB(3Jp2fTSUe+5gbu?9Pl|36#VedEv znK^jD(?zuMfeaJ^5Zt`C_`oix+RByp1YJ;d%_kiN1NNir-YpE z|EHjk?f>~$=zo?Zu>LO&e`7+%|Ha`i4ov@x!(SYj{}+e9II#RL4u5fA|9=t(g_iKG zIS>F~8|HtIGXA9xDpc2FzEVbS`Waus1+&VkE+{LACTk2Z*7nG?V=f^DE26~G_y(h9 z$k;7QK61UeZ=|*-(I6`tAR*RD{rzc7Oregirg{zy1&ZGles&&_-62+nQ>ec)KWtW} ze9Q<*?zQ!3FF2jymELSh`+OWXjiSxSiQF*wzus8w*ia*a{0-xv4>G z7{K`+D9MYzA=Oah4;ZWabg&2&1yCi#{a13^=&kW{$W1JVIExw zt$%i5lyaBnZ|;xTx#>BwHi}mvya_5uPOY1ZtyWWGnyv&2n#b3ZiZn5se$mLHG^wd* z$-2<4XHsv8U$o)f?U@*c23{$29dIFHX8O6L56%~Wv7UpAJoQjiLDtL;qB`SqCU67) z=Wnt|I7b&>U2c49-;#sj)$rg$8SDl9!0$RInrfM&_TFAV2DaUU z?b!jkbF`+)T>5B7Q+6wIl>P3+FP5FXpBaZB4kHXxJgZf~oHW7-@(ze??uiN6cZzMG z!>=9a?&fE`-hq_`FUbnMsxSIt$jM?x3ep9C;-a)6bpX_MtzxZ8Z(?I%({n3b5KfRI zhD`n-4@E}-pa+L6_BJR#zUhQrJ~7Q!PlQtb$bffF{F&@1#m)UYj!Qufb1x4{Zfd#wzzgk06vbsI$BJVG5OqQRh%A@4 z*fvz`^iTR0Dh7I;V=br}BBR116-%W)76Ye`OA>5Z&uhX*vwrS}c?SIF0(bgHj7}14 zTJU;`%tt==tbH`ZGE+32x{}I51TDw3Ok&MSRBR2&KulhPL@!z``@vjoHZGo&tRh5F zf=_z+rhMIu9K~@~0bkqNAQbHwAK{#?0`X;&!b?mI2UU5e?#j*X?IKsWosd68R#1~%$jA*>@rg#CqDboUhv!b-wbuMdW`BY5xVy{GUjqzh~lv`iAYUC~8;rf-7e0GCcn0I&?(;vNOMxF~d!WqjV@5%><2MG_;vv2$>VDZ|@nzb>{Z_jT&hYTqMswV!)iEJF@FW_A@ zu)^huChqquU#-@nrslb#u~fuh<>p)J^FvPzPtPUeoVF2X$rY=DgxjU*sdv$>h|a%H zS&lQ43tRPBD!1FQ_DGSg$bHXDGP!|VHSVm(Z#+pysS7-_b8fgZCT|jT>zx$lBpXOF z(S`lqC%LO}CRKC#R2|m<(HRD!`aC zN_2lHzBM)o8KiskQ6?Q(K2ui@--D?A+@vL7A7heORKV=Kh=ecxr~rUtbaoCCu%Lvi zG3mn7Nx&irly?DzYmLjfg$+27%CBuo=Uf)wzJjbc`8WbL=IH@2tn|T|%avmE8?}vG z3EHTrJJD*ii9H3)H1EH2Bm8sb-j_P>Y=4awPRDm;7qGqwDmu43eD!Nb+bK&o=4FVt z=mHvx`V1gq4$cP$YAa@XWBiSc86M8zYtJ3VwTBH^j8`>9ouEI3cEyvXuuxALq8(?$ zYSl4fO_4Fifdd7?P=C%yfT03~GjYD+*|PZF!!bZvr&|uQxs80+w!7vJl7MyaLvU*# ztV6Oe@xc}%P9~zH0D74s|1KWE{T>A>#5@l6B91MsrjoI+0itL+L>_0O-?GF0=eDw*?FGmvnQgnvF7}QCzl2XIWaEYGtd>jB!(CN zCCjcE_@adz<8An@YYep@>q(3jOY1DVt?VjSulTe5ptGm;lK1rK$AIO7 zz0dCix%Ky~dFZgMe|&taZyJzwPy}2P#VroCk|Zq5k5hZ-1WRGEmGI-QgLfwR_`m0=iIYWy5La(Rc|0*N`cBq-elD>yy85v6HUVmfa(5VD z??OtI7Z^bSo|>B~pJ(+_U7 z*$&mKoB~GY4)wu>C*G3n%i|ch7;&mD=;^?I&gQtmU6u*iQQ7_N1q5MvHbhx+qP*W+ z!IkBoK`*O3#(hv>z~m5DSGSBmd1v_`>^}L!nKQ8RBFs!eAIa5gy_pDCv@O0@vhm*B zsu#??DPOa6n?S<5TX`G=mrQ_w)v5uoA2x7{jX;;OK@d+(HFsw ziS*x}&3_rV33V&my)S-WFV_`uVJkv+p5ZJTw zf!#BHDmMPySjk!wGuXc;uXN`sGvALxALaex_6lACUvC-lSOWwRRa7! zvX)wkv&DrSHY-V;Msq%{?|Wa)&t&fILayhU8o32=Sey2G9TKs;h)!za%xScOMPj8G#rw*z3{wVl&TLx1-+QlTP*^Ej^5Zcey;lM4AsZ zjZsY)JEYR8x>k>%m#RWvlB^q?A$l^T` ztAfeX)Km8$_zpCOeQWaY5QFG);QBR|iD?of6_X2O!FPn)w*f1i{S-lsyL7`yodUmRQnT8R3y9pRd;$ z;qli{|%m$^+2j6utMzq6v-q=s9Wy{mQ2C#G?6^MbW)V9jG>(KE?b&j zWtBy1U7kv?b}ecg_g5bW(;kCok>7w1Za!{hBLG-qW)prSxXT}#NayYEeEXhu}vK^Oz!r1|$k<*|K`#c&0~ry+~Q(;BsG^6<+VhbNIa9ZBqTY7d)%4c;2@ki z#=-h+wI4-iI9{o5#gx_Mf(>;#Ws&)nI`bRtI&x<(P^d1e5^u;acX{U*jB+QT^-q|v4Q)V!y`qQx`rD^>&o|(b&|qRpu-4mS!0i{$ z7M}azk~;CT+loD&?Oe`0?VgF(F4KhE?SV~hVyI(pdItTL9%Ft>m6y|O8~uFX;}N8xfr#&O zH>p2@q3f&0s@mM#_?OsN$QU>}nf&;7aQ;T<|2Fj>=nO1wSoRa5 zLR`J}ZVF7j8^$*sL$GvW1@={mJ_3;Cc-8asU1;q1C}EK)Vg!3K{2~tDo~Zx0y%d*1q(%aE<5iU7Ux>b`fV$Syc^77&I(Vx!RGG3R}+AS9!&vlk1 zplDQy1ZfVRqj;CBoao6SNDr~p^}YtT$SwwBna7r&vW&0S*PrTp?2cM<{pfBgJFV(d zQ_+D)ypV;le3jkiB3N8}uKUe=gh?{$v?&YGK5*;5nNn#uruuD_wb&Xb-sCx+`LG&m z3jT&w$Zm^fUp?{qsB%oG&U(}jKM9P9+HfpF-_$_}rno6QZH+87-S>2lr&0sEuF`03 z>DwxAvGpf;LH(B9^B3>&U+-DtoN7s+uNOH^=>M;K_TO>-zY#vu)Un+YMfO3caV2c| z2@^A*7uPr9PpUNvm>LMn0%;gY9(0a0PNyA~Mby8D#W|yOd88Ff`)YS-lTc`4A?|0? z#{q|JUDeS!tE&Dedfd{b4|X0j$x0auBocc^YQBEH|L7BT%nwY48(MKv*5orBH$M?BvwBA}!xaLZjEW(H$dIx}u=>NHgvR zzAQR&fA?9?s_Uqk^l2Uc_`y4^O4OGxQ@Rjgw780YV5@*xuB++bkx~uu+<~{=A%6fVg{0JRk`CR zM@&TdrLnVWmZXYN-p=uE@^mkyF<(>sA}eHVZoWMud`a z1`Qc$L*5RAQkC4qLqkgh%2ib1s64(5N3 za)3QRQY`-(Tws4V<0hPkKHMI!Xur*WD-?ozJY9j*>K>0i1sz_rY5y0Ih`t(m<6I#e zR9-cLBHZGA%Y-dr6xJKWMDMQtXMSS$YVwo(0x_3EAYild$p0%Gs%vLlS647p3>fQ$ zS1s%@GcJ*w(}1pN>QACNY~_gaA??y$IFa6fl(hufuKgB<#=FfQkk@+_2& zfXNlPlNHWr_wJvG{1$GwBBWD~@G7;g8#8D&Q1fDxG=ixyFS}F9q(T?okj&H&}AxMD?KEyM_=Cg+>VazFi{+7r!O2@O!*9 zs|q#Gyq@^2_NMHmZ=XAVe~UU>EDRhf{F%B2i%1eXobFWI6ZsB~pB&!$-6kNe4-`BB zVkmM1Vdpa0!n5kK8L0oK;4(~3w~5^V4C5jhm3&YOGF>_&3dZ((aI_;Ul)KIWiI~1{N8A_NAKaMj?*&H)kY$O?#<><$dN&q6wefGm zN$?wb2tM|(@d=!e9{6wfI=<&D+Z1jU@7Q81n8OV;-@7kFxfzd!(}!_?fC1m7pe0;^ z8xe6b7?3rVhd)(eFmo)vvm%r4lsCnZoqPDG*6C$MVP|oJj2|uyFaB_mVj6wsQDw?2 z1zmciB&Ylcd~-z&`AA8+ZRE1oLE`3Hw8m>;JAVAR;eUD88^_gae8MH+hRN>Ww!()E z;xR8V!+C|xQVlrg|M`m8{r)`f`}zDl|M{@p_)Fcw(p_9J@2vj?WPUMeLhRvk&jHyz z!R;(+L;nO+Y_x|X^ULuH?wv3-YOg=s_YFcj_eY=s6^AoEuW>O5aDr4Np36cf2={?0t}b5E17%C;U*bf(~w(km1E z4f9e8OdwsR-)?r1gZw|Ot#l(U?}eHAgd;WO$< zF`z+ftyj$)C^R`aofHRoYoF_AhD>h2dHXAg=T^{mL^vOxN>}6C5}p4 zY|}X@rgmPeV18l0-HCL>Y`MICQOrC?5qtG|XyzKID@EJz)OmRUJC*R%7FZUdh{hE@ zx+(6x`k)&x4-s@kdbJX4>P}E|OHIll?4v~fDt@nE5UlzRHcd4Fy!hgh?G>8FlHOH;|=V5LHHd%Iz-y`y!WTS&n!7PC_E%o`_}rV`gt+EH4q=t3gfluNg^B z{Xqxe$w{_x5832kNQL%FB?7g6McNkYa+6YAIhMlWkh71ceA-?(iTTc%gS7-y(=16< zu2T$+QP%E@RbSe>xqV09`xU3Q&Hef!{ac79knEBpuMTFgwr=qsM)bwn7czlO%4CVO zofe2e6|{>zgfo?MY;bGw{z9T+-eGJ&HlrQ|_E&!je}!+Erf=*ccm3A!MGDbVW$T{h zd-|6;8P??&cI+<>Rrr6vq5c)8R;Zu;!=ZlY{kqg|?Z)HoG6GZW4JuP)4VQcXB6~or zxUbyv53W>QTec)H%PBmJ;_*Y7LtIi6j^enTZ1p*u(Dqr`Pir#mkR3NHOn^~ZR!2&a z(BzK3lS5!4o@vyaA1@_TOAvT8Cldx8fXHxDrfdtIZ8*}vvL!-`>u{ZT`x>+4o`nEuU^4E-&JV% zOQb=Nr%+ml$9_n@P5pDK+}(NlU~c`MXL$}ZPZQF6=3^#ev>Gg|w|{%}hCEofe=^{3 zPYOFgtYK0-w8!Qe1${QwCJ3F4e(!zV&D~X)RaciF4!;zRKX9WM@wTk?sE8w(@$efO z*8Ip++24Gf@k8561j;4k)0ap)a5PqX!p*f9dBo>UH%h-)_C$4njY zh*r&SD8lgxGhK(Q9>?vXkS+(F>1L4DaKQi>>?11F42d-B*`l9U1VmzyZW@Bcyt~lY zrj4nQHsB5+ht8t>#L=d5@{(A_KyWBcPbj*JdSaaWT-MK}G+U!WvF+^JhS&kb+aSrN zsMK+2LnR!_hIIK+3VwDn$a@r0d(-I@EXjp}ui{p{Eo68Q&M@iU+G0J$#Z^fj6P1)t z5V4E|gjTSu)mWw2^tp8r+A0I8#DOhZLspCOmAr|n8flX7(>{ged1-F|`;MtVa2NG3lCc301T?wLeu`P==!a(&6QcLRBPwX} zKLQy1PIwUh8QLL0S_7c7i@xPI_?o%>`)83iBa9BF3{aBJG0Ivi8s37o>)03abVn*1dAX2*gmK>J^j$?Zv3+gbFa!5s* zxhTLnb>uiO9QisRRD2#Z&Nl`*Zl8}O^w(;=5XMbpCMt$KdhhMP7AsVh_V~{z2}F$$ zBTXSAc4y+fwZ_q_wH!pp6Yu%;Sxz7&k@R|v7trC9_N1BGu`PHAVFg>-p{bq#zJwLe z&p@iMIlG)IWhYaU@cMIul30+9X_tuLZ5h80O$B(aQuo_v|688#C(FcLHzV9qD8(C9 zH^XK=*DoVl>{}pr3d<~DpaoRceKyWs`dTz`1XFUg%N{2^{k2Dk*zLO24VNE$5 z;ixf9vzS($v(J*WM4X-4>GO+ZGW?O&6?}_pNcnbkGYBS{J=9S#9^4W(?lto}2)677 zW=|z;Y#h04-JlyBkUhGre(?+t!D;TsjO zW)?&_)Q!m8^OFa&w}0e?|1~iKbzlQ&%7%oC_$?aLZAbaa{!w!!M{SyJoq(i_SamQQ$ObM}Y8n>Gl@ zNmIm;fS7K--%dW=YIkicqB;jfMzA>cx7NT3r$-&A+%mB7{w^{#C;aA+U^Ir!$o3$N zX@}9>*=kKJa7*1`rWFn-KtjsKDN3|4)*zymh#my9k^s4c9hqs!)qIJRmkCqX03iy;_L>*b;0y`=AH0 zAl~dPFrp#6v=(w)*v3Y`ppt+Bc9HMHh7w=nZ*a{-G+A@gk~{BiEOvGGIs0NU(| ztzu_TznB1rArR*@?X^EFs6eOpbdWU054Ir#N4~zZEdV8EL^i)dZ^Ea_^k9;@Nu$+g za1EOefW+;CI=1nE)hRuUH-CI=k6(Wx^Swp4_N;&(E|6R0#oJ@mnJwT~CYqO4ezyCs zRJ^m7l=Ppox`2{&n$}2-F17Hj{%+j?B(xvIkBR1jgx0t~?Am@l5h_LrLvJi|M}e>|u`EJBHdEyI{kuaJtHek3of0DR)0 z_3XY+vN@b^+%~8U#mu<)S=<17xq`;AJWA0&(>)ZZfY}&cMOUK!G8>lOU8sJZ$aKk; zsGJ20T!jU=QOq+1Nlrlozh!9xAYV1rH+v_!#cRmBM4MI`1o%xp^e!r05eQNaE4x=C zyXqPeIczNy;5yE--p{#6?LL3sw@)ap|s>|TF%`32RY$`QdlR0GEmWW ztylGUmuBmN6wn79i%>%+g;A7)uPSa%Vp*Cwf;V0KzINY3>7!UU3y88(;zmbCLR2{f zme*fCu;_a&0)FQ>G6)0Rl`oiMaXP-Xh1*nT{W4pd87j^x%;tbe?!p3>@$mB#Q)LM5%7*VTpykhREx#sWBF3P zx}aU0&?5~p{g}Y6O}6>vL^8waljx97-s$?x;Lx`lNm*OPI0Z_*FEV6VPQX@~>`l~R zl9bJk=ZUGs1v{KW0PbThSCV%E4v7(f`{`U$QwT1K<}CW``FqoeP?SC38M8?sKSeV& z%=1!DTKQxzsPpMxKBBP9I#}oTRr&DzA4F7tm0M^3RHfNbyYwu!ddGB2okGTCZQseQ+r`AIHDn!$l*Ss^`<9S>RB`NXr*T*H%;QriRb8J0F%kj}rN1z8q z#xI&ByY6a+EpSkey?ji(cyTou!9azd0xPK|FjZnhis@5H;w3k$ya|r5kWJ*kAMQL)> z3X>}lWhs(#yHk^*8RpW33RyBC5er=TR3xgfYgBn_PTTot3mv?GsIyrB^S4m6^3l}l zE#CSRMvb7~U&v>F{}4ni)qR`FkZ|n3k0~F76En#papIyadCdzvu4d`k?pOg zoY(Lhl$hc=Y2t{IzF2@GXIvqjEyHk56AAUJJv4dXoT!kSHKkYDNDtzw2ufQXJ2{gm zjC`LjUga*eF-Wlz3=v{q|I;>@Et-y&0=lU8h#H=y?zHn_{hwt}?IZB-66a*vem!pj{`h7ZR|B;f90zkUk;z=^_+tP@Za%P>`|-$esC`O8!{C@ z09LP<`|KlqfM4{30UV&F(_0+`VC_q=1OVV%_G1I|6TDZ@b6YOvBsfIPP|k!24YF9P zC+N@wI9mr2&McYCU&ZlS#7ORf_ABTRNnr#L5UcCYAg`P2;|b_=$On+-hOMU#&h!uZ zS&0!`hFdZ(65)0BWllAp>f4Gr{CzCbd*jXx%d0Ftk5%)iqJ37*u-Z>F>7%w_aGZi3 z`;xmbn(hhjbXVXpSBR8WMAhTT=hNv1KfB8sh3vl>h;F2>Hw5a^*$=}GD!BzJR1^C` z=z8Hlzfa%~rP+m6<*bZSjGaYNT*XIz%s7g5+NrXoVd$69P&3k99%gD!FLEI?G*m)8 zJzK-I79EOkK;jX6Xw$o$4d+J0-!$2jm~}9jN=IL3%sUlo9$39IC3QH@=s8a8fHG-a zVpaXTo?U00V~dhD*Fbb)slI4(JMILdx2FZ_Nd2o;r_L#rqJAM3FRwp@%SR{|GT*5uQ0tr z#m07>0rs6w-<6?btBUW|fLH>FYm_0^DzJ#hk7_(zL2HhLRE8DxsIh79j-(ffv%DnodRp%f;QaC&7n_LKwJEN->wz-n* zg2Kh{^Z**Wr;??lbxlooC-I`>j?VI3B7BVNtoP#;S|SQ1B^ON<&)epwSQ&4y5Ey$eL)u*n_AY918{TSR69V!Y41Y8`i4~w(ICc#T;t$ z4m(1kGH9G95c@9haxBZt``!VmT&>&E2g-2-IP&GI@@R@*g_^&FM3~k5AW6X}R>E$0 z?n38v8AdXlQo&^R!D>;aJ<^yigMGLO3cJ`&w^oZO{L04CX~ynVVHQ{&{fQ zeTXO8mAMz1CTIfod7SQRyYl&DaX3B0xBWYQt2 z{6LJZYsJk4HNJtzWZw3>{ykMaoR_2!7IS4s|KT zUKjX%DOO7WKiS|+)y+ zD<1e4nZU~aHowT%j+*!?)BJZk>Tip~S0-RP&w%(r5bj#jx254zZPS3xVi6hJk5bN$ZB29aNtX^*w}HxQI$7vwix}+x2jK_0+q6CWcA}hW8;qVMZts zMPc#H^sKX6_eluBBG5fJZTlb5>VvMFZWnt(tLY*KZ&;;(U$1k_@a7O^8iYR?&YHeA z5y`=oEv<4O9Cukp?$=`w`fM~|{a4K9_LH4cdS6X770WDnOae`C2gP6ti3T9or!ut8 zKgn_e$( zdOeDcCUq!I?fh^plGoAjo0^4b!^leW+}8(Z>m`27z=t|~ZL}maV~3#qN2)po{{St^ zUg8-j-Y)J_89#XgrB#>4XMlHy!w>qHp{e@i7I8ellcgGZl&xYF(R;5Q`xDy%h@193 z8Nc=Sy?%0ia0<>H|I<{J_wn7)Ig9zp0OPBmGKAC{$XmNeCX4(u9lN3Lpj7&udjnIe{t5Y|Myw*Q+?S zvTWWeE~5L%h++?1!4&MP*%G2g{`h@X=3*@ zyi*~*Yp+oJcu-F32tWI7wfNEU`fxJ5@kqQ-!KMBZ4l?}N8UiBOCQzfoa%ZFvCA;@S z{YfN|?#+o6`)PjA;tzctiOjemJ8i-eeCKFKeumVA6p_YQZAWmHBd?z>U6I5*gLpg z-*OQon@M)c^X`504O<`ez9r=R%RT6K36j72MRQ8x{`Y(EZyUjx#`@Qr_5Y?FUojKa z-Xv9rr&*(dW^YoUCa57(L&u39r&FujAmaBaf6w%iFbmZVZyT)J4F$01k1SZau`k#6 zuO>YH6tSgAE&Y;J%G^w`!l{yRPmaCjJv%Tq5zUv9(ki9j#=}jLt7crv`F6iR?IBahahuS4}^E z-;I5Jc1kBb%Ot-INU8%uqA5YXt2Wb6v1&L$Y>=$M*1x)NPTE%jTN;DlbSZj&@?8c-ioTo0=|ICo+2Z&Sr0`9Tt%{Z6 z)b|9=>u8LN&n6J`H_}Dkx`%Q|k}N;Fvz{hHij5qb?KuwxI)Sw{_nUbmBW)0K3WC!l zV}iGKSmt*rSO#CGC~X;N8yQ1|*48O2*_y2O8U0ac}I)MBJQF;9bjt0-7mg@jcHZr$in;I^ZhU*;)# zYawL>H&|+ewoype_8Ja7;)Crdek79j35V_Y%Im)?j~sf1IVaC1uf??(k%c`H1JJf) zJZ4Oz$}6fs2WZG3;b|Dh{~hW)>S!b-Nomjm>e^Bt$1H(j7tz|(RAKxo=-NB$Gy%rW zwC72Sz=Z3lVHcn?-Qw|5>poG?eWn0jr`g?)*MO$|WYP}l=uBGmt^$6xomx6w0AHHP zuKk_MAz!M)kQd$lSDMmUOoR|RUCcF`$L79xF|pjix_UMxzqcn*dHgfuLK^9dm!Qf$ zx8{KFjIO-t#l>*zI`?av2|qtw6o;I6Tq=i~Y}SQ)IcV0kF>m`E)}@`vY${jlUpjKr zTrXzaA1(N0t=HX@U)Ss-^X%36>3+KWFyYkoJXF6b-+6Ck8MM>^XZ!L;?0i{p(&kXP zt}$hX-#K*{MQgsg2pK%=w7Q_sy=3i`H$rYB)2DaWQa@bp>fHyr-ke3_W1Vz1?J}sA zCBks{#=6Are)@f-?Mi2^8&Yh57lAk9JeCDa$walr!G#aw%!5uQ_~T6-peubfd$VxL zabQ#))d34Sb#?AENB@v~&OzeFgx6Un< z=Dd17E3lGKL(_~|f_&W%91!XW)R7}Mvj>uKnxG?%YkT`7Sy=J$XDwltI3YknWUgjrUC*Yb zuE`~rtVJ_R+D#%l(N3=eb1b?h&brF3#P55P6Jbu3DivdCrPRE0%^izd(O>sNgM?MGh#JF}k@zTT#~2q=${EuUUGTBGs(x}s>77p} zx2bohIx1Gq(rVd$(n+E?T`KU~d7b&1fR_QWeomTeykVcgi3B@vl z@u|@jPe!D6xjGbxV|Jbc99V1NYEx@mW`TC&hYIWMAI-V^@%zSw2;8K*Nay;Tqrsy_ z;O}k>1T@_^%(ce#u?J6yq&*=`SjUJBv>$y$$yd>Hb+!!aKTXHW#f<9@o{8uF=mQYX zq69lKrV1`M9Kc;|p-EdzFFQ_zv1mF=>*Xp{7q`jVwpvqksvt~`n9A&uHN`pwRXVlV zB)nj6XdO5K8{d&QW31YRLk~3sta%7YVTFbR1>kcd zp??VNt0&P~jVK0Fs}pImsA&Ox6~G#6fZ~P*@Dk(vX!IqfFuMEYUk}A067+AAfpfm= z`$5fSoT~}8Tv~zFX#gkoAW$H|p2n#S4Rb6Q{Zj13!aV6z+EYedC6`&mo`xy%*00=E zB!)@kEM)=B^2)BP1%PuDgN!}EI7#|qGrabx{bVWNJ)5puG6Ni_8*qk*rjGS+L z69C;%`eVi73dzW$y)EcGF&4KF$~t_*Nh^{l2Td@S=De62O;L*pXtlYQ7P3!6xeNyi zh}8rb)Q(&_k9!8hF^e>P#RRXY>dPzn+x;7&JYYktr?EgSC=zqlhX3MXXNWcNxz;}L zp9#;FP5i3!5Y~M57~Q)l*Jhj;yPddvgB zcUk~$c=Yfq$-LdPpOQ=4eVlUVRL=37I)v85F;7mGrU|+2;##UfB5S)ckezV0!FI+F zDq*~{a4Lg0``(FI4Rf?o`}i^5SirOerDTL$6tkltYdxUE$1>%;95dY?ZX**&@39r~ zXS<9_l9&2IHD0eWF-GHI&mJJoSUHq^+>>L{?)d=(ZRx&BH$jlkRBm83QI<)1hFc#U`vwK$^L zI0}byD@X9mxnLMqeR8BE`w&aol@@9tcDV8oGim+uAbKo>iwaKk0bK}s5<{O~MjHRM z!o@y_b?*xP^^Q8;f5g;(#jgJ@J^W7}f5n|=8mG4Ftf(J+`j>>}d=Se^i*-mPHCb+O zu}uOAya3wSCd3oQ2-yU5uounsy*r5qJjJ?76058xoHbhh9r+I28?U#i;1USAY9<36 z2aO^T-nuMup7mXKZ4!$v+|_D&)2xvus==F}aH2!v*ATUb`TiVVPKr?XJh%Hyl?W*q z#T&~J(Fe(2KUU6FuOrO9j1?2Phgb4rv6P+?$8CvLam1&Em(TC}Y=`E4S1pfwFJVNA zVBZ!H>6&q?iH7Y5P@2nE&ed5KCcTPNlU5UoR@>qoBVrF766JN@7Cn0pVyLETgOun_ z*CHUS$e)gu{F~zNd9JoS6hcQcVAMGhUF{%vKU4F0(XNi0ewxI4fWB&q#8|M<=70vI zkAxp#VgAl6#zNHI>bWj%AY>UPZ8jY6GZbmHF_=!8FzBTMX=xSJ5Mhd^Pa_xkR9ANcEQx|Hs+K!1P6jZq z{$cVf1DT-`8OpQ^Z?(HR4HWE@)D&Mf%`RCL`&86lj(8uQ$aUMTNre5`VB0MYy+cQ5 zO!9pwaw30dB$-<^9qR0)LD9*Y)w=lTl&w8Q$eD*S9;Ypu<70Quz)8KEYF}#|ncSVF z4kG4qZ!_`ZDzGaOp_Pl#E}G&yZBOe*PBU}`Wc-0}E#N~*fKD`9b+V!F#fF;rNP+$My2G9twG}wBCXb@sEXl+xm0~rjR~6klqE%Q|CM^SIwrwS2 z?tJ8`^LEOCkCilsJBZZ9!}K1Kc1R@C;v8RVl99W42nD@-U*^Y?fJ0wQoeD#sMw;30 zvsr;WD$!JdRdFtW;;IME6*G@uurRP~j~EYO#o@zuN6hFUV>JC!kldV`AB7FOq%lR$ z2=b#|8L~5cq>^o4`DMkAu7nCe96bjSz7Zk@>Mv!JU8&Kc6KAFLj*(e3c!MeHYCJ4C z411>IDO)+gxBV0lqDxOa@qJ*@1Vw z`#nXfP(7yTdS-PZ@KG-*RzC1{G$lje`Xtj`Bz;seWz>AX(g1?7J8WAEa5;O7{ILP1 ztwO!b0$z3P7VDn@iS%t4&fu^T@mk2V3&)I?ZH&1|dg)e&toJ>HifqxXcIr71POY8Df}ZWuE{ zZdfo2G+j>Tj^u7(P50$8!EkTo$mU-!aQXZUOo`!(B3%|qN+_he$i0;nAd@oEP#zTI z36HF>qT<@9bL%(JC~RxlyE}o7tE%4qju<4c4=Q6DsC4pH%0a7a9>H20JFQ`nM-oV0 zBdA+dH7iLsn@+ED0%EerqB%;cNfV<0(HGS=d#C^V&iap=41#WyDP+WAbTPTPN32X* zUd^#UgL*h~Hz?-aa1(m4n<-~{vI9_Cm&>6{&Ubgow9!8fb~`4%y?+kfR!)I|Lkj0c zBL`P4c;i-}IFr#~VWmP)f3V&pJYVN1wZ zC2y+mfntAzXcv zKM_B&xwa6WLk<1te)}eG>Gej-8?)?sr}ctFC|Cqeu>wT#qaZ8->L&n+iiLj%op3pt zKUd6eI{-2XJNE;g-+OERpi~U}pT${pLcw8QW5mv#f7W{8`3>};vwy-8DLcV;WYEvS z*u8Gmhx&fCANvR) zl^`!@58{$_nB(Ge;OjMmp~6JIUY}cg#Ln+S1*v&LU)-w1dbwVudl(5Xf;n|;_y&pT#(iFz3=x>*p~5|s4mzAvtt@|0-(B5cTxw9*E zw~TIV>&%&DN}Vu>%tH9OqTXY-u!OT-4!`L~)79!{=hW1`;S6ENkKWzocd2Jp$)?=_ zBoalI0M_7Q-kqaBPiQpdLcN0n*eW%-;(*T23!|GfoIq)$Su%W!jpPL|#~O&B6q-_E z84={SL}KAVm0@km1V}NtjHJK_rDMc*<^HntBq+vYG?cxZ9KYP^@QT^&@N#*38ob`i ziOJ#S@OdjJI-vPID50+V^s+wv@%CbLH+*ZS%lDp-O+Wsm=lisulEYUGE(ktJ>T!Qi zzopCVdB0fF?fcjO+(mm;3QI7TD()1Dn+Jj)XgkDEwQo!^)oh4qps8D%6@*N#?)WQr zODQg}i>V*>|7-8e!>QWBKYol6MQ9*%(qJAVGA6T#280a95OO#osg$8YQYb^}hBO(Y zWULeoGDHXsXbwfuJpFdZ?RV|f(b?;He*gWJ`{cRl`F_vZpZ&gTz3)0_FKhdj)?Mh^ z&NTDH)GgF6cV=wijXl$_{*8BtOq@uc=!^VoM!7RL`8dy4;W=fxu4%o+?Q)iVJb7C} z10R37xWqCmxNULL!@U}AZ&WfD3MWM`Jn`aF^nt12%Z|678x(!dmbxwf4olq9v^5zX zCdO8(O|xIO&H1LB$H)8ab(iO`&H!ai?)&MQ!3Ph_Ok2&NE7hp<#8P{;Mxmr;os!Jl zZ|ZAo4jqU}AGuwA%&za%0mc1WnGTk0Y;t1yayWAb*IDBW_dj;Yh8#3#HJ#aXKW$Vw zXwS;Z8T;=p9@;5wBlvY!rrut+^DV1qZcD5Z%F`L&_ovXd5ajr=QG<<=WY**Ob!vKI?2*NE|~dgCLR)o8MZFL(V_i5Bj)ZJk@ZyACarRDa)J z+sP8!A<8o}k|EmM!oen3`a1f#c!r9@UDoCXzt;{c1lo7Z_1rAio?g+B;C-@P^TE_Z zwR_^v)^2dMe7T%=Oku;b%^G>WofMPWeXKoiLin2>x>ZvS$|ud3Zt{Fy!N=^xf$OGW z`Du$M;49_scwA3bH(Hd;n5U2ngxN@3wp z`z||^3sGbb!)q2~i7R|fw;C?@u$DTfFsrxq8pK_g#<4rXVrp^Dpo6j~zssScPC~6^ zrA79Op4l4JiBH{sXRl>F6Z^+OYqssoi~BRK+Od9T4&B((Q&zMOb9oC}yx!@(E8IS9_wmSh@~F!J!|%P%GjmvyYcA&RJ(hOSK0#Y% zr@fDiH`(XsVaZHt-i4XI`cFDM<%PEd+PKe=70MQn-*Mmv%U7|PI;Ad)#&$1#I>p*? z#e>FXN$V7v%F&VnPPlLqg#KjMMjF6f9F!4yk|$=vxQc&_3~fuOgdWM`$9FO zHM3$UbA7dmyVtA`KmQwtGlaCmm5p4zGsQgixi`KjcsF~Y&Q^~7k9Jl4SY+GA%D>Hp zZ;nIDu3Ic7J8rkiKKw%WDX)r%H`K$}qE$?P(fYT$LW>q!w9umUf3#@XeR?O|Z}n}u zr{BKVMQYafjs}U`eOeOoPen)omqWUC)q=$zlpow$`tX_L@t;4GYobow(%IFx?m?b> z-Wd7NhaaP>x7zEtZ1X>s>VCStGPK0j+mjW+L!j@02E*!+CV zG>+e1s5YnBV?$q`!LBADsq!y-&wBIr3kZFxJQW+QJWs?dC5Us1vC4W=$0z-~$A`|9 zp5c)y3o^<7uw}!Zdt;OxZx-I1bH;#L-XvJy!|X*a3z3^QwX$YfY2~be#s>o{RBZ)B zHpMjb)IHxhU0T5|s`hHeormHtW3My}Qhwaa@9&Ee_xm2>|QsN%;#kuay*(HChb_h$mDN}*tB!B zMa)uGu`Rvr&qb`t`PU+Ldn0ZUOa8xD#60LOV%f{mhR=r7*dH6NAsda=RX1&XYR}YY z=BO`Y{`I0jf2LzW!8$IXB6Vv2mH2p}Ya3d5b1CW3V`5!DPmiR3f0wnST|#$fPh6kj zZfSN`7Mtz$>Y^sIO|uiu*9v!U%ozE5eVsiRzPzC!t3xlhLw%MK68{?IvfF8+BV z`Nh-6MnYPp#qPdZW$o>K-N}ol8b0|Z?k?=ElYZ#(#<#78l(FgCbkmgQTPoHrk=d2C zM>I`)=hKVAO>G62>AnqrzGag+5HI1wl3EGOpEBiCXDl zPm`x6m)>-(+OlF`(U3Ex107+@S3JJa?-} zLjK~od0f5RymO^}!l!4wHWz>RnEEcFY%Y7w$m4eEiX%Ig7pH`~vNs%83OpXXxzoP& z!OXPN-Orc2rQ3n>$Q2dZVE+=2?W$t$HqnkIrUa3x)aU~w@((m^yr#$-Jsfi> zs@3VBtX7Dh+Z3&ktUHF&U$byHTCsNWB)zjW>#eyOXl|&WIaYRCxwN-&D|LoD#qD~~ zd@f#jMUJ!~yOw8{NC8DFDQwJxr-xphmXf)mRl31eM<;)C;j~hVr32N$Ud#bf{#INR ziq#8_?l)IhFL33ik6qLk)IaLdB>H~$vF|KOl97Rttk3u`_eAGuEW_(^Dsx6iYx%ET zkF66eFYDd(Mf75?=B5K?Vo6R9;x~^92v1YEVthpUzIwb&TAw%96GiFb<{lww%Q?Ao z_LNJ$S}>BK*c`q|YvUI${g3&tD|}DqQ0*dqL@n6jm9jd@G1%<5uhof;bism80%~bP2QzX^L@rOyVZwRz+u-fHf*JoDzh3=HOX&-twT zct#M*7Pg~b#-0p63O)Ia?!L8`#A%)+jK2l;nXKRZLwv}g|I2^hDy@L4ioB0x-s`S= zWX>$fE6IG;?gE$LeDBcPnvr>RW!s*A9t=0lkUr1t_hH$k)MYNm8X`rmWGOFwc1{1L zptp~5?r2JcpYuO%&3Cwt#$NR{`7C%qVRj-9^@y;5aIeTbQbMfB=jQMutV|6eDa=!@ zN1lAtS-xsZWu^AbObtGYJ!_6)CTp&B#?PUu@DEKPc~aGLDirj;ve)TLXvf|-TGEx> z9eyXDMJjFUSF^Ur##d$`Z|xoP->42IMES8fwu~6q7$w`>jM@?4?)Nams8#l{#ZXOH z^Q8|jZJk%L|8zZPEf_2t-#zLfnm}(<2q<==ImchI;?lE@x;XC2&<-CUk&laf-w%J?|X8ClAS=-z6zD z>6&Gyt{d`DW%=31#8;{I@Wd4M#PBG0z0Sagfj;Lq6i?*}E9=eG4qO>V%@bHVc;}=y zcC3C5m1?lp-#Dv$S(^RJ*Y7=T9{5*qpK$yXe)o{nt`{P)kCWNkV~^D?IU6aSeg4X+ z+t2p;n6Rwhs(L-puCt@!%=g8zJM>EEzY*;qp)dRmyQoksLa`?ZXtP7Gs~1%P`=&&D z0(0LTOY>`byzG5deG%(E9NW~k`-GgSS*2;N`09;kZzxI~;kmh^xM~esM0NayDFMr- zU;8@JEOlh1PL|4D&lJh}+Qu7uV^@^rytLhRHslfCJa_%`il()zzSVO-^7t4U>)`lO zEWv0xujjNyqWPi_`@HPXaksi58v0v75GAz-225CRq|N{Pc#Mnx$EEL4;dhoyvy zQV3Y6D20H9ic;dR6j4zM0SgtS5U@~D3IPjkD?`9S6+CfRN~kD>fQ8x}2v{f$iNjJx z?G6Mi)b2pQLhTN5Sm*<|))25zy8{6WwL1{7P`g7MmMW^VAYh@$f`EnQtVv=0@#qdJ z;EA(Bdk(}|p)CjEtk6nGoE2IKCuQ~fq2d31Ec4ex+vsD;zdxqDrc%<71$*+EiXErS zOX6Vi2ymx8TCLzpq5L8xp3Ta%@Hh#3zV-k2*9kkz#2!W7nzaKF#X%c+HguJ68C=hc z>xeU4Wa4qrYsoR*y#4;&@>OH^LizZ08qV%fKlOQw;N>tC^{R*hweDR!4H@?8Lp;jN zCyQ65zm^Se5DQP<7rk(wK#x!wIh><=S;Wu%1vQ)daw7GLqd80S<612jO*3M2yT4Q)M z^O^e8qj^G~Mm~gSdyWZg=*>^vwQ~19>GQtwJ(Pp|0xlb;*L4EmY4yX`*2Y@1`LyWL}Knz$pELYZ^<;*qzk5(`rO&nNL} zv}vsy%1c;ufV<3kRiviJlzq;h{3~{-ThBlN~?U`rG=yOv@0Iy?B#fvsSxF7%gMv1;E}4!*2Qc* zwAt3fnX{Cw+qICtDIv@%fJ1Em7nW~5w_EEojt)C>{h<3?dstH<`wlEE?$Hj0n2Bp8=%PxPMo3}gJ`;l_OETv1%Jed|%Qdh8p zUFYeheXiB!S?f_IIr_>tJiEeAvBJaO$4R^ISl+JS+-VK>$9$3lTBil*dzveAi3CtC z+%0!B-q4*msvFoNwQo(BzyU?c(fXNzq!a!x?-!-*z|PC~`!o1|G(Bh|e$pc14_0U& zeo|Io!G%6_L>Lv?b|8!j?K%)fg*F`sqe6QQgi)a_2g0b(&O2dL=w0YZQTTw`oq23t+7TW5AfQ9z@h{IAvyL}L_P`5)I zmKrKbAz-0)2LcvKL*lU1QM&^H3$;5Cuu!`L0SmP|5U^0Y0|5)QJH%nBp>~HjEJd^$ zLcl_+Ap|V68cqreeA`eJbvwjap*EU0E3`jDoE2)KiL*jg194WUXduoC)eOX0p$3{b zE7TGaW~GMq8i=z(IY^up%0c3+P(MhV73v3xvqJqKaaO1wB+d%;gTz^(evmjT)DIG7 zrHbly!mQA50-O94Qt-VA6;z87jw@wUixOvrYEj~>P%TQF6{UQF+P%TQF720nn%t{62AaPcx$05!N^*F>?p&o}gE7ao`XHr<;ryw;^mqVNt>T-y)LR}7V zR;bG%&I)xogjs2zYLqxD)a4Log^E$atd^i!lrSrGRErX4g=$gatWYgVoE54?iL*kr zC~;P3w~sh0RJRjmwFK3o#95&phd3*ggM?XWpdN=Xt0kz%A|z&2Ayb{?xA^;60|ukMtugO0l?^kA#D!C@W+#zcg2;YU1^Hj!L7|z`0~;nb5^483 z5=k5<4Cf?~D3o9Ys-KSsnW`}ELHi4>?V{^OF=eMHFp)?vuxk;+xm8XdcN@2%1d*xO z1-SF2qzi7M zv)qIcV^?56#94_}(?eRT84|LdoCm4oO0fhMH&?hxBuU(;b(YhyrrolC8PiO`JGXT0 zV|FJje6C>8jgQ5DOve2-1q73+w&UUb-~ZD!n}`%22Abw{1~(yD#)n9&&=?ZMJL_8z zai-NXkj|!-M4V|m29VA+Rz#d>{WYYs{YoOvv{e|=+0~keGp!baboQ|!;!Il&={nn# z$M&|dkVt*ll#Wk{z*TgdC#D1~g~61tUfJK`gr!3V_DL`aT#7}l`MV!&jG&!bOhHC2 zma%iN=@@S(w*K#SbaTwCXtQQJHmb|mRJ#~AIu_S)yZ@5(_e}fWPbQyOe6n36L^01B zQ@|-)%x%IjEnTU>R zZqE(h33k8NnhCd?wibYPq%1SmN-TO#W6^`ZJ>r3Xx1(h~Xm?M&zGNqMx5NJ!o#5ub z+tHE|v^(ML)ya>IZYy?I@T2Pr`@0=&E(Ptnp7!Y(VRnt!?ZDeD-u`zx+5`&P?Hp#w zevI7;GhxuKaOdCcX!8VUw^GoKe+*;i_eZ9*?EbqQZK?$AH0lN4hhlZz3lVU1%=^ae zXnFBFq9^=?ZsL7z;na-6?AFf(3$5~`al2q|vOigY_ML8QR7AX?9>yGs|8Ve6|HFaq z7|yq>6Rg6LUJ6T8{4%I^oX#bUFR9FW%jr{#D^R@sl4lZLSjDlnq}A@d}SYg;$JeZZhmCj;4nWj z-Pd=J&=>xOi9@k5V3{}JYhCVd123vVu&b96-C1Cw9zTHSlYm}@?wy(3;M^(DfNr!- zZt&VtsDgX`W&To?& zTrLC+=+0=98w?cz1}bzX?8yxT&w&PzBUn)a7%0=7MJFEtwG=Q=g}j90=RpI=P;R;a z8bC(k7i@;W1(GUcHZCX!4Iq=rt^zO^KT#T7d?vpOyDC8g$XqDIRsb9W706t;Ukw^S z1`-F>>*GeC3>ipjmq7!_KyteR7$`voQerJ=02xTtS3v{FKzelzFi?b?RA<$L29SYd zcpWr=3?#}8&;W8uJ9-l|fQ-b3TY!NQWFUQP01Y5RS*j52oCcr=$GL&~Tg9ebH zoYw*xK!$SH9l!v#$YN^)4Io2V`7USx8OknLPmP<>lpsTSUk6~I3K<6#ouC2a2%g^q z4Hz=Om&*LzfC0lm#Orl?06oK8#Or8QgEeE=&8e+64`fPJy+Bu#BV`n&r;Pt9606oJg1kY~6OT3=pT8Nj&zrxEIMlN1nHh`Bi3|zdt ze-JNc7`J#i_iMbIVc6p3OWxq+kWuS4jF&TOX-74D$zX@a{8c zz;OD&8;E@c4H(WJcmoS;_XoFrt1_HH@CISuK?8=7j5j#>129m745Yh1K?BH8CNVML z8K^;Cf*dnopbi1A9%w@cuIs?!% zOeDPiA3i|O@DAejxA_4*!yAa#e-r@pkoRxiEI`jNiSVz#ayFo6m;!ix_#C``{8wB5 zZIceKKP3q0A;*7L2+%{0|L0sl4;lY5B7hz;{?}q}1y5W~8Lwq{eo+qO7SKb+PqG}Khm4=g@_-(4{u#tRUpO)Tlo{3_`1qNv z1n42-$50v2L(YEzDtP_)uW2D3e@9dSJ>>j%Lk-YF#_y;)poffKF%3Wu8NcS5fF3e_ zLze=2$oS3C0`!pa+oFxvj~^pX{QiGk2IwJQe}OKbhrE7UJ-mMW2yWuzkI)D7kolWu zfY*;7)lB^L?->Gm$oyw90`!pir?3LhL*}2OF`$Rczda^^9y0$*P4W8iBSMMu?==JT zkon7H0q7ZyAHSKg#1gMp8b4m~Zx?_!@UQ|6AS3wTO1#1NF?@d;0Dc9lu?F;z5&R1K zG}OcuR1-3SXW9aK$OzWA1N4v)?7teXA3y4fIKT8YfF5!NtX~W0A!p#X>+t&VV}gi} zU&H~gA3r*WxZcze&_l-0=JkLcGJdk006k>7?+WN4XD}N# zyng%`1LF5@yE~wVjNdaJfF5%E9b~+I{1I^C<7e{1>&G99Ca#zB2K12eYwrW-A>%h@ yBcO+j-*diz9x{F(`T=^#_~qP$*N;DrXKl`geSnulx`X|ftWF}S1z>N+lKuy%Op|l~ literal 0 HcmV?d00001 diff --git a/doc/Walkthrough.docx b/doc/Walkthrough.docx new file mode 100644 index 0000000000000000000000000000000000000000..753fb9570bf7303a9f60768d73dee76719fb8c9f GIT binary patch literal 21601 zcmeFYV~l9emoD74ZQHhO+qP}nKJC-CZQHhWnx}2O{rgYmX6Bu_$^AZ4$*#SUsyw@@ zvQ%rYr63Iqf&u^r00961KnMUy5*`!?2mlZV3IKo%00E>eY;WgcYUiS_;^|=OtV`!% zYeP@~0z{Dq0Q58ef7}1y6KG12l@As`2)icx0&h?U-t=M^NqNe9ER(Ie?V=N2AM-OY ze}BBjm6EQ=FV^Z#=wrHmpMqu%o|pPH55z-dt+XQVMFT`^TQWssTDv&2Q|D%gU^#{(&o;bq}1#$0WN^)UhGm*swwXAKFh&sUJ6`e~c$ zUlEOg_sL$x%SiX2BNi;>TfG6u$Zv)iu?}RSxh~*cop*C*8Y$0Th^0Sm%}U4!l#j`^~0LJ=*j??~jk6I}p@ zWLyHe!%Y=!^mG>zbSP}f@0gVELeUN`PPq)3#Ea~hm4%P_i@bz*3yG_SugM$t>wli)TBZ4^?nx)Zlt&1 z`>|pFRYrWce{mB1jI;v-0N?;X0J_^dnb7~6pqSVjyW0L3x_>O(zY7iEkD2?K{Xe@^ zCCkc(F#MaEU+6?p(~VtkKxbXAthwEyRkjF8a~XmE>PBsZnkWFLlQqlinO&6Z)aqSU zeE`CXZ44@Q93ZNjI37U@;r_hjG z(s7Kd2+=aS#HuqC=hl~oDyH`!UQVV7pieRx_vH^Z4W6<{hCX3`rHpQ|MIQ4v=dO+o zvM$ZFu44(>gAmy_!(b|=4*=P8KiC_8X&X2Q5VB2iKV=!7SpG=<670s%iy>}C-7Cz$ z6SG!W8vWkNypw?Uh>3Z{FFYOV7Ib+;@#7@V9e@tB_fo&JlP$%W`QtJ-v!M33B6n2X zTI#??E>eAM``atE`$R7Uo=@iq?!V(P)UKzOH(~$)ln(#^#2?oA7mxi%?z+^~w%?RU z^V2u@2Hp=3Wxn7@y1tcLb0F#5JS{qm-5z5@gAPujh%}BoN=QLvKgstW0J>-C`+M}|--5U0al!rm`}=HmaZztBCq|dQ{sxMlFDGo9U3-uOQeMA1 zs#Dnga;Vd|78}%YPTsAv|3!7~+FSQ|nI*!j_6chm?3*?ws0MpfqxSgaS+0vzpM9p6 z7D5-_`Z3tzlH}G70ahGr%z{@hQ*s>funm1mo?CeB=)8l{RlL&YR$| zvlxK+CQk!IQM+{nw4A(W0spX-2b6)5=i6zuLPxijRl6(uV*KXq9%f9^hv{+0!g)Tq z-u4NfA^P^H)A(MO+evTp2eV3O#v?K9+S5e|pY?tJq27}C%j@~o+N0+e=9F2`eq71K zi=jv)p3BEN4BAU>W1EAg_r2=HZsgj(W9GA;JC~C6WMAW*9YGK2g1u}ki;F`&m>nzH z_6ELAV(#?zVR@S5x8UhZHGN5iPe45Msa=% zMzR~olN`z)&Yb}b8Xk?4B6g8?e| z%@YM+cZYBg>UbI_=96tikGj{CkJ+urpkXbkAbJcs9Z}EIi_htZB-=4g;)ID@ec@c` z>Cj-U`73f6dTZ_bv(=wO?O>~YMVJAwBt0ZxYG&vft~$>il(4cC_kuo|qaVGL@sF4kvP!J2Tz5G_q;!GM)p;P`;ZdDex7VWd@faH z#;xn~d!8%@8Ul4R8QZ6d79)C8vvgSD_RsPSLEHDWc$ohxIqRrS`}ul-9=UHu3X&gVQDdb?4<_0jHc!7)%Lzc?A& zr{~P%g#-CPA(zhP0Ji{P!p2CUIUnzhnZQ`GzXg*QAe!?OGRQs%>JKC_z#O21Bu#X6 zeEq>}ghndGrD}$#I&~bOl}_j^tI*kvPiAIf8c_n6xY}PP(XCG01BDey%t{xA)Tpyb zt6v|wtU^r#1w@Xfc~$G0;XG4|iikGogoQDkDDT2TLl(B0H|Ruf_8>;coH7(?y}LLk zn+Xuyz=?d1UTcEPG)7&oC*PK#t9!s_C+?F0zSL%eapZzd0W(GQc}STyj1CR_uRUsm zbcOmsBz)b9`E!3a@BU`SnOx!7u*bp2QPpq;6tqtc%T6MK;&KEKJ@3%~6ML+_));50 z0|J2}+Hsk}8)#rH4pw=;rPpS@&Os|SdU>{s3u#noDz`0GxonSEN)SEP5?&K=*fu2J z7Un*Q;5OHWAu{h8+tOXseU#$7PuyIU8-~bQkKaWR3OAt+lCFCGrM|jy{D?W#%=fY? zt|t<#mKc)iX$m-*Bs_gXa1K(x3`us7!X02Ck-t!^U<)B_t&ocM%#3%HoS=*2+8jL9 zKhigQO83m_ICZHV3dI&;&ru_$n;k4O>ebz+%Cc_7h>=zYS^9xcPeO}-O{aREO8N!F zz%o#Q{&~Vm?@Qt^)?J0MUMADwW;o&n^DuSA)6fT_!33CSWp`$B6tm^@W_Rl62lO^Z z5Fm^|A~hDAON}6L4{hSC10ETHbFJQw;~UAubsMt?yM zc(atf@gmDe{MMI=2pY=8217t{9vIqQQjv;?Ba`8vmV{`ZVC*+Fa5bH zH-wDACwfw0Z$uoprHTMU{YM@Za#**jUH|vrc1J;KeSdnmn*&mM`wqW8TfaY7AWZSo zf!O?jkZM4Xs^oWS5e)l4U!vwh$>}g6BVfcK%*g*@@V|C0M-m_q28Ui!%b1n>5G6K0 zzqM^!{HT4%L{k1K1#!-8HQDDs`4p!C^m&S~`pw{}O4sj(<@N3P+lp#>Q2WCUCU7kT zQnnS^dmAAA!@LQnj|&*$$G#ie09F}exf8w`{L=Y`w$|e9S_366xrCokV-C@3IZGW~ z8C~u!722^WP#746aZ21E%S2o}Z5%dg5tCOCKa_2ju9!^=UBa&F!ETs9GwCyUg^ zNSPES2tW)V--6en@(OzxEd&16|GKPa{e5$A>QU>;GDuEn7anO7u7gXzA~n83$YzMY z6ufp2IR%4TvQ!I@V)$`Dub=*5RZpJv7N1GLFiE5g(WoXt4Yq9+I!wcY2%teNuLVzw z%4p1_l0ukHWUnDXHI|(8>`Z#~`W$x+vxkaal5yv-ep^JWQ`3yLoxRZ1qL?1o9lzyw zXEb^~Q?wikmR=yGt$GE@%qX=Sw6GbcLegyz1oiSuSFH<6$M+_xggCK=I`!I_GK z?CI~PmiNZiZ7?DesariYjJsU3vNu#J0&#^&Z1$4q1pfMHx zpFEmSqGn^2^y5cS~_|D1$t%YY-0;v!ouqM=X?kJv$rA980grmX<{*>V?tUav|<#1VBon)yH;c%9I#SGaZZj6r_h4O zVSxxj8eT?4nPNU`l^~;76ikUp0#WG&{=J7^KSZ!@g~E`4KQe~z)16|jOmGWsBF^^# zq0=Xx)cLocw5;hO__I*$&_>$n;QpW$QOlOE!w;S_dk58~s&y}nyM|rzGI)AI#^4=h zx(@jFknvP@6|KgUUm4vn{iq6{cUGVkZNSL{KWdA~j0)H&4dnd#(VIkhC{cj;gnyVw z2C+hf4tSU1owGPQRFzOH~Q*vwmpWT_(Ea-Gq4{n?(aZ48mf#65E#?XREfS-~lkWQU4kp=1jM3eQGdPNYEa3K_#m*XhJrZF%K z)k0uNf5MYNqJ;npPouu+78!^>eO}LlSq12WfFDD?9O}82lCFbq3?3)KhZ{ELtJAQL z5iOqw0~=Sooa+_*SnumK_kuN!os6d>Re9Fia^9xzl_Vo$+j1V~VH3Ae#IE&jyQ!4O zQ7196+aQ3V!uyyK6J2aU^I!x~{9gz1AlZ+L_nTLiXOCE_M9ZTKZ9MCBq4cr@JL$IR zHK^}wn$P-lyc#l)mEs4-8mn8ut6R~_>(h@lAG6Fy8qEZk*GT=NwSl0JjZQ$I&b6|< zD(x2y=90x7q)gPQFS{M6nm@Eon8PQ~zaEWqJ=$j;J6h#FUFbFjgCm%7&@EB7jERDR zFpb@-;ubYnIE;ePpfIER0FQcB(QQjE$s;C$`>;G39uQpviE~F1(zxIJbJ`d%+!yZ# z4l4Kyk9!3YBd5Z}=$YlCa1y(O=qPZeW!@c?lFXIwOVHLTG9jA*48;(ZR~3zcEIL>V z0%%?tl-)y4>AsE@czj$b>&E5{Zuj9z4>{ZBvADhfP+Kg`ao+hXX0tdK`XLN4oE(uv zlE>qM{ZWfUeN$iZBAT^Ba+%!hwi{f~Zc!a|)$9QbAZ#5LLnG4$}orT+kcjdg=s!`0DnglH+POz=NVn^bW1Cku5wTM=vJQ zrw@vlApug=RrENic}eOj;$htHl)L?|urVnbzV9rQj1MMk&t5vO6Ro~6n>N8^Jgzqg zPcCh3i$t3<>eq!o=}B2`s|f(Q<8NCQ7OwQ1ht)Dvc1d^Oh6q9aBa#S;9M~fW5cMIV z38!SD6vK6k+mpj87-VkI1HLR2+a-4-67e-`aozU^BbG8kOC`NJgNT#gD*N|MA1B^y z3gc3b0I2AC0rp;8YPZ{5e7;l5aYk2}axPTi@EzkvC|8DpIsshn;yeZjgi~LOb|Lv1 zJ*wLmwWX$106`TD$J*e60ONf+<$&mlk!k2J)PnZ64f^hzqUmN{p$w>)-5VF+8G&Jo zfOrbqsS$cEAyqCGuvQhe*`IFyMiivA3`U)pFZ7!IsA75m3Slt4Pi#RmxBvrM1+3Af zSZ5`(94_>nz*2Gh^J)GfnJV?z?2xXyPD~V1F4zofi|B6+t~e^CKq>VUM&c8xX-+w7 z5FZ|xfq==O0iZJ7Hse|(^Z5a8WkJ`&)qyLD6qC94RETXn_q8g($qCqP)1u+}`f+weRYaS+ zq~hy}@K_2F`_{@!?s@J-t>J{vkRNkN^?+DV8BlFIv(?s(%Sfi~)~A4qKip=THN%B5 zWwmx!F&*|ar>5LBzoKW{JHH{-99mYEh@YmvY!i6~R}Pu5vDyXMbcMZcYG;%R-glyd zB1XL%U6oQdpqT7Ii&IyedwOwiR=Hd;XWald5!;W>I&>EbuCG8;hTAG+5_^Am*iB_D zI<0YHwBAk*_xpE5K+XdT=m)fwktJBtbrg~6>Yo)FM7~8qI{9F;7qj|&xf@PgjSP_d zv3MdEeC70lb&J!s$$BZf1zk^xt1ScIdn;Fm5=?3rJ|Qw^pq`~K<#tKI!*k($sKTO^ z<7tfof)Pc?i853c@2XCI)dKSl0zaF9Nl_vcOxJl1j`iL$UF5NJgbWH4+7v~^_3BD! zg5#TzP=(yZX%|we2T`=#HieSQUxE#UQ$9lpPqS5>{(Ya|ysa0pxPuV8R4C$C#wyao zym@#7PL>u4^>sG0SxmzW5C}}YOvdWPu{_4LMk891i(znc_4@4j#AC71*%YRkBoRHc zH8CUw=sDwvBeB()fy%n=b%_J3TsNSa%mHn^$Td^1yl+1Z;rT1B zzRj1I_7P{sRCChYF(#%=7(k4c{C~ytB#0eMynqr9D;qDYdorcLS;ea-eXb|8>$6z) zuD@AaFb&hQWa9&5*a{^o6*kL;Dwe-)7TfzUM_$>nrioQPqZg1Mgzf0=6=Q;-+TrNX zWsV8gr;)Bc77%N&L#$&h7-WUKFWhpP>TOVwoJy>!J?h@q&J#+D#HMT1Z zo(Zmi3&XdW1b5sc*~1ha>Y?}4f#YIW+{9X*BA#N_Ue*%-4STdC9g?87-7Mgt15ypTa8ie~rHA0WaLjGzvMF|IOB;ZP zDsG8tl$m9ooL#FQY8Yk~i`Zd$vM#hWDS9SUM;St}aZGlQW;%Hm>>r9g8;rZXzy}yjL|6n`d1pMS?7UVAIezegA8@{1=Wm~AbWjKz$^tJuNGYh7u|On zeaFomypvmP_DTi}m?s2KqzSF9F*w>D-@%fyW@CWMH-!HNsDl#b`MmT(@NIp)M}PPf)M<Sq|GEObe*(V(GQBL$twT+342%aEEwKV zatgSsUx0-PKfu2THj`7ZUs;!nJ*LeNJQXVamHrwxhV1|kX)U->6IxkAzCKH4U|X;6 ziCUej(V}l!$)uWL+GGV`Msfu%U9yOvxRDh?#J22>q5a%pGmV;Zt?rdUvSm$tKkHj&{<)|mcorzwEebmo6 zFagVukW=1_Yy84g;OH8X7Bpmf8vwqJ^o8EPc=PwRL@H3GNmV4w<+oCc`I2bGB?YxA zUUwGkvc4KZKLM#Qg%CwTP0E000VR6tzUF2+1i6SPHoA=f?jBwOi)sk9CMONBCk-ga zB~28wfVqiDj0ZBN;}}okE8F{az!e7%mp35k>~L*l%NkZvLWHzPSr|4McD5)GiP<1Z zqeXVpcH$m#^wiFFR*dip-GMC()|F^6_F-afQx>|JXue;gjv~)jqLRR&uUV17Qzi-s z#{goCG2ReGLMFCS@C-9d8=#~^NP^tKpNm$DhZu?#N9O)!f;wo!+}WPL9>5Smc)Ary zAYWMNpF$bKHo5aR>g$i=Az^NuBrCt9hmsGYVVB$o)s#V&3&h?;;id#t>!kF=ldvGF zcq<1C_((ePMfzhC4|^3ln#{LH+0%nb%@%bbHF?~YIr1Dg@3t0=$25k{*=J?v6y>oW z&?PB4l*Lr8%+2Ax1FTg`Q~*N}&yx}8Br~;tY4VOW|FR#)53Wm&gA2N5LNr~FWt(nP zCBmowoJPsRW^a|$EsC*FxTE~5{Fp$qkgo)3h%2cyXpWlBY=XXpGUKi*V-Ex6Hm#vm zsZ_cbrDbkPECEb_vsw9z=hEEirJyf?UNFGGMEtE14atm;12AXnCoX3Jcl*am{WBKjm0pxxs7pg#X9d0SPX2@b?WuyRIL2Zx(xc!dx?mh zcz(*%o`uq+lMvWXw9b420la__t7sbucCb~wYKhd=w;RQj9dY12paFfRd|sae$UW5Z z8V&T>FUUj6xfEh0mYnZI_Rva|u{G*$i!#{h60Nr_zmAf~Jq1+gD6Vp9C#Q8aW1{MA z=NIytosy26*Dv(znHv8-e)BX(>(kH`&!Zt5Y+|;nn-H|yPf!`Ni<}F1g5$Z2giqXO z55k?PcmYNSQE-;h0-PSd{gt8sMC)G-Z@P zGh1+t&~h@y{tGbv9&Fo>n1R#C7MNa5>HA9LYI^o zTg!+HqE9F9W6U`lk9{brl#(K{DzHAQ&Pp{1TS~W;paGrJRzjL$OyveJ2_wCq&|?W) zCc9PrFiE+evcJX@MBEB76_iX9Jo7rtBX-#BQQN#lbe7V>0ghs!a+gRT0n5tsm??9J z-p4Ttt$3-NRbNKDO!Vv@$rZ!~kocsBLOmUn`&Rui3`3o-S%It)cvw^ev8Qw!A=^Z;49=BM%IDLOG)BG`rz2?-wLZbxWBKkXd;k zvWDAVEUM7Z9KnpLF!~^Brg}R9a}nM0sg{85a+ee#R+82>c7bkb`p46w7M|b zeTV-G)b``1ax;z0;S;PO)IR*ep8|Wdu5DLEMVZl9KN@;GK0u;r@`?R>wtUJqXFN9= zI&QS;@)Sy9Qb|IBb2K?1m*n|fL>Mwqu3N`y;jS6EbG9=ILIHNX=o8-{#595DzoMBS z{y=o%Vf|cS%fo*I=%!^Y9o-*MjVCvj*-4Vh$^`}$XHE#}rpQLk0+^D?7jb7+LF0G1 zi3h4;yp@&9Pi@7uxgX68Qu3DLgBTYtyR5H=v7}T~Q>mxYWBNR-NTvG4mFOFS0fm(v zq7gY*M|jP%XII~#%vSAQPF>sJ%R*5>-Cfq1r2r(fBBPBR%|W=J6Ucti7BPm1puZBX zUc-&bxrBEbM*=U7E|5W%S7Uq^01HOhjiH=UKY85fb?CvIarTMFP_q!*;LpSj90_tl zAH{j}iWSBe?8|iKN8~$@n)K3tz$N`PWLWNWiV@owe>HqRHJLOiqTF4=S6~P#)Vz|a z%>)#Cygg<>i|;UndHW}N5c8Mf>n;?}gWERCjHn1(Qxnk&ha? z-vzb${ANR>C>+ECP|HIK8(+GW-IHAE2IgY)8>CJpncjJSGP6&z{xCF&uY(V~{w3d9IQcD;bKCr!ZMUin5N`kOp&$ zi3Nq;4J!)x(fjf8OOpo5_{e~U7DdnOtYXe>iqAOE!3-3b3yw^vSbxT)S=nFw#vGa? zqVgMl9H>Bmp@vRDjvG8>n_--}bA@=v@!?<+f2#@vSThy<>Oq?bv}u@l)ASh`6$o`| zTr%Dclq=F-FdFtcrIpXgusvU0`mflt#m-D221BehJ=xqU0U@7R#F5h*jxW%^vtX9FaVD+=_6 zHhd_S(QHZZE7u6va=F84;)2Deq*V5(OicPnqkf{ZPI{N2#dB>6Md_BT0XDz>VcNkvB?X5>)w zg7Eq-Z`~JtzD?W3owW29`XBace7Rt&)(O=#MKjL252q|x#snMwpj#PH*rF^HIwq~O z3cs0-Osa&*drncH-x)G;ph6rsNU*Meq|$yqtCkjx zC|U6pfR>HxsX7Py!|XXI?dFr8SS}W8u~d1Yo???T0Mw(wc*5#064LeIllrUxO|j6| zlFd=+tSRXIrs)e0i_SG}a;cFc*Lsz2?c#?`tcOW0Bd?7E66ou`GS z-$v+EuqZhV1v30>UWh^I=Q&9X3r5Vg4>iC2ssX}Ds92&9>L+MP_);(0T-1S`-l)n} z9pkL_1r%&B1H?+~1gKANE`40sXwWO;3t)fkKpy1RrjMna$$s^UDm2Mjo>DO_#2WY$ z?u$TOzFM*lop4xC=y;i`pBwz{&}yu@n?qK;zuB9iEsuyy9z~6Lf}A+I z;?!|JXe_mYHF;O7sky!M_us;~BfBpr`Ho6tAL$*a>5$M)=FUJ7znBuvGZ0G#e!k9M z>7Z{E9;wD4C?7t+C4B>QubD6nqL~r8Q|1V@DES>lM%XhYIuO%d9Z^Aa8;<*rV>{+C z3Jq;6saC!{tP8pvdIp0<(&NMU+u>l(68AZ>vqU1=wrrbXj%ilpnf{5Hh`TuLbX}N6 zg=MqLOgud!^xD(k99H;>SR|P5I{<^a!=KyhVgv`b>!9|CHlJnW4J>&_=KYWI_Hu?h zTnzh#lW{-4+ZmdQp2ji@&e#w{I-YU(beG<)wR-0Wpcs+aaVbg35EX>tYl1}iJf@hR zdN~tY>f%bt62mNZRFB9`X-*G_7^cEAV0x8jzOWhQ*makHadrt@OWyACtaXUO@cQP? zjKHv!Z0`Zr>iiP03jS`u#WyC;$Kk=6?T4_kbhR}h%~tVM2d|D^t&X%qTJ3ow536zN zww;CShS<1+lFyPHwyu00MNh&m+n>|3v)sMTE8f36zNT4`jRCrxg=cK=KHg6EM;M-s zFVi51KR%vMFtyeKdBx(-7n+-UU#;-D&xQnF=-0=dWmTW-><9)waSrXm%ilb!7by+) zdxx(`1|j^$j}Ye==lwP(??Wv)eC-vt!@nqkhi}(+Qh@Ijzh1bPl+XKl|;( zxl~L*>@z_m$TO($5cKysF&xpnlz7&?)*@;utNN4p3o%aCV2~{H8l)Ti3)+ttttJ#dd7cJ(JnRR?Kjy_dg0gH30&-r z$=$HPU8C0OvuI_phwQ@fEF;s_6bb|uBz>_r4g&xOI}F27*KETLwFA-Cir-n*xomLf zYU{+6l7=<(lGxUws`*HeUJu{D@$kzlSO1Q};35%H%5Ug>I!z0c+F8kq~C@#byFtpG{-*gc1EqLiWmhM_!6x;Zbqj_t^P_Yf*;h-JE4>`u$vN%LG6li zphS3qI32OEY**9PjbfDLN!h~~+rf%2m!DHeeqeJt;03FVO!De8H_DSlHV^Fw19y#0 zP+m6$!ZliX3Xt!M4?F6)Ll{)*7ul@L_}$v%=n7eD9-XdL*V0sD@3-DzBj`|P;}PYc z!chH;T}`bG>I-aOg|~X~*wO7JI15mB9bBT8#xyPFU%fMKnI`b$nCsTMQpKv{6Ztif zJyk7Nx@cqCpiuy3f{*R|(!611?7ZHOoiNP)=O%W>thMue4|eQ8{m;!Ob@g&sG<#me z{E-1%HSWf>`4aS4dgGPU3s&E%6{b&EkOf77bR)*S2yIj|#_nMOJOHeT#ym*5rPRn; zIK|%s4-$$Mm>aJCVodI%$#%kNXi5D!mF8}EWf)Ax!sqkX=h|F;(NXwZT>h7{m;J#* zaDFYJnF`zNkYiQ)-p|X~QT#&wT)+FfdGuuu&5`f7LGs>@4^LKv1#_~QX!_qDPnYA- zwS1qSo#LoBvVr2JF9{j&oql}v4ru5v$MnxYE9M>}t4aGv2_H_S4M|WKBT8)W_;MJ<3+Nw}a zhW2)ZnN-eTwF0IOM=cf%+DZr*h~OrWnqKIAyBRDv@{~m0mEH5s7VQW$`WX@Cet-$z zg4gVOnO%0uXjD67z*_lDBHvMtQ8Q9&8reJ8*33Z>Q|AbfTl@3QkhCYrY**3!lL%Ks zQFb&X~cD)Ip$KY6JH1Ol_3pE3{HE&d4{j>VqE;J1BMFQvm#G8xV0$ zt!+Fss$-durO0Pbz)1fRTm)KMbf_f+RhtakHz?=Qx9yB37FqRvU+I%&1W4$oglJF# zFNHMOn;fCq>=T`Il4*#HNa|2(IjXk^93=KRR$Ilh8@wpOc}b>;Y2TjQ$nW=D(>aPI zSvk(#Y%HbAZZ`0*vzbvcW{Tq#!^OFyCwh^pHPtJxMxI^o$*9vv&8+3IMxgZ6mLRpX zr&`JZ&Q>k$`V$sCyOV)H^gpa&{RMVhBmb8njgM$#^}=-lQZMP(JXFe zhNCNPHu>fKjpWKGVI!}CBOD_>3snBD2Zi2yr?hRmzZpnn8T#2t1M?qo(s=@oT5+Zq zVtfBvL)O{UW~TxI01*FU=l)N|tFx(#i=~~p^FKx`TTL^5lMTU-e(u}gv|Udb$(3lQ zZ)Lt@%{H3r6);6)Q%FRSfYO@1w?`QF!Zi&W#21Nml%1UOe*X~JS`D4bWO}pObeGUt zbTdia)q6urpYPAboR*~MMifg|vGzrV)WzXvZLbf8kz^_mV>?iU+DO%wmU(f9M`Pk~ z1{2Y>hq$%@Ub}%ve=UbC2BQAEovzagqAI$pa^;`c-~{_=1|RFkf>`qi)=KGqQhusx zr2E5qy0Z^WmZ90T92RB0re6F#bxL9u6cG9 z?}`IqT?nEQCShO;dJRl}u4bKtg1{0i8=Gxmao@x2>ae!Lf)FCxYKkVdOt|`+LqSB* zKx*y{7Gv);SkeI2A#yX6VR0z| zX^%<}d$jhb?_pISSt^3?#*wv#jU2rN9&du#F7?9l@(lPzSAdW-1_-Ny8QQNg>I&W~ z(N8k_>l0n-7`@FnH$&zjqIvdhogT2|6qGX57Y2LEX*T^;!kkcjmRQ_e>TXt3E^(|*qwMnO6gvDoUYZmWv;du zN080*&S%{(*tiqX*8&;26+@nL8Nugw?sw&f*zErXLv5`BQ!@g<4eUG3`7k`7Q%fFZQe^4m zF@Zjkj)SJ&e5vGxW0)mQB&WWmDTGnZ!1m?Szn~luwF`RPIle(4@myXV(3RfEn0tG! z#=B&bKiR`UqeTnTt6tSp{nY~j+tyxU9)Wr(qw?oZU{mR_Y01^wq+s;G=59prPUR`_ z8CSXnfl~Hm_3~0_W5Z*W!i{>rAL|zix%mkvG5i;c{Rd%j98A%lV6^l&0#^JQ7We#i zbu1hBWSpLbuFw-*%P*nePrHcOS%wWmH~&z~HXPQ^v8*uorZ;0kyK43#O2|7IE-2UK zLfnn$QDVc~M<>_FGvrIyrV7-mYF%B%I}mN!%$jwY?U>|uF*Rb#{l8%y6%j;vd}-%m zo;V}BuS`RzwAL1*gUr-5Swq`L9?H_LdAKyVQP2>c*%!UBW2>@nU;O{A3Nq0DjDY?r z)#&(nQ2yPQb~iOr{tsFxCP7;om=Pg#7xa5D>6xulNhHky7o4fM9y-udK?@sG?O{L@Nz14k6D=Snjr{0(BsGq8awsdQP8ZEvj8Q76osT~xi<8;VtAm}rh0)47~S2S@&%9I6_&_5nqaw7I0a_5jb~$c)~ZJ>=x_1yBXIcrrIu8U z!QJ9+{Hz*jd}XiR`Bs~^&{Mvjd9gS;aVZ*GY|r_nsJ^!9+xS`(Qu9-uXCa)Rkuy$l{1p)}t83q;i@ z4Kg)i#3iWB@9bY@M+4Kzrv(nDV_ZdHIvC+m{u79j#8JFD%y(K|o@0eF$mEdlec+hI z*ofBy97|XsZIrrR=THZfFcZ%$w+~hQjfBQd4mowSMMmazhIX?iFJ=lD>7EL&+osKS zj$R9>GKq+$18)J2`>c%*Bql@+W^h@V=SKrx7)rbPNExFmIT|pHZpge^+E$uKJlveC z)U{YzQcQCi9h0!p?H3_C!#|fZ z914p!d>C(|{(+q733By`NcCADG~Se14;Po-Dy~L{t3uQ@-8LFdEj=n@WL(Qvd(wj! z)sGv=P9$b{G<=6OuERWG0+T3Yh}6Ax9Fb|Cm(q6nPYpDo#Hai$UVN%j&{;$|$+rTqzz6{@YMdJdI=B5)FqSI+ zN2`dOEZnmaTXuQPiN!a!AlJDMgGC8Z1z6ryk`FJg-GNP8B>SN3=>aYq`u)CMpPre8 zn2fDT1=8;&8LyI;ihGB*mO_)|2r<;hI13cn>j<&fhd7HAksku|hal;47a{KLCdN9u z#Mx)0)p?}iT5b%~T5~6Y&QpD&*mlWVLaiQYH-+?;(CBhhv9IpjCQY04N!{03cJgY| zplcMVz%`$Z5pY+Nem0Q(LK(qMgIY^}4g{0?%-Q(l&_@E~Uc+0$R`!Wae;6^bhwg+; zA7y8{@jTeNHBVL?c)?%L%+wXDbp~F1ljX5t0%r@WIDQ(K(I)HmC5!pACt7x%Tc4iQ z7CK{&o7nf1)@{N5p7Bi#KVBkmBmN^c3u#$H*c833>{6n%q@&xdSO#Js#Bdda7t|7e zv&(|s;hSn4^Id|9Yk|MtYpDaivHCclqu;QgGpMhIvvil4y!tm4#-w2;*a`MwVlcZa zh!zMP$P-cfogy(kS^b9k)rF21-p_e(iINkY8<$jJ?2G}Wd+nw^Az3N8o05>oDafh{ zGmurr8j_&l^y@%g=o2@61Exu8v)k<2iO)6z&_b|`_hDAY?9=;HGy24kk{$7*o#YrU z&TXUNuCA#dSEiTv$V20hI3Ubb0LVgvnj}E$ywG>n*qaECsf!ay!$h-1SLPS4R>mI_ z(-s0PXpC}uq9=XY%GPeQOkZR{Lh`b8c0?2>H>>WcCs`2drk+THW|!C}997m-B$Rf0 zH9fCvkmTd{xq4aYget~>N~5!rQaFrYCn|6p!Un_= z??TM`7eTl4nQ%LV-T$-5<)sP_VgVD+y1akAZP%!GycoaS{(*$Fiee8Envs)LsGcGG zKft>5!9UwusKtRQ5Mhb)ASU{MhK>FB|HFC^{~PQ%Le)R(S_tLT=t3m;oB$-~j-s&q z2N*Gycn4z6;r4HM4EYWOnkIHtiP}G>I~n}%r_5yz{YP|uRJoK^G=SG!A=gR(*ql~! z04N&3|CUvL&xr7vAD0P1Lrj98B?X*qC|-$=t1WoDlom8dEK-%Ah;QMuK>kdHjwpME z+YV{#)A!hi72N>q&_%MF&l9*cyka%<;gQ7E5#nP?�{d`4{j_dcZ^T z9CjOmiq3^%@G>vHhoXK372d^nD{a{gGs0sZ*~BKmWH7cOZrMR!CvR|3eCKLEZRtTX zaVIrqan|tJ%QoIb*YkOdS?O*D7v#=T2r8ekE6y}Em z@+-oAOIwa<(iuOqF;q|Qf#6Nf8MI|a%%(o<3m5aQoV*KoRfg6$O|s$piwHi#YVIX7 zz_Ehsh>wb3)2d`jICU<{=(M)9k}I4q@|CM(W@8O)aX`*lj{ULx+57j8U-VxMKmI!p zip0-|%TLKT;!ip3PnDvAlf8p8y|KNM=|2~@pThC~t%3dVh_aJp}Uex1RP&L|ICR-&?;LcmRrU&&6(3^#?ESHf!0_mKt`=?u#RyX|B_8IQUV zlurf;g&v4$OZq}wpAhF9GNeDaPiRn&F~pd+XPE-b1&Lp- zn{U5k^VF&O6_Mh}D0?rdHM26@)InXr^Bs{^^H%tWkWhZ)OO^*%;`-(7cY^(Y>$Eea zN-X96_?`aT008j++3);^`I@vhoi;gOe0MWG!DDxn^^--+L}9D8fhB`Jt-B}#wmnSFJ(`hP#3JdsRZ6K8l55RA(m7@XPP`?xb@nDncS5P9dj zGX?&_&KV&JAAK-GihlUx=Z}p(aA%Z{L~iD`gn&{>u$-}TMBkSlxolx1(to#( z!atmNJ={M&EL)&lA7^lHXDO>@KSBnM&nrD8Q`OaGf5J_Vcw1 zhm%=~2P;~{NjYx(XwOSk)$FV@pLI<4jfhtx2g?w-sp&(z-s5@9&qGMnjR?RWAa3ml z=>TY8XisD!WZwsn&=}wEa(X4ow+0#k1;9YH{zhZd1p*x+H#OGKgPQ%f>y2_)C5|4A z?@&V$tQQ~Qj`3&YnZ@rXYmz5 z_+vae281G-UmNt%j0jzXT!`bHdLw`l_?BY7VCd7iAU3yx@NoaP)L6#jelmqNrA(4{ znLjy#RyRHj3p<)BtUx=BE_`@22NvDIu>>*Wi;8qYiZ5Q$AjOy<((Phq5#7+Fs?~ z!TpQ41XgM+#ih;yM3!!9EXIW&0_lf9oa-#uzu7^B{eG&kXlry9B}iyHS9FQ!6qLH8 zP8DCMx+ck67pS0?igl+{a!iieUSV!-u5m-xta-Y~E}>dDyB~K-E#+!I+eR##A#?pb zJ&u2{t!4rRC&jDHkKgvFezJG?nOsqaeqIdQ6DI4y;MowO`%`D6P{1{Y@m? z96wo2m@O7Z`;gTMYwTL8k6F$R22d{M0@gTEFN2Yv;<}q3Zka z%w!q+PK{mGK_bPIgsjm>h)EHe^cX_c2{E$8R3uakhJ;XtGNh3u%XqAVGNmx2M~|h* zR$jb^ix*Sx`xm@temK|pUgy5Adp_s8f475UE22`UstAr8{|Jq&fL?`!(G9F%bx3a%;$Y~Y30^u zlgY@g`5G_~9+O$&BOx>@6Om=1@rByOeO4nO-mc;9lORq>9_3I@f{RchShHAA1M4vS zaJg*+EhCP?TtPXPy1zTE&Z(nuK#kTmfyKx<=T;rp4lhS}hp1?d%Y&mQ32q-{3B+of zqKi+x_=zS(=}g6`h198s@O)F>6<92pO@Aa&3;d_pj68@-;cHmpG$kF| z_?e~o@oAuhF4$pzjn!7p8^W6|s?sl1vnM5h=aD}!)r%mrDSEOVY)Qw~&s&OK6(IVs zEAXR{xBr16|HF67aDa($g^~`^RxY%uqB;(uAS?)O%YJy+7r2}5a7Ad&UG?LOMXOB!5hNfZ-AJQUkl1!+h#u| z6;dQ1n9PRUtrppm4vMnLS{Za=$4G3xY%;MK6JcUat$?tHu zjt%Z4kl*OD;48G_*pa&z4ZTq8*X+tx11b8o-N#s5EihJy(2}{634x_cL^*wm6B zmVMRN=~T-{d~_cw^|;U6WZM!-)EPFuKUZRq95I!Re#uKxc+e!>b)aU@pta*E`HWYt zL1d!)B-{Z@w3vdxuE&!kp3!E1)Eapf!egdaNzCxDnA>C`{y;`%mPB<_rZi?(6@ik+ zUnl$=O0X|9j2niNUuHy!(8uBBAMTl!OQan%AB_+B&XcdK^OZW;ZdvW;XG%7_L^d3F z5h7t(y5a?gUOro3ofR|G7Jy3c9C~f+N|HPbonCc++fL6Q<_s-AxS68{Is3-9+9M0r zg76yb$U};HavI2f`;%3-D2L)-jpgHXFQzx`I7f4Fkt^WlSGi_GwXqw zWDbx9;NKj|-2m$_TNhW)^{HfT62hy0KX3E`b1-Yf(*4}x+&JDppdVmkc{mwe5W+_I z)CyGWeWCXtpOP}iUhGG6kaRWuNLwhTQ!Tt$={6iY|5Q4(&MX^0t1~&z^<$3oB1D$i zh#pvv$%pw`>pR(H>FM$Wj5|g_mc)DQ4&~xXOO%-3DJeVCLq>_TpU%b&gT#EhsKMA3 z%iw-oLB;zqOpe?I9#k1yU*#g{jrFy;8Rrz-<1ChoT~wVhf1XPfbXY}QtfM5^7F7A{ z;JZ%tNjFg^$K7XCBUuNVnD-feH}Zd8AK1}-?_e&mY~@wZ(Hl<;0(X^A&=7mhaslDS z^8LZ0{_xrQt5#wjrY^90bCSyf=C*fZ>d5i2F)1BB{l*_Mt-i{|hw`7XN3u|&olM_l z%sqq#iz(jI|61yURrR1~b?jEw5={~sNMw)hn=4M%whhb7(`h|Z_i4zvMZuANvV~P> z_CZxQUeomN>ka87_IOFOVpy>92Ua8Vd93Wzon|w)s{(ftLj~$Q;)aGs$H{tLWhSGo z7aH?{?SiChPZi39g&#^El~U)Y$ePGhTpSrRJLeX8`apURzY^R1g4LELL4M>iUP!--P#;QGtg49fTZ3xgpULSeS_J6RtaCY5!QmV4H-k>t@^F=1G4X$xzp79 zNDg(?HYMUn)U(300~kXhm!^FflL~9YD0o%m`4#vyu5*qm;8N!?M!o|b4#~NoEy_U- zR75<{;T1}0j`IG*owL~Rj`y30NSb1vRv$-4%>9xD4YTOnOk`W3M@JcCb)uSTF2kn$ z%3bK3zp8LTHIp%9NJWw^+bZ1a(So{YQhAa)5cSI1ba**z95`>`O?K{Lld*KCx1n9IylHqcGD7POQ-oF z3l75S^9B+ZT#lwT|1>$V1ZLmIDo|S+0lPQxlWV&4Q3YL(V(C|=8ykT(QQ&A64<|fz z{QHq^CXbO;sG1x#yh-8w%Ow;(NL>y0V3(8TRD~0~s%J9vP-}H!`C_WdE-vu}Cd|HX zto%psKTioo1$E)wR=i|`ba}#6&-hwX`})7w?zOmCb9a$zvtfWCszBP|_a2Iy6=C!K z8%ISei=6~Jt=u+&YxgRkwQVzYV+8K>x7rRI01g`cFSjd3CPo*dEv{pL``j;{MvQRA zvrSuY57iAg<4Gq*3dXGR7KNtf2F3OqGb5ZaBeVst(B6PE)74VdRiCQv>24}zaY-f+Gdmj9`o{P)8Zsw<1>gv_LF4BK%3C{_o48o} zn41AWKzRT=2OAeBPzk^dlmM`UKy2(lMF?FTLT3fB0HpzX04^?00Eh=vV#7L#>US2Uo>{E z|EBS9|5sgBmj9N8m5Y__ukEq1bN-hs>>!T+rg3xsS3fv7+5d|#Cp#O@UwLqWc>YUf zE*{WdWdX5pviwzlARczszt#ouaB}{Y2Z)E8o8>QjAu8bF{tJzrg`4HCb=g5I9RJlf zmKPZO%a(2~Cf0W5E@;RQK&e5n1YlzUD!Z6_yugNq1*q!i=mvl^0Z;|XI9NCWIR5b} zuE#GS$s@)h!p6x45|!ZM;N%vQWa9*}vWas@h>Ed@iAV_Yvxso8iL$VXvvRO-azYHw z%_0ebB9}Obg9$W&AkN|I>Ee}yF)GApES{{p_bgQc4l z00K6kq_v%!xeHLz&cw}J+}zaB%p52K0I{+{`~jkLpc;UUM^Mn!&Bffr9)jydu(2_w zxv??2IaCNw9icKb4rU#T6NxYu?t3siyg7V;B+&q35m_w?A)zJV!9@ zkJW&9!v}_FBu1A}6t7p>ZveVPM17S1FqQXDjR>9oO#LUFa1OP!IM6JpumgcSi2x1`b>fTP~Kru+3oulO+8S*Azb30c6 z1d9OGe|s&&^#5#3%*D}3)X_^1f)D^i{Qxc=Rs%>)7jp-@bZ~>DT=ia12~Y)q z{$L9L{b5PKiz~el|1dEC^wKgU^`dK40P9Pmss<1YxIwfFU;!$an^~Lu7dKUNS4Vdj zQ%EQNsP>Oey!7hd0{@5Y#2oD$UDTXROwA#H6LW=us!6GV0IYwAF9^B*8Q=bB=uf?? zxr5za^!uV@7NEGft0}}bCJt^dd|mZe|4@qoP}IcL{DlIPQc#wb5MdBAv9kudSOe7^ zH5{y8@C9J~hYv_NKvLEYmOvRZh5|BK={Sh)Vr{gU%n z8Y?F^;N^bF`^S%iiwD2~iPRiyY!K9N0NA;?A^!eirI*%U+GPQ-L(I?3!2#f8=LB$a zvI00D7~$k%gVbaDqbxflYI43raR4{ZALU=7C`878|NlXK;RMkbJ0w2;@#Nu#l!2tV zK!2oJA%4vYiL2}oPA~6VJpU-q0nx=v`InoGod@E^AOIWNKhluM1mJp+8X`Sp(1YZ? zSOmiR4`{!<{qx89hh+au{om({7TFlicT;pWp`kT1+?=U7E zQLd@rm?4bYe$K!hqCR*3sG^ZPrTJw>t&sdOep8q(cGs z^?W5Gt*NX^Ncm<0K4;1}xrkfbq!k?#R`!GP^Fd;FUJx=1X;GW;Wy_Yy%2i-*^=KKC zaQKC%Cl(U0%D7W>5LesvPg#oM+*sE`;xk#-bXtrpSfqc!d@wxetu5 zCGzEdnrj#a$%(@gnjHLe^Aqg|kuMduw3-rIP1>qAla4r1&6$;-Yxd|Cz13Rc*FcX? zI2X2=3G2ZMiy4mSz5Okj>4h<4(T0Q#AI<=@)|MSCe-$h9cD>m3T_Y3|2&c{^d6QEwpkq+%}@?3pP7#%rLMRjE56+>FU zo0xSbcR$NsdC8i@E5VX>}3Pd3YDacf_eYO6y6&Xbu#mx}e*+{Fy5ipw_nRDO+- zmX9vI$zSX&+4XgGp7pMoY4|$!;eD#k3Q{l>mVcNm3xHQhX|bVjMPirPgOlg8VMcr0 z_^xk0+`3n!_wxH@jX~4N<#Yy=*l!&fuVI-r^riMa#2exgzY<8H?$%ZznO?+^Wv|Rb!iR6 z=)RM-Mnnkc>-*%>b41rP^dPUMVEfdZ%KpswzNTj{V zM~Eyg0*6H2o%zJ@%m2aqj5Ah7IK+#?L|S_E=dttSv?qROM;zI{Wr^?h8T>uWm*2(0 zogqhQ6zEg(35KcCna)l#YkorsF0N=2SaXpWh3Zk%XzHzHXSS3flyCCU5r(op|9*=?T{^f_DjLw^)b&I` z+MOK%H#g%yE5tQNdzWaD28=Kj{L#7dErij)k$xruCT{m!`)w1nr7HZ}hLxnr1qbF5 zSK*xr({PmnCR+5LG@~C2DO#4BIC?z@ZPz*PDp<_-5~zE?q^Guj^j_*8ReLU|7GIXhbY|k*YHt5riqg*Qt|rPi{o;{fc<>%G+SF7q3-y z2qTN!WHLymLHlvOXYITtgL8RbrcM1=s8<-Y{t#=yr7wM1w+2k#T@kLEGbJ*0~auUIU+e|T2X#N0~_uA+ZV#QYGpDr4BPmRU%a zFlDw^Sw~j1w{KawtkPoy4G(qlBcR~#K>jku`~~E!EdLASDWkC&EV%Ely^(=2>iW;7 zaByw5Wpkh-m-m~|VpOcnw_6o!;3r)0I zWaSZwznHzN)@F>!E{=ZZo1SDL=UB~6n$gObz%B-hjwFISXRwsNsr0ljYXqPGGUH7? zuimWl>9cs7!#%ZMMwY}!&H6Hb8aRx^sB+bn##aHpZCq((%5XNIqT{-(%%V^02Z*{i z&U=J%X^nbCqi4LoGCih)fO%$=GDrShyLrm0#~oi~c2PF`|mfKfxD%>xZ7kq@L$TT@qg z|M|GA*VnZZZ&O+gpX#RGs-F#`ntmut3crJ`Gby7v5&jd;HBVRnrPuGq;&rF8q4;ig zhZOwko}S?VZnr9+{K1#)U5PGom2XSiIc<}@FlF~mlf^VRg^Dt1Ym|)hrwIc)%rePH zVAre`Uy_*|28o7hL-w7{qvg`&!ARqRVhX|^G(#gX(!t78L9l1N=lH)v4qsRl_tbS}d`O7dNK`^AtbYbX-VC@^yZ$m->ERu^R9s=^OY+wQlbm z^UJi9fsd=#w`8PNe+~4Nu~Y?&&a@sO2x19FgXI1Wu`g5mzaW;A?SCLPQ&S;sngzH0 zT5EGxI_9*=R9HH>Ra#=WR@JqPJE^h>=`$JS9t^@0pG;;^j6ryBLu2vz%7||w0Lt;} z=KiKe!WtC9_j6e6w;ND!-~G<;Rb*Du)TXjkDM#Mk*mvY>mz?vxE4hrt>|Y8~yT{iOCxDyw?ItY{R_bQ8aJHpR$P0X#*v^}nvT^_Yu2k_o0! z#U$R$A-CtuZW3z$RC?nhE4^+2N)7aBuG0Y(tatG;fDy@yq%logPvy}(x4#V?ND%m| z!(+via#f8r2$4u2#SUucPYtc{+g~Og>WJPV(vB%y*ZFJn<)c#dt*s#f!UMBnHl%y2 zlX2sQ^uM5QDUgK&8?@tFTIy^Zk`d12wuLsel2&BIL7W%NfR{lfOHZ0+**^ldG zeakst+7@h5XOQtX=Q51fd*^l;DQ#jZ$K}n$8J(|a)f1vUh10fm`R;LV2jSy2!?+_O zq(bIV&B~@cimwYh)VU?RtN95n!)0S(Ma8072ZCF>0 z%md@XWm-!7^u@`iB#`v7$W2XJmFM7j-wgM#R%RZ!@YeI8_~x0NWxgs49i3bPR!pC& z%~Z%*_x9vbUBSAKq2}5oIi>O^Op^oZ6Cw*#8>?UF-+}w(GvhDd=Kj0ofd8B}*K5i_ z!2L4kfPnk;hNm6U+qX;z+)z{7ja!(ypWq8+zMD=StwNppIbR$jo$4+6v^x}%$>X{`)RVXe+_4rnd4xghjUnnN{qe73k=6(> zI?}g{UAenou&5Lz1>U6ZugEMA*W>BXK}gf~Ya-*u+2=U#e5UrVwc&G@cU=)tONH-= z1PH%H2q#3NT8U;}(_(wn&;a0Jgm^3DEKy-JM8|ma2Usz#wKev}Lb!1{xdE;gCfIc2 zI=j`+F8LYTw#l<)u9Wa_rc-*;2G~0GF7HAVxfL69wE}J1xpc&L&5POtd8)&is)1mS6p%= zQ;0v?UCbyater2l@o52i?|D0LJXQB246+>0IRnGjVZpfslYLl`D2`GjXnACAkGn3E z`d)*)f){-PR_C<%${`gV$FQ#Ss@`F{RE@%YE;>8@ZrI9|)C5T_$t5?A5rRmO72`$p zt(;Xu8Lj|ip23xL5~YzFHy1MLt-z)?^o}!#p{xv%Qc_glMGt&F^W#XHHU_tS{8ypx z&H{JKa=hEpP@@X2s|Wp7Lzk4uVg!2HXe|Z^P{cSQ73_ow`ipGjmg?=zp7vYg(qN-@ z2UH}4seiPKTrHHuP}Z=6J+U@(t4KA&qW59k+-AtDv-?Sest(t96R z{&$Ff`Aq%4i05ME{vYAIPgB5gi3M}{k%2uy*bT2sCU-Xp^>E^IP!PHTyjYQGgKTEH zi^{cQ{q8Xn9es^NVPj^Y99X!0(9`pn6gWH~)wELI{J`p~kwQtK(~_x@D_d6nZD=^{ zS#8viK%!@*kBvPWTGpFC_z5*X_;vq!@y1m!Q(XZ2Wb*y2}Np92?N@W zV7}uL@eT~`m(KOfQ1QH_Ajct3F)!H>!BoNJ(-DD8TNkIe1FtRxT-dK(NqeLF(-@lx zPg#=Q@_gMV=pXJ}B^FV7l(bHQFrzNZj`e(PoyWR!W8_co!;lpz7!*TYEN}d`jSwE~R!Ud9;djybg9_f7!7Pt~kkJWCI&1AKv z_y`*wXVz^No>@fx#@dHR_86NNLg$q(n1DZ zPRt$O@PD+Ce%|)9(VOY2_|WmK(MOL_EA{sEgDIalHNrPFVy=L48hiBnev_sZhpB!M z6!)=pOaUa0^U(_Td(PYVivB7 z3GK9ry^InH5W#IjOHo0?Q)T^Lo``9R(iB{4d*8|Xpuesv?figfw6&D|feQVD`ZB>H z*vb`=z1SzUF}MfRz)%`f1x9|Bll_>M+YlmyG?R;xBpT6vyuje85bOToFs}=-kKOw< zhBw^9D_Txb=-nN(RV)> zVS#nf#*xgoX>rvwb-nHxj8r+Inr|#(RO~>K@6(po%RL)FYEkAgYB!8hi+l7r_H}f5 z?26oGcpQ^m(iBsRPSKdFox6pCkL}MKut_tD9dA;H$_eGM7XQr0c$gAOBr|qmv@}8( zl7P7NS*=W>ckOg(UP%c`sPJi#N5T^uj>1={nu^w0iBL_BJx}zjGvla+Vb=Bbnp|S| z&4zDBb0uflHbs;DRP^G~k7g~CIp14h}925KbG#A9r zI4C|eVQeFL@v4+*mwfnl2`Fw~AAe|ub*pJh`O@Dqbwkx82KN08;Qv^h|G&clC&&L@ zbon>nRh=H~OrzB`e%oA6khUhL=uN+pmCyT3iA=e)?E5UNcX>VMXD5ANAJQNo0ZX_& zn!z=m9rkqdeSV>g5*nkOGQ)&aYJ|ejMZi}9(Zunt&8=CE?${A5N_(ELb$}!5l4Bw) zaTut$LM8%@&q!J=eZQ;Ief6kD9g{gy}aaV-^EDXNb!^qKXbSgT<;XxI_&-n;cZb#?Pg5SNojZwmYF90>zD}6bSh3| zB8zwLHux@r9#C5=5z*gy|D1iU1TA6>5ce4j@^d^urS`LC+ZBIm1DB<5_qg?QlTNqN z@|EA|>84QQvb?263DvYtocq#KRB?f0ia{u}R2d&Z!4*z$VOx&r(_mxoqtPYKq^>Ow zn>?)x-!Zb_W9tKNw#({1ffNoUPXe=kRvt4-Un_5~F`K%KOmTIb08`n86HEZL{mwOC zMWSJWBPEO*?$a4>fxqsNx&T)VCB^h3_nB;2GM(nPZ)TcSrBc1bm$cFS@8&#_@GeWQ zYT~{(1>~}!F5im0%fAZyEkwX8aLFNs66gSDSll2NE&AMZuW$b-yjw;4Dv=lM`k z6JYguIdyL0Yv$Mj#`FQIR5Y)IFhZknlU52=kOZ^!0>#$v`>%sV0)t5H?Vl7wnFDx> zOBxM~?Nwt&3*>rUjhB~1@^Yyu<-A99{Z6tt8*&sy&2^b5&bv2XwYI;6!G)4#k`n%4 zrPmxu=rQ4G+w0;()do9JjX^fomUAUq006=&XT)RfB@U>FlcH)fm}e0dsNHzVgalN4 zn{^xxAomfpc1%dydBih@3ZV4H{X0Pa$41GY5uBBS=kF#skbP}7pvcR$_p#?nQ5L7R!f?art zus9YLv_yPv5OjW?ba^=VEp3T0hBT%!m?g5L#7uHd*3aAfcx&r=uw!-6^4Rry!8fOY z8H!Sgj2Q@*0s}~+SwryQp4NIVHBs{j1@9FET?ECVvscs!xMTlj7Vx(@g9{SZ$9?vv_+Bhpta3U`dsW|K)B!8_G+wqp%T zLx+l_Y((KM_rl5uPwm4%cf&e$fpRKn26Ats;5*gAu8Xx46j+?MIG@6_GRws|*w~Ne z`ns?ta>E4Y2_+_f+pODTru$whZ^#K1W%XR+wyO-v_?qwP{ahOH%yOWln`!%z_A&>G zV+c`tITvPgM=m0bp`%0&Xn~!5L_ar;mgz}b1 zw?t94>$XS}K~R*?c;8;(EF}m>6T#K;q$^71XYT@nh>zUO%DlpztW2+ zA5vP)T8{R*7xf3y)rZhu98M&e@H^eNCyLE5m7#GxhbM41ynYCz!8yHkh{EBBXewf; zSn%s1H*c||8NW(#0^UpWsD{-845WcBC`2MfA3bSNBSSvtqpCF0DybtzzLyoV7skt{ zSCLXVEFqm?nxVCUzeG}yWXMCC;Isl>(7TcF;ur)mOL65cO?;a0oY>gqB1HZ0VX{Zt zgdg-P6?TMI9<&Wrgy%}i7DUuL0jct`Ca*Q*(s22)|;xC<#?3#rOCN8Ri1%g!uYIw|8#YZgCfp z^3=A8Z@y!hL3`7*eDwSHkouq*LT*C&i>fE(2dxU#Br}NiR1_OnO0`GXqRA>%tAAFo ziT2%^u05$SDGFE3CR9CnhOX44RL459zO+8at=6r^E%8EQPll*Gx-mKfRgcw#G`dVBRJSEx{t&rr4WSHbRx;L7?)zURIRj!m3HCC$pRJ?WH z7p<2hl5yi z?NfF~fffQUMxmK6pRZ>ssirZl#imuKbKZ$>7?p=t)u2?SWvi$s#V7BZ;SqLK5StnM zNikNjZcNOewldTq-68A9ULcxO45)G(w4&gzy(%hX*d_b?0ou;kx|@UBn57!GW5$Qh z(Rz8xL@XgM(d2_k-LDd?(Qkva<0ZYj?`w*j-<>*a7;Y$0<5SB}Us7Ypo6BpZZl`&q zJ=qjF4zQN7w%IFkdUCB=tyn*~r1h|l)rJlq=_)h&F*WMA>G{>y*KX=HYAfnk>q_Wp z=%KNdpax2UHX=C|7Z-f;FRk}IIa4@cGH^J%eh@EGFLEv@GSBOb=@@qTjVLb1T5H*I zft8x#8imY+)^oSJ-AY`&)=PeU^RRQubeeLNb>iK=_`1-ScKmaje+Rr#yRy~Ju>Sj( z?(X6-^#=aB=HAs0gJprSjs^dL-mj)vgWgK@#Z5a7<0e3JV2W1${0MRx-oDm_S7Li; zJ3~j#&F=k)f2*I#Dqg3rA6ey$?svU~UkDHF4_7w=XY;2@=g)#rIBR6ecqi-=>?~Z> zT;bTbcr&;c)IWHdDQ`r=9l}`(_|#e1S?fV=%p)A->~?0!r(Z71D#IAwx%To=RAc2~ zp<&gPEo&Yd2#{K1>0t8IOIj+-rI*>_%~4kf;7}3uNAnWJ#G*$zL_T$2n9hMLP20g= zOf9sT%l*rD?4&%1d?QT<>9*wi7W-2M6bBai4#CVfBsXNq!@gC(b zk=)p*XaZK&kaV)Ar7+Vv^EYc8}dKoB`$Tx7kOLk4O zc2f2`4#%q|ou<#RO79Q8+DcGMY)Ozs>h#ZdvOa!UFMFR=!*?&oD2IOZ^8ik?`9lOn)8`yoVT7&CL16b<9?_Ytna+G9hi?nLq?N8 zBa1tUjV3|kU!1m@{qdtSj)nB=}s}Q&wy}0_IH4j^KAc;0JOm4r*@!0%R#^`xJvD~g~3Bh(Ws%t z=Qr_&?x6ed{-HmP`xK~V3}?!o)_`R!gvPGMkWK;Hd&n-NLi zUWN__+f%}`%dh!$t+T4wcEhVy-?_l6(~M27bJzEdTdSo0gI@FZf(MU%e%lBKVUck@ zWNm`pkoErf_Ue-i$WHe4yYqYGzng^q^S}n=MA4se?_{XeIk z2N?)n>a%x8T@BYs@$v97h_I;)`P$Uj9-gjVR0E{G7Fk)mRT(V8S zGBt&)vbyp?M~*8${f}6Lo-ziec< zXi19OZ@#xpO3#tCLz3d?At7HSX2&|X4um8Mc(HXtuu#ycDc%ho=^(>V5Q=>Y9mYgl#$nB*<$tqPX@36Y(*?cY9o>+*_(v^G zM%s(`%ZNSkvlz=>b5Dd$!EBF&#~(fhR#ZT2Ff86@>&u@ZJqXs=UW;nmG`G@ zAL1Qq%FxUT0sGYZP?;i@nvZy`viX3iuNCP=N^C*$#`E2QUU<4g?siTDd)ODJE}K1iT_vsw(+l*`qGYEGtJlj4 zeBb55_H=wQo`3=A%r#^Ab9Vu?DthG4<4-sWo}rSNdsQD_E8EyQKM|iw-E`Su-Pi8H z2a2oZSD?XV_=`2nV?HWooj#Yxun#@;Nb2aJkvUx540IM+J5CEQ9f_bT6k4-qStvt$ zJ3UIMOKvr@xt4}(Px{rdlerh(FpF5@>*9S*{uOB75QHBh`=y9j_g&8W6OG^m70()- z%Dny?9nnt{s;x;WlV{!6V76LR4!O}Y?CKEa-o%#7N|r2IDb1RP4*5%Z;*OjfO;h+IEp4N%udxR_=y8X#y^O)8O#8M`##Ir|DFZM3>r}-g3eVnEvFr8a&bZ&! z1OWk;qi^;}7wrXkfE@90N5%eL2^doWHtZXLn%7dN_ph8+7rsIJgp&@SdMBZq6nc{g zVSB^Y48lxOSVRxUP2-y!7Uq;V2(4Ui$=`#rK5X zT^!BU`GQ5YvPQyucOd9W8xzUbir_+A9_!!B1^1Pm%$>hJe+^h5} zzJ9k?r{E~Vj*>^)H<%yBM$yQ)yr%&-hU&QexwbVr#4UOr6FYNTmI3`}Hjy|)%vfx# zec1rzwfZ&I5WDVmbROD|FwvM^pUZcsN*_JJiiVOt`hI?$MEUHlj8#mdwp@cQDX(|r zwfJ89kbcpdClskeNzf%|iR7O?Z*N7&`h5}I+B&=PjnWZAtF>uxDh}-Yy?-UU@&eT{ z0VUL2f!7ymtASd)In$j6iRPHs`Jo9*2>_N0=a%`v!F+mPFWTYtwdEJ8v;XPoK#Trz zs%V|c$=vp)^MXv>hF{VY4c%%P9wA3q0rjH&%#7^l!Vz4h*M^EYE^Gina=(wQC7H6{9NM)*t^>?vghQJNEc^U-=(?6hSOBE;>T#LqPK>=^9jFtka`Wz zyKzJ&{EBXs8^ckam{b-lX<(=lF|UHD_3l<@eIzRCx@dFbYOC!m@;s(^GxFq$JF>Sw zLyFz^*P?EH%D_PK5>7~_w{B*(BR>;tX4;KQA-a?VEa@j5_H3))PL|n`6xJW|VRZ?Q zxHfWgX$oRMU)=I-7gL*W9=x6&zSH%?jeSpFL$l%3goUvjkBB>WREp7iO*7M*rjogG z02|0w!r>GWJo$9P&#_?$iyloXGO$5Hq2U9wqTk1kYWg9%m~j7MO58HL>__tPR}$H@ zR7?E~=c>`2jO6{Hp|o^M!NYFGw1*7rc^cR6a;GIJAt@htQqyp0;$|7+IEO8xOm)@c zl5H#v;u#p4#t47n5yoeFg*r_(_SIyUB`%@%m8C_@jwbIp^H5}_xo1lT9v-xQNJ?sb zPXuQ!76Z~Zjry(M;@!J3;+mDz#P3k*T*8@DSW8`e^*f@0rlF^BZj-&Bgri0699qDgh=*S<3@j^&cifojN^+JRkxkf9SPE5hB(+j z2xU=8waSL}yFT_lMiM!Y=>P%-T_b7};jsOOx^G_m!)L?4kU>?%5XD%aH=!x?77*gG@||3xIZ7+L-@7B@(8sOgDoHF8PA%+S zD~&}3f{w%k_=^_ZSUAR)=#gx@I7~oGrz3Q79CrmRDTF#?8uin+XzfEmq1*At` zHhx8{fT75hC|Vdyjonobw+uzFx~h`s(aSgQ4 z=Np_axmFe0k$aHJ(5&CP=Kti6?aK=f-8xsOZ5l`;XjRtGUh7+KR5oEQ;;ky|aVDV~ zNU)M&u;Rew&LoChk{(qt3gG zjnib?822`B#bWc1xR>ot)iQ&h=ni3sphr=a5iNp;U!5Am?hfTb}d>+59bmM^p01p_hO%fb4*-X1o_qoRHcUH7tMWN zo90|2{OEbFej~&hT;nhCK82YZzk2M$c&McdTK{DXIwl%;JVhw)WLvv!!aopC?u~nm zXAz1ncu&$Fq`9+tN{EIs(KB;Qw_XL#I(qKz2In2#owFauRpU;3ung9w-o4&!u+oci zHF1aEMe+%n5%y~n#CojEja;pPJ*BE2t4&j#abB#9y+6-A%rh0{T7ysQ?hls=(Uv2z zpO?h6rg+%Dumo{VK+g2d2_oH8IXgH`d1Rn%7$y=UX-_Hz1|bcka0<?>Um_SvR*LJn27srhSw@Rf=R>?lYLS)3~S;(2W*qgzseH1;^kC+v;Zbclw zU7s_ckuUi$F^ub>cdXwijH-4iOC6%x*WwWk-l1(MEdN@yvti91bWA&G`{6Wj6>@uE zmI-snv)Ff|B(k%An$&B&GH^dGz0exgqn$CsWz`k7-ayek5t?Lm`)-|yBM|N9zLzil z_VwyBG52`PT6&NnTy4){(CQBoe>V3T&Z*p#-KZLB`$Fcfe1t88>Wp;xv~>!gNBULXu*7B4QW!2@n^0ih8g*COSOqBoJ7<*vbz$pTz@(Z ze`)6YxW-75JFOWty1RkOHZ1Xwtm9V`en2e1C4}uk=2G zEXWR)d<0x@R>EqGI7hi==I{sm0&FN2jkBcLDsVCsPqTNcKv$xN1g>$;r-pMEUuqi9 zI%h9Fn3E-+K%R(KCEvFL+@i_NS0}Zm$zRr z)7aM=DoMaE>YnSsZx|Ad`&3&LW{gkaov$dJqmAsODYT95w6JuO^XEGha1Fl^Xk;bX z;2EkVzSRg47UZ7%b~(1KN0#7lPt-o@op12W5m%SFzGJspn&A73H%+n}<@K$O9^dCt z8D*K-Mn{mOJb62e|pxWvFq@v~zPA0L=o@HtcrfM^72j8X{K@ zHkTv8=2+uwV2bTTG09;-kvAv7Sr%%Nr3&A^Y@Z+;*`)rX?I!T?*W-^>%a6UPh$rx1 z3@r;6w2Lu8&oaF!FmedP3r&1Mt{d55jK6*AJ&*~H@%ntp2XFb^4_*r9NXvS7 z$_RL{%wniI8hV88BE^nv-1XaBA3oK^`yV)0Uw9wu7eieThdS!%58et3#rR?WkeY}Y z6*))>NJr1{-`Sp|uU0P`;Lw;>Ka@>}v8l%`FQW^OqX5!AdLFXO;a3wfLPs$grrWka z-F922zhYLF+O_e=G_dc_HtSz8d&V+et;VoyI4tUbzqzlQ^P!2UKl*H^56nB39)3)f zGHE6&J{5eI)}luG0L_BFrN7JnGm7#i_|Ef3S=0KXKW?CqgG5`!x9N3jy9uaryyBY9 zz;GT?nJmWF)+tx~b6XqiuQ!bY+$L8)pcmn_V(=^(mm`FNntH8Jf4rg>p>qlWvk>5h z$WU81bM2RQ1n|uD(3gj`(W(G@y$=Qj2<7})xN0v#*^zeGu*wnojX4RCWk+3CB5&*P z&hhL6JOh@W&u%Xt^Ur(lL6VQ}NWRMHEIJSuc8DP@E~io)PqQBkKQ@?#cg68%)y%BI?NVnsdD{{c&-ttRABrl9m*m}`G!<_5J8jM6LkU!Rs*ZiE|T+8>R@rYm2RiVE5TPxTc<5>Zi0j7Wnh`t$)7&8a5f50N}Z z^0ldN!SGQ;loq+E(BQkMJ({AbR6(#$)E-q)aVjNfCt~kyQCq457`vY`e2;#BswgVe z3#14_2W9oUVNa{bXQrZq7o#HZrWNI*Q>{QM;KfL53TjpPcd1Sw6R>E1Jw6UOwYEG1 zNCbQUwgZ=g8NqI#bV8~RFp{_Z>=8T^+x4kNeGiP=NvSz~5476|Aew$Z;5HvT#<#YOQjZpWt%_VLqiV}TI*`5Cw0f)M)HBNu?%A|Q=C}eY>|;#0d5RmVBGcqHAS>&j8KD`!drAk z6u=I+bt)rh;7S75tW?MY&ow6X5{~`ZV95X)I+BZ}S zu?cokGL89^ODYM6wBJ3F%=qXJ19}0rSMH?0gvSo{NzhtCl~|i zJKRzqy``E`$oT1oHztJf)Lk}|(L$$Bwby-C{|mTubFIHqu{8cYBZ~I6Yoa$J9;2)O z8#aKe_K?nywych<-<#>T{rdD^IlvBn9MuJ+in&|WXnD^1p1B5r{?;_M(PE%x>11-xbsq)D*ZZ z(;j{L%9?N=W84yC&fP>Zz?#&SGoCQQ`p%qX7(i+V7=|J(6p|B4>EzcYOIi6@MIfh? zLYZ=|?NjY$xi=t2Q%iZ0YM`UfXyCQ$g->(sTJ2`B*EjW%Dz-4Rs@F@}H$~eh3@P4& z5kwMg5wUKpm%_>TQJ>JjD26c@Y5Z#v->%++UEi)c@9CvDYIkn8RyAKx z4I`y&=wHJP?~pu1Z>gmO*7$u@5Zs=U(!YzBBdaDc_2Z{vm3?yj&ZGL+jaO4MCxo;C%Ja9rl<sKL>>Re^h%L+Ux*< zu92CNbfCk+IDxgkwvkc6sl%1Y-srOLDV9$y<*D&b&BabM$Clx;QkuNjh0vPkubzZ7$%WIYKhOvBko)y07nN^xHJmBAqu6f)nvCLHv+Tskf!qsH- zD6C0VWBr7xl|T!1Ib6ehLpn$=(BoW~eV|>M@J6fIcKOr% zd@Ilu=vh@_bcC)`DVj3KG_D)4cXqdR%&7Fe5e|~)Zlv2a;~(K$<16zBzW#hi+*C;Y zrKnZ%xl?j>zT|>P z5z#ykPI_%QD?9l)_!{Q=>mA(DE!Fu?S0~-A?}bOTcdDK;E+5;PJHHvE@-H3AFguOQ zX8+385$Y8X@c!bf`>5_2;F5h$UZ0b|zi?QYb1TI14c|P*8wB!Tf%eqRLnM9=Ph?74l#Him{(Y>XZ zW>pjQxg4c5;7KOOgPshX@W_euF#C;=d`dR1D^rSwmgt(8P)i(YrJBNJwa#9pyP@!n zqf^bL$j9EceKVVvsY;~O_Uv_13g^OW^9O`W3-8&x%NaV3;Uzk*@nXlNIXVuZVLjWM zB;4tHG$pHq9QL{|Mf7x~KGdBSni@1|U9_dd`AKH5F{@u}l45d*>*Qqu?|xat>uB7l zBY6R-a?MUO6wt2LVXI=bt2M+P6;(%Q?16;he-+QH^wS~ zp3OzpN5v0;GZsumpzqdaK}ZT^RYsN-2GPR2H|9J^eO8h9$cZun%hv72f+i1l3&7Ba zErQ}oMRn<+jDJNPf@IA94W1x`kp(>(&a~Sq9*I?$SNc_{F|IT;MGvAAG$Hzj;Jh`z zc+?L;J;s=Xi10yAxn#R2cR?$;1iMHH-QKwfyXdXK;_*%lnO!j=)g&E<6$!`~@i! zy0{0pX6c0d02=@+6qLB;djg3&ey=*=je>zaNKPbv(1Hj#!Ad<{-85^aCs;R_eo(Q& z`D^|sq+SFMIPI^Vpr6p6;8)-sgSmQMueqNPd*QXi7{UXC33^c0yiZ8H@cCb5!z%^T z^kAL9dXbR8hjp8-shl8kBa*^n1iwTP1dI@bZb2uZlVJEYINu)9>emM_murI6I6qO2 zpglv|y0g~Mt6$k6EOl%CKib{`wvMJ-w2YZKX2zKDm?>tqV`j!~TYp&&wCeS&Mb<$&?bWJtq)Lfp^b-w(Msh{n)$2ftfBilZ|+lVao`4Q%_GHJg_ zJaL|t6YsI&E5I2^h>`s8%#XWXh}n}9p{~DiM%|YC1JNkL(&->{+gafUw`1nHCcmFH zT}0eieytbyK>fHT@BOrgsm_I?39oOfnOjG}r#I(y53yq2H%j|YKF|bSdm266w5$Si z6tuDpYX#QTj9pDa8|W}7I5U3192N%o6*8%2L(s@Xtir@IJq^VYQYOJ>Ny+c4CuPsU zY#cTrR{Kd{OH+iu3WROcs*J5O^!hQ#97`w>FE&Q2*KFF^M>c&VEmJBZqZvPHC0rw8 zPZp@COXW&ZkLBa<*)BBteczYx?q$-8c2i>7ZGPXz>)vSx1_7cbmwt0Mzj^fU4$H&Y z;TysM@zHQGOzbdL+P$7{+0@0{07J((gpf6>&ullczDqZR#7o0Np2#&ahxu+ar z|DF0_TK%*0$|-Ev2(#7xgu*Iz*s^nW%O)N9krnz}kp@nh?;*3C5aVJwnPfJbrAX7z0Ri~}Awe;cmZ-g|)1hd7uacgTp{AU%nx4^7P2Dpx^2meshzA>oeKL~L&s$*X z`bi#f&v}}uM*L&lQo+R`d7iDO6a`wtfGF2qX!7-R?0UC+6M)y^Mi!HYC>vOah2oy@ zgky!uLNt=o^eR}z%L)7-me;z;iLY~Xx8Pg~*Zp1q>&!^yI9N_s+noAg7;$y+9+gh( zn}#XyO3{SEno<_lqj4XY7p5#|q@z^A_H(~D&8L<0D??Z%me;nRBZuRg;6XnYs|pC%}VPZ8Cpgy&P@z|H>Y z4ifrDi}R^``gcEZLmQyA2lc-#I5eMfB74dI7@mWbok`>0IRpDABlDmBlm9Z>FiCx? zjDW^Mw&vEK{-FQzqWnv?^Y7w?|EA>;krMr9g8z)d`maO$uVFqJn}1F2f9K{=*JjgZ zW#!Q2;$r<2<#6cgGJOuFVkaRbDrac_pMIWy8kIeqKF{($CaX`tN7=>R-rCgWpXo9) zDL9&#I)3VWsQ;VJhlWYX)ExL}aq^%R`gEn4(tIk4MAbjlM4zYiKd1WNP3eF31F-%- zl;>G&s{E+49U%Dx{o&*IUHt8n+eIZ8T}EfD77I7H?Uf3le~N^@`UHrV@fh4@zx_48 zk$G76Nb&DcWag*B$!ZCf(HJFJw|6AMgIh{#EWn$rB)_CTZ=u{-e`5K*#`>O}D3gzL z9-7yN{cXF(BtA2T^@c=HfNj2p#dj}SAmB?;@9xOsf!J_GHkv!;dwf3@n#tg#0I!Bl zK8NJ00&ErPZsoO`ZxZ~>+J^^~pK8mjH_%9;$sIlNEMF~>s-SYCLOP{>XJ@QZbj6-u zq)8Q#J8rnhDBRT5Lh#dmYJ9+Iv+baJ8(Nd?bPGd(-2L{eRSw?ei66jlO5%I_@aBE=QXKkRdbyn92joB zAW0j8uMOJpIny+?==3~<{`RPAHI-dnZoFB)^)NyEouZCPvboFEA7Vj zF^?j1gfD@b!r^dT#@t%>VY5UO3Qm-qV=7%w`9X0;QjNoaBuTB#0T-EymgPI zPRj8P1wmKv10+$!KZlH^Re9f(YA9FTImhr17wPqXi2zV&@Q&OZTz4Ad{;$g#Q^8Rh!3BCgyWtt$4=ME&7?9 zB$=2`onCIiEBQ1gQl0$um4?Qt4K_d@z}6JcWw}yZ*b(0RXL%v081`-L)~?x_+_EEr z@fBCX$%195A-+0a&KZMW>S7k4h0qe>I@5Lg<>?rfU*Tfzqd%uvM(&1MhhNexk`z7@ zF4M9DyKz^_FJ?VhDf;l49laK&+Zy|&{;b%)t7?%zE^nxd<8!V(m`C8|NjhBw$2BR* zcbD-)g!DbGU&IO0!;uuxf9%Ekq2OldUCia?J+Z8PGzB7 zjA7$L%Lo_W)WeRB-%6NlS5Q-u>fr#hvBKzCf!34NY&f-HrPF~Me-=7lBOpFK1GXySbz*2tZ^dHCN9L$whGX1{ zYFrD?ujAE+ar4N)E2w2PYkQ!|e4uY$RMh3xg^D_qTd4=jqdg?4^~gQ`zvCdWqFv!XlMe4RE}5xs~8< zhE3xsdS~-<8{`tM%j4&Gkd}9C**EMV8|=ZM z&eM*Vt-9SQHyhPzimF;*Jv3XoC)Zi5-Vr-Z&IJY{q?Ax@t?=k(2OZw|tE$^{Iy@C+ zxje*YO~E0DZ8ybXuG9IT8Rp;2T>97#zp!RaOPQnRiVS`rOp#{1Hwmy;i>-7RG{Z7Dnpc5ZE@tjo9o1$^AqrJ}a&neJ%JZK3 zD#bMwyj#mIgWuqeubuTlygj`sC*sC~d((aamuYiLpD&GsaHebcN4-pv5MddC!D>bTAV!gBal(vk&=w0ayh^dfFf)$tCJ zZ(7tllyB8le-OTQII9KLIHXs2VTo@&W`y>%o?n-pEY4e+pJ=gljb`Oc@2TnS-0b(e zTL$_tSe&HAJhLwz4_N!)NYi<*oQHI4JlI$J`^)&jG>p?mYX`PG8QpkG1_!!5)gxTr z9XVnGRF7^TPHoy`=#Uk2dh0;ljKkdm3n{dBN0);~J4J=q0M&)bOYRCnm((0K9n9FT zIVI@*>L=BydSyM7EgQ>sINgkckK%ibw^h0}nwl4Fl#{3BKL{*~-kVYFF?ajVIH6Q>yl`+ZB=*v4;4)_mgu6PMb*IXfj!h z$M!y%?_NB$#0@pw!JMAbre^!~LA)0$Dqn&HRlQv~(LQTH_Tac_+%0n}=_$?EI-)7h zM5E1Z83m3@?CD^hpPWl`9{x1f3EAZIsf>Bh);VNktSV>TbhQU1eH0HYJGJf?eANL# zQKC52GS8FhS11x0CQV~YG27Nxi;Wa@1UkL2 z?z49oZ0qtG2gx~-R8?^{)1q~G# zu%GfGdxYb~RHUOZk2O^VMyIL{K`u7a5;Tmb2Br?N#G%dmT_&KL8HU$wV6~p!E;p1r zswuk^b+=Lz)u8)@D71zpC^(?lpha@8W1nUVtYaS%RS!Gexibz=daegXknTc3OKc^k zmv8(oVa}r+A$6xM_e`u_`kvcKc3?VOHuhDvNh-Je7j{BK*H#h-{GIr*3OV1eWex2D zK^PCZTQ3HnSW|LpbG?uX&2>m_$WH@=QbmjP0tJb6l_<9$Y>V}+@W7h7IrX$MKj7eB zX=9!>AHWdUtRum%f#R{^ zd$6s6iF3`Rd&LYiTg&>@_eW?Vri!!9Qz3OQc_fvAi{GzaCda7_U8@|Se3cT6ud|ko z;rcSWs-mt5FVjY@ka}~o70f+{TbdgqZ$$TSSMZ0FApEQ`!sr zQZXOsOG*h;wrF|MZQM9Rsr8>#dD&tGvG|EKY0-8gq!#z7_p+I`=lhWd4g>_>986PR z3(J<>Zs&+u<*UfB>Mjs51bOCH?QdOCAR#AM9J`r(G^A2N3Czs`R?E62Z{A^Tc+Mc5 z8ci3pC2T}D#Q6{^=p?a@;X`5eco!X7FW}Q(ctPadnH-kdqvY~)@(!#&z|LR^;aL5# zqhX>@zu z=ih~~P{3mpplb1rbEM>6tf7|C3}X9)uV^7GT9snoD#5Im6waK~@*BP-!S%%LJV|E3 zNB>?qGcfD;t;Vbk`J8Ql67{WWj_SK>tWY%ZW9 z{-M_B>C5k(4k%NfZ&2Fca{9TL)(-zz#`d}G^08y++Tlqk%kHiE9+x%k)}I8~f6*a%K;e{LNz6%U3yoHO(H+X|{nXuLs?(0?9q%tu6NIi?_tw`|vn zD&WYAF8&n5Yt`g1X~`H+O}*nmpezCHCWi4H?(amqyguQpYl3_K+16q<#Ftq|R=O@= z!yKlI4d*e}XEZuZrLI z*^#K2o(XL{{W&sh3wa0+EcbLnjVf>5HuPC$tBy{hJ&1Fkc!pl+KW{Q0+L%X_Itvh$ zm_`V#CK@gYnSRtsP1giM-t;+mR=WvoJ*TSN;9fEw#bDhn^U1%YZ8c<|m4jAZ)+e{p>I_!gtz}SvB34 zsuNXnXV^Y8h}~Pf!M=4VLEfxlJ{-R`kiY(tijhg@ zxk-5wUX|LQ9q(jbK%B>?-)QA9ZN0!Nax-DO&kIGZP=s9E6rS}ZXNK|=xX;wJ1HrXb zlAv4xr4hi@AA&agRC8dcbK7>uaer4Jw9WD@^5>Du%yb08w0)!CS8ky?wa_;8(9Ci~ zbG)2@yXUrIfoQJ)EQu?#5F^BWINttkx^gAoqqHRG?6G7A`Ob@{ftRHMJ#y-^4B<8l zdrDWa9R9LpeHz8r%nV>*MV5r|H!cI7u;r@(!Plfy!Cm=M{B-xZ1fh;@rYuvax!Ga@ zaP%T4hX_J{&F|<5xqA)|XOj&=>LGo!gjL6Q(!bz+MY&f+*)14>F?;Xuw6Mb0fCdE> zrN=SOG71aWgAVjHJeQD$wwW9@_I53Xn74Nri?ENE>3a z8-87heTwAi@#<#udw%kKpS>~kiT)}`8g{8zca4gqyjcJ^(3^-y0g_D}Y>qh$2#RK3 zLnRB#BrhKuUE!z(MShHSjj4^HZ7DOE@*yckGBZgiRw{*iNtcx*h?I>DT2w&Ve5`mf z1Q@Z0Udt(iO(`jd<=%fW-S)sUW=<4OMGn;6HXUQGDh7W9&ph)K;GE+L6({2uz2@6o z*3A544g{1!rx{4ksDoFMcG7j9Lt)%LSwCmi12FE`dZZez&aIM^M?R(!RHr#_`MwLX zP0rV0r_75oWQ!Y4ZXnx&P2-Es=*a}*P_R2AZrD!sUD&dfMhS|#G|~RK52FZIWVR}5 z6HXs50wx`@0+%Ekw9-}QDwE7Y04dT9I_ai!^vT6S>6CNyAhUP?LXjrPq&M(TicLLT zrZA0s(i&(c#ipE&J13h`ET66gES6Fxmumtj7p0L+ngFS!*c8)gfwVrKOEU=zgfF7`Nu{682Mm>Alf{bzw9O$V zACdt>CD}C6h2{{Gs3g+C=5AApa{xX?C**Q=Ku@W8<#cPHr{uhDIz5oJ=!8m69oR0J zNGjJ30DiJALkx1|0GhehLI>$Ydbw}FghB_&L~1z+AbQ~miCibZVQxSggIul=pg8xt zC?bhU7%u~`GZ!p{K`R#yPy^D>r53SB6-X6G7f6y9=H;S+0apvTzfEcaed7#^Dt-5n`*LT&YNs< zc+Q(*(g7GNb(04mmb~c(eEdA*2fi~-ZWTUN04}6&VgYtCHz0tW^i3$BM&>3RP$PX4 z31E`BNdPcO-vk1tWo|M7)6zH500kK*mGmCTn!#N621idPK@+Z)R-5_glRiD(5R2OYdV~RV>3Nl5Mfg85~8G#giCD01P zO$)bJ;8_EUW-h2hzdjNckUr6S(Dy&BGIvk+CyYNJnYM+S!Y&g`u!h?ak0kW7`u{^^ z*;X>i5Ze}U$}oYl*EKj~P`Yb)Ww2zZWH>?9^tu|XttZYPfNcX?R0d^ohPINK{Ln+fKBWqSP$+&U)jEv!qtPJV%=t;dN z$BYbFUU*}JQeO#WNb#b^ghFHjLlDuxKpX{;T*E~T^gXqiJ63{DC*MHCn!W~4n>jy_ zpQdttKtF+Gwrag69n))k28)<9ghtWE) z1$Ah+*8MeE>8sDeUmL2jHf>9++30iR9mfK#J%(zxIU|fu(q}xa%B_#Z&~sik{n4y8 zZUcS7TjJFoNGa(W)n+Tb_1OosemY3|(thiJ5gt&}dn@k0_^e?M(wKKH>!Dp%KOMgj zJkX-|3vIU@nA^mL@%R(Hpf{!8)0BRzBO2lIt9(Z?eye-FCEAPAMWDGFb`6U@q#dL$c&!^j5JgK7uaDj~ z$P;u$D(ofhAWFE1>q1O8in9que2~Bv4y|+7aMTQaE^z3MifRQ65UaxBPOy#;7b(O9 z&~v|+qWuJWFNK%%^HaerB-Z~kJ~vF940STe?)6k~8+&&vabm@mQ3SKKnbkuYMVW~I zXJ{@N|Ie?4zJ#fS!?-z#vd?wACVRl4+{%L8vTGuB_`*|1QOBi$uF3O!mPiWc`|?#1 zrpJetBAYUn5=8mAmhHlK@&6J;n?xAY)df3EGFRh{^`TNv@8yo=*TQ05 zLz0znyR4E~WgU_I$u!^b12gZP4u1>&9k&g0$^VFaW!J~qde1&q(x<*HV-J6oxUJuM z4>gt(gt48o-4LEOr9f`REeJ*&6xZu85Gy1IN$mfi_zrW+f9ZC~d8xieIpt>4m3i@f zt9jC;+f>G_8*bCzZv%AVjnoOR*LRnK<~7PO{Y3VPa5>#CXr8g>y~MHh6m0{#0j|j& zDXza@H$Hsj0_O|pfAmJ@10zDw-}k2P+yin9XiKMb$9%(6i!Z0rkm>fYM|a3k~YIHHC{FB8ojo5k2|jle#*lc)lJOJ zgE7vL6i^{{a zOB<>zzkh_$8otv{$otw&(q@Ci8UWOmjPb-8<{gf)Lk#i=^ebKTL~%`nAX-JANu2)j>n z2isfX`r zHbNgnJL-|*rKMLEv?QN-#rwi`N_mL^lYZ9KM1nKq=KBpReQwh;8ZH7N6A=>vdc zI`$eTsDs4n!r}{pG?rogQ2z-3*ogc1=vP2E`5+#t^{PlyHwW+;#)7cv@p(BLaI_$P|>0~Y?fzz9TtAM-X? zn*ntzEFxG?9|<$+zCT#5;4#c|pg=C?F_dSZ^$dhFj3x<&GlZ@X_D`@mA-rS6brQ_N zFWB~hCNpppU@(%9ghJrQUv`BE!l775@I=9Q!y(anq5lPEk@mvV_ae%E$H(d|f&WVK zMKSBUY-51aHvJ=1CYWGQPVc|3INk`|VERGx+jN(dTu}0XegRCqN86B(-yT1SP=Wur z(AXpXgM(fK`T0Nm!+`ec_jPO&UUIl2bi!?ZsR>%{t=PuAWOaw@gw^{p9dy*&u#I~O z;DUb#&jy$1HN2#72j@d3f`|*0=p~(jM-B|@1FI$e3*kEh?rp&I8-fVDLx{~CmG8?r z%tL@zAOE(kJ@#)X*FZu8-rvy8fxLZ$+pe`x>(I7PE&dsOX7(7Z|8Sfz%K=t>RJCY2 z5b3>(1`rx3)!^z%8@1vAcTBbKP)j|%&)6Ct;D7WD-ViGN{`ZYy{JlgZwJ@-M!N9%z z|IeoX?EuaYDt!W)m}>8#mb!aau{B=6t@I5(8{a;7;oc<#lRr2iKMHb!%am^s6IZb+ z>{7`jDG`tg(eXquh{^E}o%xW^VUPz7jFs{rgvEzt^Op+qAwq;Os@nnLWGuJO*2Tobx@Wo>v)$~ zRIs-zd+U@?kGDO@qM3KIh`lD1Oum^mSQ7Ekv^02Xm#WTA94_q#$8JEEe3c82uCp>^ zxi59EpyZ+LlzA_7QXix|v7s0obkIJMW~M*y#kGl{0V&aBH527e1z^1NpBXiFuv^S& zLzFy(^#w}>ZB@#9p}VA*EeqNVnYUh@oRH1kj%ym`7dG(npLJZ;UIs77pZ~}RU;ah2 zPE`HZMexLTv8ug)V`nyNNWx1_Z)|chhKP%q*Xw$}6d9txpy2tp!d>iX5ZZL+#0CC} zr~CiiILWFZ9dOx8JWyy^l)|aDy_f$E<pz5 zxu>vs^t{h_x=XOsD7=B;8?e{?wOs>)t12WTp)RuIOeViCtxs_jF&6%|P=I!Z>F%Fg z`Vd2sg8Y-!QHC#yqW6}v8q!m>#{!q{iRNKU8xm@8hsF3N%@Q+ds#aB1m3LI-~2iZjzy$n zb+5O9gux;oa)vy!yIg)!9a;Zk)&D&{&=)B4*9yW$B*@Fk+C0DRNs_;!fGZ&^@ft zgNze#GFc+}a1(I|G6p2#*Fz1gf4!j<#j3?`8trP54ev5=lT-cSU9~F?J_v-f-w5`S z-&(sbx_X{J7p!Z#{k{c-qSw@7x)^i^k-T>CagcrWn$OhzX8^PUvlPRF&)#?y{_eMs zZ+=R9OCJSlrZ9utvJk&4V+&NsLi0Y2-c~kmq*cuR`4dMy8UZEeH*F=6wsAXGCZnDV z_Q}a%?6aVMW3t(It%)P^w|%j@W3}eGnBPGSTkg|i7iR6el;v5f>cBjXKW!PeM6_&` z92KL%aU2bo+I8#}q?g8D4VywN$@2+k)y}KfSJCj#!t0wS;p)B(ihf&Vu<5E)L@wA0 zV6)_Y&Js%0qG##z9fo`%#Q5tbTf6noPVN?rbryA7B9!hLHpcwyI&J%38HTe`yPtg+ zG%pqly*FJLJ%6J4Y)srfq8ypPoC@0Ks|_UhzKp@4pUfX%LNH2t$t*qpX>>0w4ki8Xxp~vI!ZTd_fU=uk3m%52oy;zJ zsXR=7=}kyG?9UsaWNTj=|DFp`X_n}jILt)OYWC7rac_S&HlYX0AU9azY2pD;WU1Xm zt!l>T8{4bMTkj*9DTW#=hW%FvdBLy@aoMCnNF{$KamwS!gdQp_P{d({*6f|gX`yU9 z%q2vE>x}+2l)6I6`V&QAIrMIJ8Kmk&bVjxy> zbIodr`p+iU`drq7|H9U+Y_N|GRx`5LTz$qm$1i* zTiIoIa(BV`Ud;X1H{RCOXKV|-nazM0?tCw^yL3;yKxTn~@pLH;d7fU*BKIXY@KEyY zdA7KA3fIl2GlA>W#?e0dxQ=>6c#zCs<9FAvv3P+dl(GQcVu7B(J6Hfvtq(A6;JM<= z6V*Gh8gmTR%`*OH2G?5#t1D!<^K9OF6=$C7=NW0}$R+7zbuVM0GED&qX3UVVTEzja zy9b;$<7zMMB%x=NvcB_>FsaO)^1B{q?4H)FdCQCJs@YNFx5FFQ+?sZ*5HM9 z(Y9_L8RD3+z)13$XTp(=ld0?AS(@Q53{xg(!~&2U)NiJajqQkhZx>;p#Zh`Z9(UA< z@c3{$4(;5|p4aXoj?^|=KJzUk@(Z#(VjFJ-zH24k*zCLO5`d;=Xn4$>u z+!Z^a$kKZ~zEGD76Tgx*3UY#aZTYc^7b1R~ic&A`{}V1`U-SLtE=k<^V2My_=7Sti z=4^->;h-_doc#Ia6?wSi&Rb2Tm$$Pq120oNk7o{SHRn-vBCv~mwmR-u#1XlEsskOt zW#$K(@rN_Q+5t@VOXe^6^i-D(T7K z@wQMeuMo8OD)Ok!+x^j-kQO%Ods>W56byI*E4~!%7s~!x3-%Xnbi5=aA4|R|{0H5b zmA)u77Misf*T+0u;T47{m?6|OBqo~>Wl}D>^bZz{84#IUdKk~)0wbJcLKXh?p^3p* zal4sjmrfyn0le2#;veUE zSfGX$%ly6ihhVLN0_#*;`}#=P;bs!r#>Lm5tRT~CKLaA;*?WfFD(}cYwvN50o*@0h zBg^v#`p@8QpTVj3&8kE~Gm&~LDXY7!exlvYD;tjV@>m$26k%fiPW$-ZkZl@$n*u?1 zzf|U66Z`cr^Yt*>^%g}Q%75HV?My1M(nXjtXD36Z3Yqn5W{t-R{1G;s9=tgQ^Bo8Q z^}AqgEOvgHWo6Xxk79Wj3N*R1S>X)`=8JM*SSrKoNN61bdtf8cOCa{ZPp#{YE2+Oi zSz#~eeDl&ZF&ctztMX)Og~Wy`q)r^wd?$-TB&d@0@tHwLX=9g*S(BC`k@FFb6hg~* ze(a1{CzYgQ3!))6ZX$9+vCu7loSBZEtYJfNnEI0j=yYADBO z{_i7u(4){Sj+{M;dZ4$)pkV&B(JG!(a;tp6ow7|bUei8g0aGPqnFP@mpO35M;o1BG z=SOgRsZI8ZHtrg4a#9{#IHLOvhz;h&)0Vd>4t8<-@lnC*TszBB&*ee-*HY=vjy!lD zg#5V>8-AiQ@R|4?raSUEiS28PSt&jUvQBSG@IY7R1X%D6;;cd~p>n9gUnH2|gD1r& zKM*7UAnRNz+J4Qxj~{8`Z`frO;`y#vF<9_O<#js~mPHoVL`WC%?mkj2LdtLC@1%zI zRIdSME%Bwk8Q{VT7YI=RWZ^HVa6<%F?GR?6U*HJbVWkm0I!TFsDhBx{#Tt_9;R6aL+Q1P=DBQ7f0XeEDFTpW zk5SB7HLrIEgVcj%%a*w41D>e@6hwA;}(;qcm@Zv4M_m+>hG$dap@x6dpJx!BR}TxnTo^VvaU$Egou|G4SY> z$A2@yJ8pK{HdCQbe_NgeE&Sz22-@OFjUnm#;^L$oWIxhW<|)*b|4GTJ5md0JFno<* zy>R9B37wKC4t_jBcOgm{AxZ_IK?MGkE82;G2g(%6hy{(gI7=}&CoV&*4Ob; zzSY{7xV8g}Dx7ug^1Lo5aNOUjkzyZ5b7Zr>I;5{)k0^YGz97c7_@Gx1Uxgff@%@xz z`))-V;T8}BZL%R2Sj@mx!4t6$!aM_Ry}M+cHMxH(39~P1AX2-e7R3&Qi^A|NwgN1n@*@!VF56)(!Ax>C%nSfLF=N`4jxHGN3 z8g|l?3KJ|Kog^vSMGbA%qQIRAU1270Em{kyU!r>Dc6-d-b&vedw~Kg-1{8-#?$wWz z09F%J6BWB$ZM;ZsOUPBuI-}ieV|+W`_nAur!Kp>owI`vGtQeTWfR2{$jCU<$jb@}; z61nO!Mjn5GWi-mv*sfTxXqt(~5@H-IGttgQY{D`$J>&04jypk#5TkY!4k{V~5tR%z zilZfp+*E=``vtq(0N9@Ixle;Z>C;x1PI#B~&=!F6iJJU^dpAxTS6q9Dn`&*U-`2+L zN-Sgg-!SV(+1*#Zm;J~MPy@+~hG`o?;r>YHLU)=FJR)3Wr?jayaRx}BaS1tvV0IK` zDQqmvHoc6>t@YHBx+JyQ=s&Dxlf>@T_5QA+o5Lyz;oy?>oGslWaIHJKZ(Fkya9ZB( z&1R}WzYxeI+ENmAV5+|)^(FS8Q-RLNX}BKwV%qOirZ=v7qsF+H)uzJQHd6(|AQ#^j zl0u1r0_7UqrGeur&eRxhCUKv-yXa`me>w)Nt z7ntko4H+`8Yjd#s9__0URWSl{D_61kx?SMAREodtlofKO_dXWN7+aG%0%CsmkGp3j z-d<897w9tbq|A{-7x966U_M8v`V#qCt164`ZnGF!=SAGl>K~hJ)@g7wem*_o0k0q4 zI(=@_b6&nJlDTQdref-e#{fdyCvX~K?)K)T2G{0#p1W`zRl5?;4h9vnzL(QWA8 zSf=^u>=VP$yMcOAtg}wl2=s0wl2>G-#*4y*y~B0rvFN1RpPC}lQCi<;UGYTdx!7XQ zn$q`keu$Ca;J67;^RuGDzY4jry1-LFeEGrzyLbv(0qsQFjI&pf^xE{_h z7;Ag#3`~;hyPKMgRV2w%ffo zr4h$3eRnuh^YfzYe&*44F!9xuz+L81ifAor*_xjVdh~%jZU1IyGG)|~MSUbRZ z=_>N3TQ%HYYklK5vf(&lY(L^=U%$3JV?|Fzigaw_*lUu_lZA(b!aZdAK=bX2qyVca z*+8egv5`RnL#vgQiq3LIn6HeThGjXsy786($6w)K7s&7x#jm^G?8CiRagWV5Q-c## zugUv8U^RK@kyq`c`~2N1zNFJ_M}%3-j2Qu|mxxanSuWHy6t<%LfN|7T^yzWQRP$%T z9beqV(0b}YqVy?kAr|aB%~IHNjs?a$9E^VamB*Qp(p{*fNt@?}zNjH~JX$^Ktq!vP z@AZ{haFIqgUT?=V?3fvp|FkSFJVPBn#4!JKuRlsv!lx_?Q~JIqkk9JAcIl z)>Lw5A(ufHV6VeuRap;sQ!8j{4)D*#O^06r$F0dbyhVkU8=ab>+B$4M=%=2?A!+LUmKY{jqm7x=N;{{VhR1hy(}qz zmu7o<)Hf_HG>64e!OhC5$*vNIj5_80jxsP2DCO8@|uSDNI z-Ke5VJ!^HeIHev*$s5v)?4oOvsknoi{ih6UbN6wL0eP)_$gsw9yGrxX#kc0J39w~o`=j}%dxRY(YW{DWc6^7AE~I2{lEu? z9A`7ny($PfGUx8SCLBn0<|sl?^cZ|T3_HQwPR$?q0+ZQs(zhB>%0j{<63XFkkIz7M z=Db>py0Td+iB_c`qOwp=lX4d-l}2(u_Qo87>{Ak7hut1Hg_JK)V5|Q57-P^|!LWJN z3!Id0++e#@KT*G{pyczQE(TH~rSDr#tMVw?={8}64779hgE!|59`rO0x?q_rZag-k zW&DOp84WlWW{nLsI4mt*rWR2l9bdp#Z)o~>??A@7G8@jm*l4^VcKs^t)!hL@6s6GN z*{q<}j0CB3aF$5E2J^bz!aKFjx#Tt+k+JRYl?X-Ud{bkrrpL?Z_S{P5$;GW;Rrrqi zigo~c5eqqgRXDe|oZe6sRLg$RU*2GDie{`h2JaXkRGm$S8CtP~N&CA0Z3(klO|2DP zLZGek^0@u+d(Br{lBktk*4c=wh_Z#D>AZSjc}6>so33XVdp-a6>G zvI&ce()9J8WsmD^aN{(Mz~3%+)$M=EoAE1}|G*zkfh0rz$Ug(T@eZb@W?hqbZ!oA2 z_4(bpAsaY^%7T|3jjUew*VBZ|4%y}-X5*z1g_1UIN1h*Nw(T)iTg_b&ZZV&n?nIGj z>`4{jajm!;QBP#oHG-<2Kawc4XhwP|wC?2}l^sp(=Oc7&NGw&POjSy3E91vY>!-p; zOf9L?$w7wlBW>XW6cPpEQ9VYu!zyk}Fs~-M2%J>@x8LH!f93q55wB?S_=P1Qqb+gl z$cb@sM(uGMP%BYF`lW966cZ)^6_H%J}w&?8VEltXAkZC8{*WX-X*syQPl=%aG@bl#Re- zzN@gv4Ny_$Lm@-qQKrqsjR~m9{oBZty|gWfzQ)21Z=~I5Ztg%?iJqm)kB4tAzIFyu zMS^}15+PYOF{yT-=;VM+2@wa{&i{{%Q)<5e+NnaymMJ_Y*{t_Ew(Nc7v1Lc|TqT>P zd)Dk20&;dUPo+`mAfZ*%OOTxI_>2}L);mUz;thW#gtix109;;Dq=&R_R8l(@%_501 zEuC_BFi(bn3kzU(R=+W(k?c;6&h`a7Ust|LPnWAE=^miY#H^zgTDvsH@))DFnIpLt z${~}@8%?zk@a!yC+7)9tOtrT*XsG?$W+?%&tZfKm9|;i?cdY@ElNvL0*>a6hPuUVx z)zy{1pS7?r_Rt4s@hSX5MDDV5KS#ly{L_gyt+vBYs9%n?&uR@I2$r1ZO?I3w1}PoJe%)hs1-hI`$mQrfTBTwDf48O$@}O`gf6m;Ec9n;txF=&U)t28hmP*{@zb3~Odw%%rpeUiS zU*wgeevgK6K!@DB6m~-m4kGcF9|uE4{?g;Ui1N!9l`T*koWws;C)xlP?lEuim-&Sx zVxiF&Rh(J2Ms%>-H=f77@15w|nV7cTbsyvx+S$p;B1%uhByYLfvF)Z(9^X8C3RFeH zQEjA+N1`xZz~r|V5%9X$&hLf@q2=xCHq9Lz(DQF6sXWngzn?jFUF58N@ok=JSVlc|hZbo|oavR}o_N zpr7T2$HoJ~p}#Mn{9o-|X*`tO`?rMb${Nx{Nik-|82i3P*=65`84PBIF_w_*wAr&H zStI+BHTzPQP((tJvSnXFg#JVIr04fMzvs>G#s9@~znIT)-RFF->s;44_vc)<`}4ih zWf;~29#OQu1r!oflHlY05jTedH6TR5s%#IP3dm?mw)J_au5qVLNC8g543 zm$nqN^t*V$(~jwSSjUBe!a=6g9El#K+n5;*3$oP^z17H&{Cd?Hv`bM@M;ec>-^UjW zUUtoMx0>t*=r7WC2|6vNs$JUQY_n`V!I)Y1BJ-(bTa%+05BYs}dJ~pmCo?mqozo$N zZ|19%RG{6?&cRk$5=#iSDh00@Pq>IIwn)0AT)?F0NLe_f%HqVlpxSa}I+tL=MIO7} zL~{3wPxT(%wWAOj02(>Q=ljVkp%u>aZ(n95A686lB<_h2qAmk)4h}~@3KDD5J2pF{ zm?Mzjr(6AuAuFts%FT|)z!fdK_rZN9WY4y)gfh&xfNkxnB8%qQvpM#j<9Kgw5-Y#D z?FTGjkcM*zTNtaw>*9bHlQ$Bav$o|Q%lAitA=yoFv+?8p0Jn7F`{Y_DlgJdZCe&AqgyUjoh`VqvCX)hkp?Do5|K8y7Z6$;s{9Njb@inMzP^z zIJ)AypU;K-0n;oBs7@Lc1Pj$#N0`=PT`DExA23SyAItFyCj+? ztvu7W1LEkt>*S$|ByzP{l=2Od0@?#HwQ{pvb>RQCB+WgXcx!3^dH>L^HHYp#SFt@Ky z29!qK$YRdpzqvjF-_Ryca+b|uJ(+H0<3DB5!{si~EV2lptnRMpGQ$d40?f9!v7~tGs?YIT&8!H&@&<@Jf{PvYdgYQH(-1P?t)cW3!)k~!zJ!v=-kOv1gCNQ$ow zWda5ACLBlCn?Af*qh89fxdSh>Nw`WkcKzOZh?Osfv#FD{lQq(+!{Sve*e? zeQ6)lDzjs^-iw2n7Rn4uTeoyCT#%5A1E&@G|~TkTQ?K8fReXL%45 z>P9|q>x{%n7c__ND>iX9BJ#$};&>+pbmJIyrniUI2O}HbyCmFC59hmFSwr~Hp3};+ za$su81@}=aH_y_$?#YxTo<#LSY+UW49d^fSbJ22l$FkCak4ohN*PR)6vGmIEFcPCh z8e3haJhg7mmn&!36V*n`+B?L{g_;o+^_7u@!EXX`fDvu!x%MUZ8b=m4a!Aq`lXD$ZaUKhN2Upr#!LT%zRXYsb=>mYV#UPAZM_!;~sSu(AP+N``7SUP|jT){hE@~;0i#E zqXYekt|8{S^j9<~I!s+8F)z7|PRk@br+r5D`grkEah|->@UY+^_}I;SzMz{BVDyCY zs6(nhZzcX!`(VXMv#6lxlk9Bw=)mRqM!PdJ-ASrq71h@VX6Ow;SQv3w){V*&_OurT zWvg}{`ZtvY_Ok=qH;O`yr|2)r#jxXjYL7f`O}? zqo71LOy%N+NO2wQ?Q;Kckw_;}^m4FX4VXwnZ}dUNe5m)d@D6*vG6mk1`jonWPx_pZ zJ?eCZE0MRRnKYO}psjs<-TT<-U^`2H6PFFq(&M6O3+AiFEFF0vrNL9HLS)yBuap>= z?#l0lWYlES*&MFu(q+ ztU~d;NA9Y*vB}^^VoS@tfsqR2EYk<(QEcP zcN^66T@H!Yo5AZMDW3|nD<7I?r4xIqEAtPAgFOknyTMmt4 zjLNFVgj&+{(t z$w@ADU5$&9i8U&ok=!^}O^crZ?T#jLDTT{?9%@5;Luz=)au&Z%DRp+~A=#Fn~ zbEk1HxJy!y6mC|zGCpKm7Uk$UZ8T4-_4V=yt)%(GyXK}Cdn!82Nxq_`jd$KN@1?DL zhNK<)G+tF|;w>w!1!g$zo0zh_sk{)MIIPoCU}~@usuEw+HYiG4CMF^nvF>r#XG?PG zn~e19k(0~opRe32dgm*xTN-%@)afyKro`iH8?rm%V!>9Fg1GaM5*{-4VfIJyb}Dyy zpe9n#CrxY)aK1HggRbye-o$F&0J_0MCZNqDa*_J0|8+VoHJV$PFHvBH`pP7E@Afxp zENv6pp+2t?6~bIgpV|mK%erUo>UXV2EO0R-=iPjuuJYA#?U19ibtThhKttDi<$Hyh znqjTC5;;=p<~FhmFIBusmS3KiI2!uS z)t#enICrYSdm$cUY=IZJw)|C8Y86Bw*}OZ4dBXanC_8P)_ih;+HD9SKHctkpKUyQ- zPS&i+;7%0FHY}&`Y&58EoaO2gT|f<))ln0HG=tNO7g8z9x#G2nH6Crblf08bED!gF z&U1QA92p3IuT#MtewwJ@?ZX7%3(H8y#+e3c zd($guk2-6bTkGM*u(dpNQRqs%?kwliuwkCNA4ONL+#Gnd=7>5;!E@Jwn&wpcN&O>a zdI`LD=sG1Nnc|lmXlca#a%+W`m^3u~Pmg{f$?Nq-2P6;bfEd_mU%upA?`;96rX_eY zDt)DF|HL&9zFkcg`8gnnVjw)T+Jr6IkGbT{1#hlAOF{kjIeOJccS7&#=U=j0k%VXx zhbrFXrgM`C-iRKv44Al-(rKLYna1)S=?c4o`ctV4F~%7=!G0`793J>rQz{;P zE^D?vFb;d!Ga!h{wZ7X5(s#tM|5_Q&v||EedM{p-@s)P_hs@Wb)!W-WZuH$*1udHk zwSLoOD&d^U9_BA=2OTzsoxB*AK3;?1eS)}QeuVLO zu8zvMY5F5PZ&RMm4RBSNPXYhw>wS~)qO0d)-Q*{HLdxf-Pbq1c2GS@3&|VPCS7N-Y{({mvUvN$ z(iKY()>e^&^zuVx*&+#Qbxr!YI@-y&OINsZ4GSy6SlEcU7OJ;K1~(Ti2H^d=LGmxk zy5~7(Mx+=n_q-J;IaSE4;X-cfF;=18m6+l%SLH7CJUyCGf6cmWHM#HJ3yYZ5&3wXt zf2xJaFebapZpydX?7#`qam6F2Rw%sV>~7^{0)**r5y%4NQEm{6^IsHJ7*KfQJ0eYh zW;adHh~(QkbZ+Z7exz@XmBoRbHajfE+Wws=(C4C*B75ou-(4EsMmIz-5gV(gMP1^2 zUsBho&b4P>k5k7JXp5`5Uku1RT~j|{u*X#7tL~eqxV}~=6}IZ9duiJL-shu!A%Jfo zoNs}-9u9Bj*2BHL*GI&9j+Y}J?;~6W7CjD#^JMI{259g z)3gZ3$Sb3`dLVTjw>|W3buMEbki$p}*1kHk3^kHst5z=nGcN?{uTHydUT;i;7LqTY0ywiz7r zwGfT;qT&x0i`}=s@;nsWqYjdI0vdb0-M&{pYv7?FGnzl&HGi{a#G%eKe()&NJ)hdi z67sHOT)EoKx!iHPHSp{Mx6LPplHI|N4ZK+BDBI#WJ$)S`pxyx;!sYh5Q4pTN*c#`x z`T;$(<~MEcwnhJEol~QB8zLBSwqj$}ueM?}?4A9QitobCaiu}s`iD}zB_SugKlCnL zrc=~9ZuZ1Q$m1-p;}Jm31<1!+x;%D1Ogy85Z>K*biitw9TvjGZ`r9|pOjSe+qn_FJ z#r0S3GRQSibm)9>l!}=?6FRj1!d6`ecNths@?7f<>Z~E(r?7D|Yju}oprp9SRl_3vG@r@1HDu`C{VWk*OBLt8q`*W*ORO4kGGu z29OfDeS`%VCZJR_$9!xx)T85xY+opb6LrCL?vtUOSr;Ckd=PUTREQ%I-A}{C)N2rfW}$wY`-6w16-tw_*_-mg9rtluF$XWV*(*8_JQt3 zB$u|T@&jP+gE5>O$99UjR4)e579S&F2x9mQ&x3Q8_=iyvWY z(ACLvtjxR#J)Elf_|uJ&p)PcVh0SAr|85J+8gcg&kraMtYQr%cFXVfzLkib8PQLyY6fZ z$xFZz1EQQ>Ax?IB3mZqQ5^IPw0>ldog`NnMYrwO38Nt*wFk_+&XZ@J-aSa(A60r?0 z5z?IvjzSxuEX}@FGbtjA=V*2n6dGb&T3fiqXJqEIKAH4$kzF-)z_Rs(0hUbreI?Z`9#Fd`$qSS_NFRM_CNDa* zy+Zh2U3Z{j-i|J*ARKn-9gdH4l|NtR%w{m6h&wodWs2^IQBqmGD&=Dlh(IT4`is8) zw?ai7=H^SZ7tKrYb@$`I=ev~DJ{?0&Axo<)sQA+bwiCF$uUQuvjG1wwKC%$@&fM(%US`5w!o+gePg+7tT3+EbNOo zJDX~$wWji77n^KAZH2cmOQLlqI_BJ6@jF)_ z-xiHnWqThxotJ-W!6{o5^{|_F_ z1AfZCr{dfvIQ$zbPN>lTJ1$PKU-Q<|)&M|2TG{|xxRWaqgmwPWIN-Zf_Qb2|;o*2B zNKgKUTu%c476c3ZV!?#`!qRj@yPARl2ehQ-zj_=hu&W1B`XxU^gsO;jBT#bwAQaVx z+aX;+mrw{-(|tpA4?zk4QudQX)JWs<&$_)(5s@E6of=3y90A9}fAL33$$C1&Z5)w! zfHi^R6C=&NRMWr>Kp~{L4PhE!4QEB9J?f$t4yos*sc+-uXd{l`mX)EB@|5sIJEI9` z08g|N##O>onj5}P4Jtus?~6g)fP*f0M``W@&QO4nhBiPEi$em01;IcYC`1GxEG#Gl zgNh451OR$&7=V@yfqaxuL>LSf0YF40Ai@$*ac&tZ001C`L)c2_DyjU?Mfgja+a8a1 zmH>e~JUj$Fpn_PO9S9;WE)D_pc3X1{kHp(HdA_f$l%)`JaW75Q9Hr`P-%<+#tje`gpYpAdgPza2K z!(mWSuozP8V1H|993FdUy?)14!?@z%7#rkITrd;{Ln1^)fg%W`2vFEs96`W^5;PzP zfMAG_h^-j%C+?xK|J6_515bGR5}x{?KOX!4vCzJ6nE&zbuL=K;fe*v|tK_gZ{;~b9 zyZ+Hdhdcc1uEXB=$M(PO`bQTX?(na>4twJt+yA=jA6;~~!+&!Z)vv@6i6LZ*9)z@z zmWt3Ml!-vX(X@ot{u_itr63N{48kdVKSAJAlGsmdz%Vcr3=tMR$VbkB!RO9{ZE}4$Xd^4$aP=2NBYx|5Pt_kWzhDufLxJ@cow) zJfZf*&$)TxkhZi`V1NkW=Z^~@0)s(efc+c$moNkZB_!wj7Xb51CPGNy|11+Hybt)3 zOh}mUF5q_=;ou1VCBx(3gpwyXTB`jT9w;v)K!ji(72!~b!+*C90wGv;_N=m&3N6+D E0dJj?5C8xG literal 0 HcmV?d00001 diff --git a/mips_cpu.sdc b/mips_cpu.sdc new file mode 100644 index 0000000..6a4a04e --- /dev/null +++ b/mips_cpu.sdc @@ -0,0 +1,111 @@ +## Generated SDC file "mips_cpu.sdc" + +## Copyright (C) 1991-2010 Altera Corporation +## Your use of Altera Corporation's design tools, logic functions +## and other software and tools, and its AMPP partner logic +## functions, and any output files from any of the foregoing +## (including device programming or simulation files), and any +## associated documentation or information are expressly subject +## to the terms and conditions of the Altera Program License +## Subscription Agreement, Altera MegaCore Function License +## Agreement, or other applicable license agreement, including, +## without limitation, that your use is for the sole purpose of +## programming logic devices manufactured by Altera and sold by +## Altera or its authorized distributors. Please refer to the +## applicable agreement for further details. + + +## VENDOR "Altera" +## PROGRAM "Quartus II" +## VERSION "Version 10.1 Build 153 11/29/2010 SJ Web Edition" + +## DATE "Mon Jan 10 02:24:14 2011" + +## +## DEVICE "EP2C35F672C6" +## + + +#************************************************************** +# Time Information +#************************************************************** + +set_time_format -unit ns -decimal_places 3 + + + +#************************************************************** +# Create Clock +#************************************************************** + +create_clock -name {CLOCK_50} -period 20.000 -waveform { 0.000 10.000 } [get_ports { CLOCK_50 }] +derive_pll_clocks + +#************************************************************** +# Create Generated Clock +#************************************************************** + + + +#************************************************************** +# Set Clock Latency +#************************************************************** + + + +#************************************************************** +# Set Clock Uncertainty +#************************************************************** + + + +#************************************************************** +# Set Input Delay +#************************************************************** + +#set_input_delay -clock [get_ports {DRAM_CLK} ] -max 4 [get_ports DRAM_DQ*] +set_max_delay -from [get_ports {DRAM_DQ*}] -to [get_registers *] 4 + + +#************************************************************** +# Set Output Delay +#************************************************************** + +set_max_delay -from [get_registers *] -to [get_ports {DRAM*}] 4 + +#set_output_delay -clock [get_ports {DRAM_CLK} ] -max 4 [get_ports DRAM*] + +#************************************************************** +# Set Clock Groups +#************************************************************** + + + +#************************************************************** +# Set False Path +#************************************************************** + + + +#************************************************************** +# Set Multicycle Path +#************************************************************** + + + +#************************************************************** +# Set Maximum Delay +#************************************************************** + + + +#************************************************************** +# Set Minimum Delay +#************************************************************** + + + +#************************************************************** +# Set Input Transition +#************************************************************** + diff --git a/ref/mips32_architecture_vol2.PDF b/ref/mips32_architecture_vol2.PDF new file mode 100644 index 0000000000000000000000000000000000000000..ca9940142d4501220f3d0b1cda352144ab1c0b3f GIT binary patch literal 2280191 zcmcG1d0b6j_kV+u%#;X;h-kXQx%Y-5O+r#hWNMx#X+R;fBvX`1LdujhOQsBwl&M4- zWK5>a72>zg?dEgOJ?pvW^n1O&e|(?s=Xrd#^}c(rwbx$z{a*WwnPY6K#n5KTk9q#$ zZbff-rmC*0zmu2zdaqYuj&`<v?WlVgyovFGw2PahxjKNtS#Ki8YR>n@);o~n?WacchZkjP0rh83-I>{;DyLC}(yeq$^L+%x+9 zOK%J2HUIIMm2+K|@m{*>ef|8cZt0q3uV2K)uv%WbL}RxiZ`qbLp07A_Y)bvXOTTgk zyZf!xKj+XI`g?1XS-_1jJ!{U{zW07@{p)x4_f!94r&=r<{riUQTw4D0lK$^^AHO!2 z?`%GuH)iqEKaDSUDjYetY)e5y`G;Q>Q!>_EIv?`+?b?>5ACpz0^}R0qY5cI%lKVqW z#_Ztm_RrTEz3npYByW!iHBArxkUnX~j4QQ!)qZT4^7~uVxr-Tr!J&V?wFa&Hxngb0 ztE9GPpTm#KZttlW`+36H0p%^p2H}@#SGUPqu37W*lmDu4wJqPPF9y79s(JCKZ_Cct z&5sP0);(RZZVCa&&Zcd(!KnL$JTD zLpHkZn!hvQfZ?7#wcj%(#&-8v#3@qYqzpEnmHjHy`g3!DvE8w71M|{PYo=KBUC4Q* zeR7$Kf8hD{?3dfKx5igVg&%jUd*rJ8_|HV=rYCouCv8}|ev0|w8CU$>F0?vlEQx-6 zAiCSyO6%{&TDr=Ww%e-4)_bUp+OSiK^StQQ%Ldo!U**;XJ$`wtclWbn$|o$^qjrp$ z#50o2yJ5IWCE(o5AD^r$zbd=LJnW}9QTJqSM65^sv)wUP-3ukgr%yXkvFEI`qG4ru z(XOx)>J4hotDF|-O*36|=S9Ym=@D6`Dm%MvH5ql`>C5ozi_&DXhrI89+b>r-W{uIu zZqxL3wH52Ql*m*M**YxkW&XhLCG$8vjZ}sWn>zEOtF-+Onc&g|Zoy0TY`y-4F?@^y zc6HrXiP6J+mU^}I+rCq7wA;h+C6RrbN(?N9>`+*{_fFn(taZId$}Hn;lcxDH{WkjS ze3?_<|8z=pucs{E(XHzS?z;FvUm`hfyTkG(gHIY_DcHGr$LwFD#|vGn!P)a_hXgW1Y<$Irgyh^wH)6*EPqp zmM49VOfnww^-#$k{UbZxmPkwr+%VfO{Hh7wD zUR>!jF6vE8oJO*xWazVm$VCw^-QCw8`+O+dOlzFoO&y8YsKF0qSH|R?RE(Z_?xaQd z?c`gt_3GFP%N$tDnTaa-dyK}aeSK>iVq@U_aeVU|xtz$;qkcu|>aDYVUO2Z_-H7EG zs4i7Lr##moN_+l`ZR$U2UAPfZg-Ks`E%;Kp+il&EZ<0ngZvH3_IQXsi;8{aPTlA@% z)>C$kl-|T=frHMz+_yjSlZ}6xWI){TiDx7v56r&M)Ghy-$HmtZj~(Bup}%`p(7?OL zZbiuIMyFzPrNi$;TOV9KW_-`fV|UqDS+BQwDbu*^P?*LRZM*PCag*mymK-#GzpKRc zZ7a`9Ijg+W#j^YK>NClA>d(PXie`;(se1cmW!_S=ITL*Eqz-H~A7`G(tI538sxYp2 z(AC4&R)zGuxOizkujb(S*)k?hhRY*@`i{*|RtXwf;yQA0sLYUa0s6(CV!8d<8z0oT z9&`Ss<>D1xvsCSCNbA;So5qkh_C`7^s658NE(KWfDS zg_UXF<<~9Mn0a})-37_AVLJvdQDe!zKYK`3yEOMbR^@p6 zMT`R%HqF|ZR^{z-)4HAhq~;iuky14WKR!# zJL2Kjy=mVq=YQ;%Xc=ZKeZ{}mg@LCQJ{vy&;W?ReDaThT)ofB3y0|howe<4W;(hL4 z;-W14!m7u|ot+nD(Y}4;+t0I~)u(V@#!B@Yv+-HP%^Vpk&R=W$FR?l68sv4Il_R_l zO!_KcDbX|Dt0=N;;DM$)K}unDc8ZC$*$2F8XE!V#WSeBUV8wH%#Mvk8>O#7eRVIbG z3_m#Xtj?)JI~BH^^KEHr*wpv#>OQkKrX1fkYWkj@{-ZWLs`%pV@^1dl=I)onqK5SJ z^towtBGk5#Il^+K`y{(>_S}54^!c7ytGDI^4)^e}`><$i+_CmsA>UPRha3re-1dhl z%dCG9?PU}`$j)ry3ysE!LoC&vyS$jJGJl-U!2>PfXEvEMH8mcZtoGUKNOFJEP6} zYGZHgh>%IpvfouTaFN;f9dpxaLoRu|2pMIRvhP4e!qCMHi?%EZj!Tf6Sd(@i0+!`f$8%-j{OYW7^GfBqyuI2(nfccuA{%{H z4;)hCSQK;re5*S1$KllP^6~FR`o|fhE*a%4b$8qnwVGM7FLe979cHpsF=hHhR+7OL zhjXhZ_?FDnv`_l#DfRw`%kevnd-u$Hl5*vB{wKv=e&ZisQpmoTVld(JvTw7^%Qp9% z-(#j%VW{tBj;T|?D$j3gO5H6ozPe7j!pNSU^YVD$Z40AJo>9yo z%_(_{t~z38*;KZrnk7ut~JhXD!AGZ`mwdvZe3DYnktQkGRFWm}Pd~)oa)H z?4&nehYu=9)U|m2GtNFo=keQZ-kg~1jqVpNDL-CizI==3w?Df+RH=WRH%I5^U)Eym zbpH)=K7Bm%>G&4w)#f1;^&w5&H8eGiS2g{Zvwn2Xa@p-|f0N=0EIz5Ky{(8pw!^!x zOdWeFe@_&g%~aZy>oVu-5b5(_*({8+@LS5{O^>TJQ+vl09~cu8<1e#Vcc(*Q zTkemd{E)qi?y2;Pvpo04_ruleoK3B=lP8ATq#7C)Yxh0Y=X$}C!PVPtwmc~fQCRwR zZqmE$+8Y}`_RH0>tyrAm|C}wY^OUXVCHH0Wi^ILAtZu$`{BqqE-2-tO?PM>@Y2Lo* zaLLj)+UiAg2&m$HAr=#4!Uqq)tT$MB1m;HI&J&=1*zh94W>+0CSKlIlNCW` zHbHpxg#VeD4MUZQ*KyYPKcjyUuh01Z;(sLyOQhOh;w6jl%>|P~E|6Iw)dp!0k4wJ0 zKz9>_Vu(BlD63h*^#;0EArC@7O0T2LZtpASz_?W7e;IxSnBC`UEtH$|rcJ z92T8FHkD6s^~fbMo60A+=njWuiOMH9dgQwkRG*9YJ_ZkfC+rh~3ZB8!<sw6G8Sa7xK)i^`HG6$aJ z*hZh-V`4O&-zm@j(6BZMU9Y}rX*i;{(R|os?{hUn^Ud9R%zVL9xn_Cwqo2W)%wXP9 z*-=kVrFn%|=k`Bu)wVIq)|L@=Qs(>Vdrz;rC~tKd@F(k!#DkknE~Qm*hn`1G462!t zA7*tSI3oCDzl8XU4##fPe$kDWvDEUOal8G<(RCmCZXW6wdCJ}+e))rfKRxE2Zpn5v zi3!b{v-wptbJ59Ib6ZZ~Yg3N==w;U&9vO1GYi)Y=Gd@1;^4qqdc6HqnH7%Y7$?9fX z@Ej*>$~X9Rer(L%j|a~WHP4H>x-7wBL!pLCl_pju=-ji)*_pA|&rm<_~ixdYXN&Am_>KL+ha(A|V>-D3@&8vwID%rEI9Xyrf-12L7 z%(ZIA_IGV(vhTaj+tclmX~Xz2`cwB|*QKLJ-QGGsWW3p!{vWiACxmXBUp1U}q$Sy9 zf_m=FbNzY#1^2yD0wqam8ujEtPGV5hNPFm7St2Kwd?D5KS;q^Tc(tBN8x6T?< zuMrS#px|`TBZwRV4MGUndbK8;MKh%^ZRoaa%LUvHKR{u>Bv<}65^B2k6ppw z$wi&9R_y;dwe(a*faS9zN}ela*Gad{>wl(U^Q6NG<1jmkgH=&pVYVUVq0?R+4L0aG zYHYWWF84~_%#CeP+ZAE{Q1Vu4j?C|>r(bjZpY)bLFmI4S<%mt=BitaktY+uCo1O*S)yXWJ>ggu!a3X z7C)2@9WuJ9o382a2dhS?pSy1Vt#>Ii)XewW@k=jeC-fVk(YE)s5Cl*^j zlnq(GslL#6%+G1%>BDN8B~I+B9Q!IDvTUi;fHuV+^G_ruv=^Lf|Jy8;a?stNcfDV} z?&%k!3+^@~-oATmLtEKhN!3Q(vCL;Z+swm9Klu_BQ5;u{wTI;(7;0_+;wNpE4eGKQ7|!^xx_%J#iqdG`p1e^XP4peWu9f$%8fS4H><~3 zJdPf*GiJ??!Rk$;0wyfdJUsD+y9n0yw7QT{QbB^BV?X^`Vb$ItmtmH zJT@~}&pvzbpIFVsZZV#vZawoehX2e7in(K*|DbKjbN7Ce2fUXLe3#=FlHeS6FZ*WN zksB+rdi7Iid7hZNbpB@-y_|&lGiHC~btN?B4v-|D+A-l{6XmI$t%?r2{DZkE2a$vP ze-wLwM}PR=p&b)B%pm@T`p}NfyoA#Nc@S`d#6%7=q(RUL5)(PhkOuL%D=hQ!bT9aDXxn`LOuk z!~yDAE|o`cSY$WiQh5XiD1y0E9>D?P3C#_~Zvx_pOXU$55KmkxkHCO<;!=482E-Hk zd&K`H42UNll}BJeJn^VJ0t4a+Rp1oxfOtYz5fp=fc;Zoc1RIDa9+gM1fq3Fkc?27X zCuCgwZ(^hEQ*<{%1un%PXq~7$f{m`7L@lBEo5B*}#z}*yJc5lhAf!Q59>E5JP>;$Z zSm@s%S)%d?7I5dKN97SLv|o`dQF#Ol@CZFBk6-~Ffo^2PuM-RK2t6u~U;!S%Knf)a zQ-Dh#MGMs^z$X~GR8GMHoC0ZQC~PqSuVCm>xdjt&3x+P0UoZi`VCYgg1`}`$2HM@k z&pZ?G42CY1YcK)VVCYi$1{3lPQQaBo=*NV71Bt4{|1LbPNWsNmP&vo{7)J08*X2Q~ zdL*ZxC@ZbLb=5Tf%I2c>sDLJmhfLMl(> zp*0oV*BD4wCA4A$gj`w(QC{I7x8ozk*y0gV`7d-G%^-@nnNbR>;FT@<1pzXL_d>{kcIqCj30`M-pB~i!I_Nke?1Zuhk87Y9^F;OP-^ER)D<5od`?kFA4{G?bQwZM_&*{= z50Qnm5u(I{BgFK?M+#C~3q>VxFTiDynGpt`9{cyZ{>>pe;qvIsAzEb&m4$qSJn@kt z%Da;15X~}a4vEC;KZGoTqrd29SAwvdJHCq z<{YA&f-+DCLW}|-)j5Tu2gEW9h!lj7t;=T7^Fw?NQNhihLzehh7UhRYbI1V*SO$?G z{x^phLP&47K{*9OsgsY8DL$4_90;u!5GfpVIYRbB!kOqFLME4|N6!y&gy?X}N5~K# z%c6WVc@ELlAqgRokN$^{#Y43ntw=!#+34Pak5E^9EVHSOUmU~s%0@Hh-wk%Dpx8$Tc72r15ol#~nxoezne3}Fsw$1)2)AL0lp&WDs#4hEeM ziCoS`gcRpPO3Dd?&WA+Ksbdbg+AJPNSC8Htq5x&#=R+JJ z#rY8RDGJR7u-mYq^C6L|`iD@D#pBZ3vGF;?&xbfdit{0A3Zy^?oew!cPC;{sBc#8| z`1ue=NO3--q{i6L`H;wsb<818TThRRK+=vBbTx=?6sQkYY%HVcrkF$Md`RTfIuPn< zqbpqomuA{ScA0tth`JOIsg7T4v=XEPB9V;ifXLA8m_>T08yq4^sQ4^0^(Y`x#tO6} z5?MR}L`D}Enu!QRK13b`M9N?RnyeW_`cD9n*;R;WE8#=riib!^8=~Y+UT7k3D1gYq zAtHK+Zn!YfxquImLjjR8T+lT+$rn*x6$&x|L^d8TXy2x{apTU2PWgO{7zIYEcws>Y zSt3;_z=(BqrBTVwhsdUYNEI(EKzqv|a-0H)=&;?Tjgg5mKOZ8C0wPtsun?b4UTGk? zFt{BM*|ePzwU6^5GQ~qgM>Zk10x~oUYMV!8xzH%VEOw+9n#2X}i-PpRq)0D%RPn-s z(hHG}6+qPE&mw(@3_*HfQluAjiV>O*XcnRLLgaBfATm4BOBWyt(hGwky`W!00TD_s zL;_dOkLV3kRiw~42t|h%^XAdg-9I>Fm~jZE=;2!zc9q-7bZ314CEKQGel_e z1rYi9h1CU!g8ae|pI^|r2pfZ(5y&r0B2g`X$nI*q2+|8fe0pJ0^V>jrK|v_|!vqjJ z(n}X+QIKBH-I};VExtJkEg3M2Od!25iL|%?Vpr1(x~k{z-3;;Rg-Ok(1L=iHWYYx@ z1?h$MS%)D=FAVYNg-K1q1L=iHB;f@R1=S1f42TOG@C=A3Y_SVXN!>Gn^ui>*93z0( zkzVv@Llk5eB-{{>kSe=??}R|iJxfr$&_*aoF5+_wQ}n4C(#0$j$Sq8WxMvAU7uo;? znMHhJVG`cBf$n9QKw@DMd3#_BfV#Vw1P0!m-v%mp#>SBUGL4R@DwEH*^ZP&rFHtcG ztaxWr7>7ycS(o>5HOrsy6mC3ioA6DJ73F;^)>l8UJTvBKF7H=nlL2psM6*-b~zTc?s+U~)`Nqy)<`Ee+39>)kIhkBUwwPC+X>$@U*p;*g%un$ z7^ZxyqPp)9se$p^(mtzAKKr51ugtI6M^yK3FwET1G;znC=4n{_wo*%<{Q)iet~{u| z&hD03QGD{r$BW%+20xyuBh{|jx@GuOHD8lE$uAEnr`*>{N_wc0zhiCS%|DYghIM;( zL}vH;WupfDU97v>|Nh&zu~LRgf+JbC0qzqnhyO(yK=`NRBu`edcY-@?i~M((lwR`+>e;Nts{sY!Ex zkD4-9Q!%0WnVH7gDf2J7eUmdk_VjB^MQh)tywC^U8ttl&H>)gPH*?>V)SL1TEDulF zXtzx9_p{Wy@_)ba+9xZ|mJKgwxvODp?RzS_hKMc%Ix3wMXwRWBaCJ9lL4r0}cW!s!gaaeF^1%XRUZv)|{t zzL2;+Gh>>&kypDly1Wz&rjYmjI(>8M%$b7 zGr8xsB`fBv2y81G{WV{A{>B~6UvsbS;YII>#6EK;ysZdMD9ho7Jd^ozb)@=_S+9MM zuD=j*Y}v2%ia}{k3UBT?j=g()!qf*!yq7D^&u(sM`||jHl-asRFYnv%42-`&G`7|7 z`Ib~z^K$J7qge9=>+TxPAC0Y)Tee4fo7m5nC zv9J%@+xAaXT4T5}o;|*s>b5?GL;g54`8X-BPyfWJ8973|B7XBF)$Q`{UT(iJYryyP z7YpVOHb{FrCeZqL_~~Hpt0l6MiBk{egjDq2hJ_iw*uQdc*wE5963^V{pZ#QM>GHeJ zr?N+@PL)4cc{rFm-)KmV)Vy^ft>WdOE|I3bwYzJ#sJ3bQm-`-~pTWA)!8?fvXm_YbzNkJR?`d~_n={-Gx?e0x>a&B>|1uj0RBUe)cB{y7HT z%nwH_)SvWPY@GkHO{S@AebCYThd(cdoj8`xV^_>t^`PI~J1zFwzHfTp%AXS9Y#?{1 z@a=__Ki+*~UmW=)u;%=-W-PC(~vo z%b45>aO}h0w8J>tWxyi8jq>F$@2oQ_4rCR1$Pb&vP^n0-Y0&I5TP<>^+WGHiB%~#_ zh1i}UH&@|e$^Xv7|Hs``O#Xuk|M*7q=m1}mMfE5Gel7DKMwI4(VL*o!6Hx^e!=N53 z{K!BtOb<{5Gl?1}2qX_(>Wbb9F-7G{CL(D&Un6Q(8 z3&2Ct8uBoa11?|<=&xZCbwuEAgC5-zl>`CSP#H%CN$DkAbX!6mM(HJp?f`}nE&-w6 z#)Z5@RH{S%ZCuDph*}-c8ssISvKzn}p_Ysfm-dDL~RRb4e}CH6;oM*yo9Jz0j)t^A}To{&m;%(5~Ah= zv<7*JsC)#l26+ilKLT2VyhKzA0$78*gs269tYMIsh{`(1)-Y%XihU=8vTG`kdG%7(l| zRMJ4U2DKS7iJAuJx3M8F5tSzZ)*vq->Jva~ke49Zh-yk8FCl6TKx>efh<5M-zYX#d zqHF-P26>5S7cXE9@)EQt6jQ>2yhOB=DmV?$HFF`l5RLc!{36b}PtT7=k5$*0J|28J% zB|OU0D--e(Q8F5^26+jQjRt=k0SZG4px*thr&ho?R<<(3XGI4 z$wSFSw0vU``Cb9W4qCJe7!eJ|$H)+ikwv75p~XfGc>qR2QWRhm$ZqJbHTtD|jJgyU zDT_BAI`EU{kx=3bFbarK+8FUC_;8FIJqnBjq8OYLW8{d(NaTvawMGmt zX|0K@ZwE%UfE}gHf^(2B@-bo*7^!0hi8ja>ftX=;RolryS3-P@YzmB&F~da(lZ+9F z8FojW;n9{ta|C$?J-s6?&#=&Wh$7E$fjq+^vcH10?yC9*bwYQ{qxd|-5+#kvd7ca9 z83Jo;EWjwpGxYsYkY_j)d4|~T4aE%9;mjg%)SVc+s=wg~@(gM>5WCg{z5%>S=0bUf z*t;#5$BsNhUn7JG@(f0iXQ*ikE|h18G=%_TN1o{dMnRrIozddwkve9eJVPWm1Q_{w z2EBblSA>cQ@(g-ON<2o&Ji~?Z43QEMVC3hSu3!}88H^&&5F5Y2n1S*Pv4^_@Bi2=o zC%%7o{Ga%FB({Eo7@<5ve63r6u_MoP!5{HFgUkOY@(eX;!-4V)k+czD?5fBU!}AP| zks{Ba`i&ybKwaag)kt`l0ApA442I_!93w@ZK^<6B7@<5vB!~nUyDIa<@H~TKq{uVW zv=RrZXNa_t0ApA442I_!93w@Zp(dj^P@W-@Q38w|d4_&B25K7TV`Nk08EOiP1LYa? z>xEoIfRUePy0Aa8@jQe6CN@Q$L02V0#-P221LYYa@g>06)lLGu1CEc8BG1sE%b+}i zc3+{NCcr4jGqh`0HlAnjd8EiQG)Oim&k#wr4vd_RJVU>mj*aIT93w?NLxY-w@(huh z6JYFWo?#2}44Wd)P!oC>lxK*9o&aMOcyW;@(i0I&(I+cfx3`L9ttq>^9-9oKV}4ZhE0)YXi$z&o*`0>0*w4TgWlJm zd&b4TlFE-6iabMusD$zik*E}4>}o>+OOR(+6nTaQy$R(RBE2cV*wu~#mLSitDDn(7 zDT+aPhDeGEFm^T1poV<@TC*tf3^kRCL3sxK?Lymu0At6!8U1rOOOR(+6nTc4fW@FZ zgLXF(Ms8Q{&Cs&}9rH+$XQ*jg49YV^+O}gJxgAYw=;pL_^Iiv!Q|pZ5w2V?CQlC zer1hs;^_8H>^xHQ(QGKupt&Vu>}o-SQ&D`36p4nK#b!f^hR9+I*1D?~XXqQze2h$r zL_^Jeqj!wRm(4`(TY#~vi3WYkx&tFcqM>HY*-)Y(GUft|0)mEiT%+f+Ixtcs8fu=M z4J8`1N@RcR>ctsTkZ6!_NNmhdv-4~y(V#t(gptQjG+iiOnSw;aq)0T>oIV>$G(=9n zW371tf`)dUVG8mL5_5{5M@oW*1?3q?2*B&=#Tl+X$M+s4MV_G+0-#ry$Z-t`0eD@# zIKvz7_!uej46$7tD!N!ep23g#WPj`^Xmn{8GG+z}QuS z27S_xKaULYc?N%UPH53Uj6j}YLqY&vR|^`3AkUyTdc^HLY+}zih!My$Y~<}iKTWXK z9R&@|w`fr$G6Z>sAwJKrsf7S6AkW~rhlEkDs{{>0kY^a;^9=s22_a+PJOX(J-Ixdu z>zGHqu3nrm1bK!bKF_d;ed7>DCXi>?#2#<~#;)cWh9J){#OE0{wGe;_tG)ItCzlxNW2PR7_(f`%c;GwA7gu^6d^08A*)pjank6yzD& zXI%W_9eAEWpLY3_wp%&CotQ3X%*( zjzP*&p#=kD8p<(55dbs{dI15y{r=Bd=UWEojZPXLxP2eD*#9|CVRQ4>3E@2+?1>z{ zc6407X+0BT<)zf_$46Oh$=<%%@JLe9<;x+{o{VnD3C&MUvp#jw^WC*;VRu$;zklaf zP|kIamgkPSW=kTZt}_frslUnne(<8z$JGe|8?FAlFP^TyqkqcQ9(zZ;^lyIY{^#hW z-=9^&eyv_RmFH&F?DsRX*Da;1a>@q}{;9s~m%LtMUlpUE%Z^p$VlnlkBI zD>n71{*6(ik5BDxIWO%}Ta(u9tDXy1%vI1{YknznSL=mwf3moRx47++eO?^&Z%$dU z+wn~W&n>$o{QZzqX~}=b)dy^!J!xk^a^|7&>ngrHyE8QNu~M(znc?Xw`yMmfVoe5R z*;aPLEKc{zDJZa#iWDhYKX*Z;TrLN9&y9`>CPIcNc~oeV8-I zJCXG{dB^2TzwU0aW7#gzC>-Wm`*U1Mqx`6;tUZo_i6Q;ZZ=ZkkBE#IHiT!oTsqN;0 zj2xp3b!GLG5EJ&v1H};uV`93U+ApK+BXKiBlA-FcXJ}J_XMyL!+&Guz&Wc{!Lgw6e zntFeak8@b>xrWR84O8{J_1iFHTu!dcozzKB4%u78JPyq3XE2`A@H}$E=8WB(yuQOY zyX@uWENuMKn(kfo@zB0A@x@hDd9qA-wS}=I>8a%p@*1{1yQJo z*F9D*e;)BJGP=Sfp{4uiz=n?+y)1J=O6Ol>$Q1b$#$KP^YNdbrqO@||kPWq^_1lkQ zLAF)fIqu5>Cir}pEuP=qg0V1OW6r|7m!h_h^B1L?+{ckOzTJ#SEZ-dOdUwUrgfxp3 z-uZ_|f@_K*4x9V+e3!NS>(xj}^F$4gEdBfAqNX&K7x*e{Ia`8lm%f+lbM$>|f#IFJ z*<)7O-Zte}oDS;S_)*%p*{hm&C2dCK+z++JYW8+E1zQix2iuwm|?tF;>=bQZ`<4)gFJ+=?U z1EYRZx|D3w`|zZijkc8^8^$@?YfXsXH}cw|AdOR74c$0P{R{UlHXq_MBvIQ{Nn?Y8 z^EqV=`^9DUj2DA9=UXQjYFVFha{G}{Z94C=d*F2Dm(g$f9=W$^{}A7@=M95$S1tcC zjs2kZmt};cMA@}IisXKK{5|jgHqrm%etZ0DYyTNTVmth1i~4(}Y@%=o8U}U1qpv(r zS_9hj*+dBtWDRQ5M_+89vIh0rvx#CJ$Qsmd&lZ*CkY|zy^rGOTHpK$)fL;`~sK5rW z2K1ufcdJy^fL;{*5qzp)K*tiBD3t+c66jcBi;7;zzYXfQXQLA(1xTpho-Hb00jvQX zOZcn7RMvowC8S-T90qv_sxqmpL0%#%DFJ>PasoU=8vTqBsQd67)$4 z($yjU=?DstP`^E!DD!}a6T*&5Vu&n5~RAZt*+J^sNJ3ZKLvFCj`4 zKx>efh>8t>-v)UJQEUKOgShaULl>08ATJSR*MZ*#c?pqS2Y(ynC89hzU=8vTB2Nxl zgS5)}VfS+;b?r1nReE6Ip1`8ssISd@}Ic zATJ^E$)GjJOGKGrz#8NwL}nPY26>4n#|v14yoAW{g4Q4}5$)S1TZ8)T*+jM$vIh0r z4I42;NzLS6!O&SNMlgyz2k zBf9hN_>KYX?>uq^Oa@mxlYtTGP!J@*WT55{2&6#5L0bdN6;K&m@l*!hHX}48AV`49 zz=+Id=S&K?HQHZ9;y2Hu<)&z!$5o$HAOSW5BT}24AiFB!;CIjCAjK0J_=l~iKmvpY z{z*=uVVxkms^Z|c&*LD)v*s9)5(SqVV9ha;^}JYj1fNPsp+ zonOMkI%l%0Iu3pVJq}VlZ;toG2~7zI65!1-BE8uOl25U5d9(#F{0@2?qSmvmEr zggWRkVxw~>NPfaWn;u=&4!?yS2PvL8$B48jG?P#ZJw~KBJ3;a@4wwEHlK4IJI7o_& zLu5ulkN|a#e-c<|0R$jBzt=^Zm*xmE4n-3^CQ6Qy&m~Y3J!XV02Z@!Ed8S%T3WnkN0a(frK&+k+kSsZh<_V_Htta2A!gh z9;4ZQksJWeOb zu4>e2Hq&F&^bgcbj}hsgPLLfUb@~~HW;Z>qL!$6W4wP}wt%lGr0mzO|cy-~!fi&Cc z@sEWHS%U%xYNtm|Bs{DWBtPSH1*9P3Q1sK|@kIp^$~Z)hsuN^K#-abkQko6*7&Wy8 zHPqu@q8IvYogn!chsUK|Ez<0$$EX=GsG}YuGGd(|J8B&IfkU&U9-~2|K{XD#9|C5w zs~Lx8Pd!G1e}gg(k$>x)$qo%+7iLnR4W?+S$Frx!QG`s5Y z51Uhfgu3c6BHPyqlAm$90#b0PhC~+P6r&h5NeH#oV?>g$6J%F24qG4(rs%83XmE>A z#zFV7LJJ@O+3{JguFRyM#-V7e$LLU!0E9qxHRI6itjEvBLQ?_-4!+-uzFdb9 znas|a>}riev$Y?*9cJMfQv|rDp**^zPRzivje(Vl}4P=JIQ?K#AL=uVIww`yGgDX4KMI_){s z!U3q$o&yO7P(vu6l<4`LHpjLYhs2mVHn%V`Bf*J>X##cN@%3C$4 z*B%is!d@a+Zmg>rhi0=q&Ph@EBv9jUK;-}?xK*RQPtxqR=TIvLSU|?%fXV?(z~j&d zDd2G^+U+^i$^jOTaX6rI0PDC_qrXqm?6>DoD+ln$xJA<<2UHGV{EX9urdOH`_Z({F z0Mu}gXjNfX?hKrc8i#&bq}g$gGtN|j17#dgIe>MQ$D!GBk3REF1rlnx=YYxqtg9J^ zX3sr`S~&pq+;c$X0LITaTwU5V4$Y=}4z+RsYP#ou$^pUisr191fmw^jIuaakPn)AE_-2B< zjy3ulFr;Zw#n8Pcm(4|x=b=BvTO+OIb!MYK!6V07-oOCwpu|5I%ps@({xzR-7_^$t zXD)v-U13wpPsNKHPa0ZXu6#Sj!6(K#Vry)?LQL^q>Fw56j_R%~yPO>BGGJsyC?o3Q zk`mjrMVpy%cN=TN`k0tJLq? zyvuFw?E{okMr_?|zP)W?oi*s0DdFSn|7_Y$gQSGed2OGswY*)&vTl5@Lhf2(Th#&hkrO@ zbJiLyy*-Pa;URTk!>a;mciHaf|Cf8@zUe!Fdm}u)?%LMG>07G@znk5VZMJe%50`G% zYQytRRq9UtutbOR{6W&;%PTDgNGwVy92+XzxM0M-8*h$gnC+$> zZ@5m&ws4En__}22zXgUXEtcQL3Ld*Y)=##2XMQ&6ae{`Oyu*nTwRa+JoM>O@)k8JA zC0_pQubA5fAyxZb{qq$=p3OWy(8cJ{v#N?<(^1D2T&{JmGdS^ULc=4DTK~!(*|`@a zmW|Dy;Iv`9VXRkH6YtT9)2BJkBkxtl=CmEz?iJZR@>+p&+Zkx-gb!piEj-n9_*Tb)}!W-)wM%|y<|?6fH_YD1+S0NYB zqq6R(O`@T1x9e3W$`5f~$ecd@D%O-e>qAY`=w1p7GQP@wHFREMDE(#g46~lc9M!&6 z?PpB4;7VyuI(_j$g2ai_X*-6m+N`eWeY$)*cc0t#vx?berD#?$&D-WFx_4+j*YB;(5)!;RnD>ke0kF1ceLv8lQjK_%W)n;#upNs+7~Nc4`VE<8J*mTyaJ6#V*rU z?w0Z47IQx(qwl+ynGNZ!Vwka0M?M$fozR%?*>{!Kc75IG~*qQVux8j@C!txm=O@a7QmFiJ1MpzT#uH$h%EHnhDG zbraCKv7zl%Q~&{3gSJ|dL=0_de2BW@!-c2}03o7NEqN9Rd0q%2dcBJ7LgR8C93s*Sh+Sx+ zE&zmxia|0&I9!-Kj73kDfzKjJr+kP!@!>*L1%MFIB{mu2{|Xn>m_&OP(W#pckt;r2 zh?)QpB4W`*A>vKYkT0V1FpFLrBR-3$qk<0+6B{mwIS{r5LIh|Xyk+NqK&1H|H4YI~ z6MTqlvEjlc>H#2#ct@gXwBh711Ym(YrV5RsCa3=s|&bd1oW9nt8kj2#deV#5VB{Zm3jKbQoONa_FE z7ZLZ%qMf7h=V9shsmr4$pTuVoRnUBh9P!~oQ~-bw zktl}@@qdI16FqWHH@o0ZU-BVh;=_f=|3eUQRUio>k;oVFMIOy2d3+Yp%>W-FOMJKx z*?$lsAm_zfYlZeIVTdexvS=J4axy+druc9na{nMibS*%h#s3v9bo}CGQ|H8E=brz`@G0P$7w!9UGd>UT^X&e*hv->f`P5V7M@K zG5QOQm-;wF@ufbOpbCIz5h(Rh4k1Hiv$YwzNRPs#eeP!A#Xb&Fe6i0Zz7+s1HU453 zd8vVb;c^j3777{KM+qb$K_FSGNTMg!AE`Mw{HZ%KNFZc7Kq6T#?SdbDpRWU?_<|q3 zx+T1B;7kGqKbJ_|bp#EUhc7pJFqXbg@=Ja+lj2K$E;Zu^wK{OoL5&nPognq-b+({Q zoXIcx5lHbxKNop~kTq~7fuf&FZ2Iq*Nd^mdN?kUWLE9FA3lZHkQ#c2{Y$!kZT-Ubh`JHqYtoxsrJk2Hn^zzX5At|7i(p4bh5_?8P>BF0|)RiN5XJV0{9=piomddOTmQWT%N$3QbR)MmJxrrjQyrbmN3#(T(W zK3b8#W$nzdtACBu-~Rh%4&&i08cEC*K>?7|R z&aDGvYOrgkdU*#0fA3zKWj5tUO23zpsY$CBFPRxP^TIE)$g9|&AECb&-GA17+(4Tx zLsztp-!Q>5p~q&gBKxcRQoFCqEm6vN-E-`!{J`Jtw;bj;9~sy5#f&v&wyOP=%9em- zpR2!|H-7nhu3ld*|W$Y7du7&MV%#-&|Jc_^ST! zOtgPU`Tiy0D^GJT=nsaPUd5~IM^tL$+4fQi$Ex%rNZ_(XZ zT@`(xq}cde@1#Wwf>qDg$CNI9s9%)VXQS=)g508uyy%%_j?1$4pXVG`_Rr(yHP{B$ zn{2pXtbRG}lGl}*LuVLs52_e1wJnR<{qEws=LZyZ&JDk@E-A56BV@*!iIYc2r`QC) zxrUuOng4W9`rur*-5=^3*hQheLtJ|u@n2AyG%dJyRk}j9`sU(|dDBPT`4bg;a>M(2 z?xA82tkI`<5cyP7Fxf zJ^sn3k}JFVFSF8U8FFamY=yi!&AHMhhUX)kVhigtxJsItp;4+cmfYX_^iVj%!lJR! z>dj9LyLl&$sj-H;o%x|K?1ZMNMUKKu`}-%axxB0@RIv;2p5wZ`?)7q?uwF-O^%RRL z-Pa8@8t_a_ZhuUX?VIeenMO(+xcP_X7sG`;@fZ z)iyfyZSeJ&EkoRw4vzJDb~s_PqODx|pPwfarcM9QV*PM{)H}12ZUd)|e0OA5@b1zl zzqZ<)e4;M($mQX|4LW0tr+e-&)X^6Mi<|g#uXKOa8V6F{jm}*@yQX@h2&w7oQo^^b_jVG6VRM-iEoEM)*#IpS5)vp zwg!pExT1m&U>Kloz!|0gmJL-!z%W4Fz$L!s0S$x1V_eZ^Imp%^@fer*EC*-}P&aTz z-`4=F0qO=W`l~3W1V0j!${SI^2Vf0QH*iG-AHXm`-M|$Ud;r4$bpuya@If91iO0C2 zf)8LAARgn23O;~g2oPx|MFk(gFhD%U6%~8{!_di+Y>iMmL(YX?W{`(bdI=;R5?@XLtwGzX=o1L!1%Sk3T;dZ5kTpm=#wCgzz+uq#Dymli zKtkIqQLg}5!()vcaH84+vbp~;j^HO9RVk*8SY{M4bcqd`iYKn9_<)QNQao{quM2cQ zM4~K2RCZDEgzgDA6xX1l;sXF8bPY;;Tc86XR~ucj^JsSPq4ObM@q|O9xC9jyACMtJ ziYFcdw=v^iZ@XzKo_M0-0{|lMVn2`grhqU+4(*7hsd(aviVpyYz~v{8_$>lq zh(27Bs6MB%CM|?>@2qL;Y zrken1DxP?v;sf$5LW(CI@f`tSi1fRfX)2zOR)A_2k*=9^{NO?21HLb!D+AiINKf&^ z6BQo-vj`}j@S}y0e+bVa{cxeFc;bnQ4*-ZLVh(AL_@Cwjua7%p@qfVM{b%LAmX5tWxh!#W}c ziJs6iGe{didmhm$($GBdMAZi5#fCIbJV?w3x-5!%UsP)VU<4#jJfha% z-#j9RL>+guFC{u8PY9%V$rEZ-5neTDv5~x)yx0Wh4y1q}K?ILax#92aOr9*S^X~&e z3_RMH=;Ncby#!U*40OFnA(i4$Q+|+Cs^fK_PLy+01z%|TAI}P*2iwsM@}Cvr@wr0b zXN7nK8t|V2gu|wx0I7_#T7CpQEA+HYvBm1ts3t!Tj~`mMyf`OZ_6)!EenVpS_0h~! z2g!-7ziZB0DO}mgb9k6GKJxzTilxb6mdQ7CtumUo3|7xtf2F&Oq?GhPT`j5pc9UOK z&CBR6>yW8mEY+4JGq=0fdVQsovCnHlUhN!Fdi?9}PZKLkE^i!A5LtU7Ie@#sbeODT zw=-6}$OCN$%oyQ4-EZqP|JdpotfG?9Fkxw1)(F{%xl!BB_TE0-{o(4fBlca=Qon2` zH+h@cS|w?=^qPn?ru0F_Z#x{)=ad#zTI`?TY3JS z=TFirDDMX;!Jz-xI@<&w8)5 zEH3V6pjpozW^j!PQ;Nsu%}&vJ&dev&xn|PK=b;eRtnjtPD>w zJQK^EdcghI?Yn_P9n5CmFBuv&`exPTYKf58->z#CCP>&9`}?*oHFzFyV_=_i*G|uu z*9x9?r~chAukP;`PZ}~brFToi{2h#;vplz)NVH@;?9r$3Uf&76?Mv$}DIa{<(0sM+ zYUGR^Pro?E?K@u*5Zn}1=zY9TVg44C^c8ah!%TG|?i_viNa>Q?U9T(e^jcS~%s8xh zdFFEWjpw#kq`y3>cSm|znuXczWt<^y-zuI(KX?3bqPTzU9aHvN!&7PXZUq7TH)-#D zq5JcFH-Gc?vIideZwGKs6_@u3XjvN=8o$>lJj`k7u$qYxTEn%1vnwmNWqTi8@H@T4 zB*^htVDm^;ljmq7H`|hK!>1ZJ`0dXhwCKsM!i1r5S6A9by(|A%Wbdi@;r-myp3{0x zI5jdT_RbQuwXg2BZrnLDZ@czxi}J6dW=y=YyT;?Ytjmzoe?6YoF6AZpRy}?0TY2*3 zqnV#;cgjrut>)+PjJfXF`tz4q8{%Yk9)0?KZDDv;?~fL(wS_N(cQ4)XGFN~4c$-o; z&1?I%ev^CN-{6z+-M{U{ZF^K+-e}&H(f`EuBdz^js3fT?7+25JwT_H)%{==!K0r?Y zO_++(7|q(BZj*Ox481kO@9XCHF>^w0e9vBS>(Ke%w>-v##a{opZs?A7hf$yX+efQJ zZr>ao+SXWCUbnP8-1&B0s}^?q{qxw74?{SENQm)7^$oPg5e|i_3(4c)bAs>@bdIXv zaOZ1&=wUw|Ul~Ejzy#4lX9m`z^RA!5?A!8Jb^Bdtwkyk$8DZ{~@cQ^6Yr}p+ zFU;+0U7^evWIf`t|EkB1kCSc&2C<*c8&)@USfA@fyOv9)%B)X~owxaQ;;><9W1>H& zk5t+vf5R+T=_Xt4_wm`6w3Fl)C}>v4Z5cn%eWXn6;dAHD`qn2qWHm|mIoy2pVc1aF z13w#Y*1WNvsByc;jvw!2A6+hTvrkjXNy&?BS~%cw@kg^-cfB0!LK3 zK^_JMj_6w*eY4-2jFGY8IZT zbORWM4!LA&gsWo|+oa`2-;4%^iBufGFa(HfjX=qR)}RFtm2Lpm(9Jp7nuv6RJPiNn z3VE3DzJJ16Eh^mrh5>pmo~U#K7zPE7sC0uoj1360P#YbwQUr#fVv;l^qS6gu z7<3mbs@wpEA?}`RjnYe?_w9M28Vs^E7TONU)+oJ%1zkCb$|=a!m{8yl2+}P|cXvp)-^P2+J%_!QcYDtJ`~Fk(Vaz$#v*wy(jAx9QrZk)< zxfcnuo?|7ZQyuu}yR-dufo0_IBo=8fK+wR|FB(*b{l|X4N;h9%@cUtT1LC=G0D~R#*g8mOW>x zfS3{oU)+zM7YWSjS{9GGf>8K<);<@HmlX~h+)9jI5cJucmZ5oqShr+HZSkR5q9K=U zbiAxZX;ec@r8jel^lf;Q`hZZdVBy4qIY;%!SNaD+X-_i(>~&hcZ#KheIa6!ApZNUs z!J-aow#jQA^>;<@>CN!e4ml3#l}mAR;a`MEr%rrZu&5=&QTIuHbCa0iX_YaOb%cm= z4D6|Z%uU7XYF`Eot7O{XOVb7Ht%im4F+6X>_V5_JtVpoW%}nj>uGMm|%o*kC#K`}i z#ey0|_sF_fSn{E|gMYD}&$Gfnt>->L4h5Pa!d7tbx^g5HWyXDc556vbj%YnOwND!H z>RSwaRG@5puEliY9@+h^vEeG$1q%;D*yO;JRL zYHA7R3#)~Ogdys=&hS`k4LWAwIh0fBM~G^{VcbnR)6U+N3Ff&P3cgKZS#{Iq7|o2K z`rWf>@7H0P-4yARqm2W~d8@OPUSp8l)DS4od8XA>F6?wpS?B`W$?O%>>+vJ>)V?f5 z63g(k21!rfB`y~yj}T{KOy<2UwUcLGT+NYTnCsz>Sp}Y*Wg~(i20ZGo^%PQ*+5vKh zufA9dGkl32rs7MRO|)+E)4_f*{Z#(Wiv6o}{3WYUwJjc{Fu8*FNeT|*%XYR_Nm-_- zZ#Kz7SRS+OVU*!61ZVNE$+pAJP!yMNNECG2IE3hgE;)26mh?Nl>dtbhqu;f&LZm>q zH^tH@@s&iF^F$O09>my$T_983@9UqGX?MdWwDeO}D-BW5vvUl17w<`{=BjWKQWbt^MM*|T&H2Z zM_@#Nx_?oVLT_hPNRg7NeWmz-Wry;q&I4=)6|PjJsDdiPWmE%R>~S@^RoG$GIdTOq zs`s=9=)r8x=51;MaXp{lB2yi{xd+?(_$_7*XQF?6ZpYFzXwX95k5y7?ELPw^0F%q{ zL8f|id_Hz9M_2v^KAJSvYrP3g?b&DgvP2;$Mz_uBiiC~@ z;E4i_GEcc@@FwoZF&@NB*^MzuP^nFe!34Ezq!I+5y4}&9LfIYM$5WZ@#Z;B)Q8Dj4 zxjE~@!&@pJZIU6hts9(}M(p^`FS_aGmwJU2blyQSwB!I8OE2;KG4+_VMlg6AH%7^{;cw*4MvT7pa`wdN*ty zvwTicMYX3d?UN_@yrb{2geCvI-V&s0*t8g~=e0e7O&W-&(@w$P6%4$!HckoRiLX1J zcj8)ozF98rRCSZ=y5^4)2ewf$SqYcB#|H~1YYWY1gr+S;0*U8N+`*69YNnkQ>cTCj z>NGU8y6ZKxP!e}SO$p!`DTgC6_QB;84(VSMpHy-tBEQeRuw@MiM)3ZQ zmA3X)CiAUyk31@~23MEhXlN+<>;p}tm31ouJPN7ar?Ib$J){0~jgWqL z2h#cFz9L+M>in{R>PY|d(UiDsIrPUL|LYR}J+8zk0s7V4KecNA#8>79myU7&)U81a zGI3v(j*+SZzyOZ?+~6uONEnc>%zae_24oE=$ixjU=z_F%>GMOJcdiP$fUI$Wa*U8L zFkczc+GSA>1njCd3&`4Kr9C7J%nO3F#sT2Hg6@vI>O29!0LmOdseGs~kV*t{kYHXA zq_xXS1tDQz$6EjxD8mH_13TUVzyPjB;s)0-LBfFUmE^vvV*+|^Yyd7cH@GAT(i$ts zoraj(RY?+%HCCYK2Cgmwum*AhA+14W2`eBBDoa=a^dsC?g+hRH1B5|k36NXDeN~5Z znbub4{;31{`=0mLzQCyDWMxRoC~RS2X@|t9Xs>7Y^Y60HElfeKjG;aVetCN$3rMkk znZsrI-%W-7N$uUD3F|QfzWs8XYJ?AOsvpw@@V>(u`cZdH_`~~<2_C6TR_nHOA@pm4 zuGjdv@+Qx$mKuaV@MY;Ncp(=piM~GW3m9NN67?xVcm?Ld z9O-1s+TQZ`;1x-=u9;g!Wi9dQh(#pkfL+#|JhuvXW+)}!>mBo`W$3-|qPj_C>`4Mv%rcWnDd5k6qs;ClR zo#}$^qj@$>Qo-v#gK>j8WCmvZ$7Z9&9dTMp-5cFV-I-FgRr*`52dK4FhgPJXpY9@T zG4u*&k`I-+pF5s*4lHz^h9p%EjyVWw3r}uN4~5034sntc+%GG#%ok1TG}OHRzRr88 zD)lz(`@Yer8+{=X5hIx;qOJ0n<1a%eJ>2^rJFJSO<%x69V>!uT`!3EIB)nl7OOu)< zKAcNOkzP+>6-9_vLn&)U%&Q|#E&^=ZpH#29Ih+>ncD;5E$F zsN14BDsP))xv;1VYdt$Rbcwhdm|8FjGn#Q?L9_Sb;B!zCm%p6*xcajfsIB?M=ZL*| zaOQ$K75J66w{?_5tp?}k z8BKccy-(fvzLOvgTS6Yc5>nBQ4)17Hw*zeG0iJ2p_+eQ2(o?%6EI*ZJ7#n7ObdJsN zV*1k*)W?>yo1a`pMuj<&GsMmfS|iFN5K}+)K9Pwv{N^YZT*)Es;uvk)TX~zyVo&*I z)6pYi0S4h!YZe#uOgTj6xTM74hVNR_2yV-G7-DLA{?m6gn0;u28 zC^;FsZ}5(6uSdC^m6(KIGhigWc&-PXww$hY)=+b(&w42??pr<4s#%l8 z+b)oP%|&ELO*(=@9Jq#@c9TL?-WH$Fwx~u-A;X&PXiT{D{eyMKxctZ#l>^jXiv#-D z-QLQ4>71YRI;?_{W6g+V#XUU6GqRC8wpK{8r*p@h(_9q=!=L=vDbWIEZL_%%oKeV zP#Ll=O&Jv|a>A^Aev`vRr+$VNM;_HASKg7U47b33)YG(4@S?x@dqLuMiB@C7VG{kt z;<)~~eu0lT?na|5ThR~>fukIo>G9?R*yC(rbh%;XWP(S_mHDz(K8oZ%kLb4Ao-R!1wi)v2NcBWfQmCHJDufS~VZR5Q3R*#1y(^50`-WWfS z+V;TGY&Oam3{@Rfc#PZdr50gqCi<3#^tPMlKpWqqI zI^su3DwOqx+i%d_c8ywWD5oJbp5IXHH6@PXY;qYEH%~vaz2)QW-#8 zTV-2C`GHr(u0YlR{XlTlDx|f`93tf0z#Rj~^BzErFgLjV6u=s2K#Jf5~;O^sZ z!oVE^NEql~4QUN3OD_9eK*GSXBakZz=)YePWP&oZ05H&_g|r5hC71o2AzxN zc7TLDH@LV5U~Yggs4QUz3bTQWdjPBf!l1H*9iVC$RO$g13xs&PfniWt!Umu~;s)=_ z0y#He7*v+9fu0crOThcG09XTrL1hUWP{0zrFAIP*Kp0e(umRM}bAyX}0IUJRpt6Jw zKoi6bF75%a1_*=B5&*dkH@LV5z#1S7Doa>_&Xb`2?tiN=0{V6X!=SQ+6`*4T_tgd~ zfYtzEP+4->*BRms02lW_t|TxFDoa>F<IvnqSo&Yuc921+gaO7~ zNuUCrQMdq-JfIfXPziI{MFWzcSKD|1y?wvHK_v_)z%>zAkgvyu8tDFJsLFEhRavT60M0yqSv;x{-A?<;;v4GqM*#VT# z%uEmoR!Dn*FD^ue6%q$Z_yCL(Dqq+^O8|g_$`^Kkzzt}AQ2D|JP-zdsLFLP3QwM-? zLgfn^D3}C*gUT1swUGcgh(s&Ib6^AL$8dRB0krp)6+n^+!a@Haftqtd&JVmP10+9L zLAA*MIH-I9Rq6uapz?(kw8aA0gUT1+EbZSP`y-IB<#L&RB_91#F$&6)f)@0WXn#+X zf`0V(U-n0)l!b+x=Ao?Co~q3&xK}OYX%sSvazqo2NaqG#}OC zBb!BwvUhw9?pB)5KUX!+O`_b&gSU>$I^h^1oXbW97(j#$*qT%%p~Wgak#H|bk=4pWTFAcB zkZ!SrJ;yD@+V=Ln>ChG;+nG0>Tf&%6Q#SV+(N3xfWyAVfwN=#_QO~QB*zG5cqNFy2Rhm@ev0gK1|t`PdZ-;&YSrf!DQbxWOP3>Vyi8~yp5>o!NpS4qS~oY zKc;3qUufQo$`~>9&D0FRi^3>7>eA+d;y2fPrUgb+7O<`8>~A#S=&wo!F^ZKDo=P<@W;FE4*!4;*=E0HgI&sS`x`;PZ)HYj&5rweO zkGs9^(jIUv%}Pl z$tsabh(Lrl+P5-mvGNbPCO>A)o<5#!avn~L{PD4%!VkQCw}(SJPdX(Aq8=k3J#Rrh zQHWTqRZM-6b9=g%t+`ILpNj75Q|eL~X5MRxAH547AY=AWuLY@K=4Y}hV<@G->-pp& zdOySGTH3RzLEDu?nbYInZ!7Bwddt!4PEKk}1UoCsG9mt^w;?#EZB%8`Xmwa_^5Jc2 z{so2x(oe$StIto1UX!Uj;+bvlm(uH%N5tv+x?kiqerNo94WqQLrlC`k!D6Y zQ7X=EU2L!XV5OYo_A-$WiZX4d=9?FC2Rogeu8IXia!DI#<_hc^+l07L>?g14lTBuK zW?{Y4zS_ER-XmCibrEUabgg{#)k5(~)-R2 zZFbX{hfgup2ve5|k{FBgCGU5-xjc~%cpfn6cny&eW4gKU`44l6amy~ea#Y;6Hk)jH zBtiQ564ag%FvldyFFDyJ^`jfAOM6B|$;Ql19bRv~!1L9Ui2s`L;j4MQ!?0}_s}bHV z;Zia#nu7hh-v({UL9u?a`Tn>zZ$b_~dT+l_2> zUkepJIT_!?qeJk$H_27uxHr;yD45%u5^y|M5S1^)H)yXQzHemUwzqgNS3R_qX@QR5 zS>n^4b4qysh-)w#4!rc87d889l5e9r>2-t7pw&*kQbBP$?_pHQg4W4t61XmTIYv z`$^iOSi0Na6{-3w|AiC@zL#wyK?pf~8clIYYvoDK;rST>Ta5aE#=RQNhUEQ+*I++h zx59Jmd7$c_d+f^oHcYwIy*@XX4d$_PKe~N$QZGL;GrptLaF{vP#htdU*TSgTTDKBP z!{1M-O7Td?Hto&{80@0$-XW5?<>j7R#9#ySWHwU4<4B=qsHcW!Z~%K0)8Hc8$5Y;V zXalpqWB&`WsP2dLhg-APHvPZA*`Z>)#U&Yc59c(LnVESn7{h8(xSBjffgkJcTP#>Y zrDs?iv`r2%xSQdKUv~b~=+ntKBP;6j(t1KI5l4EDrH-khkB#5PwC5O9UTC_Fjm3iQ zPiVjjY~{eQ+3Za7S^3yf|LKYGqo)tc8B-&lJeL)f4~|^?$Y)Nn^u3UpA@jOJiZWZJ zR!5b)o2^@QikFZK#X|Nlp9yhA(te#=n#(M?3T?nxj<52u|9znW*skVuN7DLE@7S4O zq+sNN;&W5`j};Mc#DVsWrWuRV7QPJEuQ$p}UnqT+juT@91`McA^}8x74UJ)a*!{7J8bf!_v@?Y?$&cBWE+X zTfss;OGN(jP-lSrBxXfhgbT}z742QAb!IuFbMyv6&jH@IHO>O5sX{M&7y~zHy-ak7 z-r7n!?N&PDyN6kdguZ>YqVZKUSrP^9c@U2EXM*;oT+LpX(OI~Nnd|1?pP4xdp*a;= z@A187AVxz$dlrO)OA=o0`0eQBUN{a_3&MK_Edy7f(OK&`n0{=u_@|dp=Be$M<&JgV ziB`xM{ndI!M`v$(Bllx7vQ?0pe~)drtHW{M)6z=VxlO;Q0q%JaHOhTS^_sqEuhM4Z z%Rlm&T>e{Hz~#T;;Aw!YjsN*?Xifpvj4L=nD%WlBEYg3M%t&QE_oOaEU}*31FyT9uN=^ltBXkLT3&;z-`Zq2x3vOhoUdKWC5?eMw|Lns=e-;5 zYf;Q<(ofTRYR4yu$;9I{9nsRw$}9-BD=VEVU-^@{x+c$Dw*o}@cg3iT@~ydeciWccjaJHV4LK; z4Tp2!AeP;EtTY;%q(gdKc_H<2W@Ns}_b?VqdHl@R(Y7wt=x_Ij@_IT%Ds1j_duac- z(JpmwP_R4E;eow{9o$0;4#P43edgTevEnn^x(odS_mB|5Uf1u)SZ6Sq-cR@fgffbb zD2d%2nk}%!vn3nF5{(|cg+E?T{(zi0c(+bgJki-8WtW4uhVez*y~zo;)S$<487qOP zLh1Vo$mS}@nnQYMb32bWeD1w?XCXbDaK~P;Yz&@iVD^i(R8s<4ax14ZU7REBgiQbR zhuEPHBHP0F)Gw4>yW**aWCo4MYO=GQWC)|5IlR`1ytB&{Gh2?XZF01)w7Dn3wtbIC z^CUZ%RDwPv8?8r&`rSfhj}-r|PTKKD_m21tg{|dITaFiHgAXI`AS9cGjyCOe`+egf zeUn?^@M-Drb~-=a0Tn-2LY{7bT0=df4;+hJDpO~brP9~I+fH@CX%^=V*?Z$biJ~en zin}y6lrowmW6lkuaw7G669X~{9~V}}ISS*j)J{@mQ*5?a#L2eOUHhrO_i78m%-Rat z)%Da43&Sr9pwm-COX2(K;Yp(Xc!HYbzbwYZqgy^U@nXvD1&tDFw^#Jns5AA4z5NCz z8pbNr!p#nqOSgRVlCMWObxg-CwWPe^6K`)zt5u0wd6AiWjY zFjyo7iFE$7gWMyzHKGJ}Plso@#?+wt)901MwaEMUF#NK(%a!`el|v~@?Ucu;d#+P& zMbI!qT*hn}I+?}@#SK*qm+P~15ue~opaK;6PTNyZgplN z*~@8>QbZ*OAuZO;qG`iFHkX;2;VS4k-1R?@4B6MV zvftto4fRxb^&rrL$Nf_{KgknN$?UGp7%$NSsl(!KhcmU|2`4o|lv_GAMX!gyz819D zI4;SFHyc~biT7sC6Br@HAn|2K`=FZ#7xVrTbJGv=++J_#Xn5m0No6>&#*GVyq=ztp zlJ_+4QDJ=>3wliOp5MYlLsni<)%#mvw%w_ybpua?-+ZC8+P;}(VV>Fh= zZJ%#nP4_W{BDKdDmY`AkJMK{()y|nw&U$%zsjN_-Cy76!xLkL9!;zxOnikr$_CjT! zOHQu~Ti$T#Qq%KXBsils--u$^l)jlxr><=@qB#?M2^CA?u{?h*Bxa-CFSSW42QTn7 zh@)z@whpA{G^(=~Y0nEd=JamlDp|Zjd3rDR&cwvbl)vZq+pzH0#7WkMn14)5T5Bh> z-OOW5$_|uD95KaWo})`;73GWVWIcP#`yp%L!8o6=4@&W>R1RIElI5&I8jp2u3`mTx zg-P(SKlq;P-m(7b!}#NFs|0S7QG9$NsUfdb)|)KWm0Vr7({6|o96PQ*dj52F?89#|=Cjfjnm2rw{;W3A$JuArrAsW}B_eYGBQoo(k#;s%jCCh^m`lipM*~IIC9Yhu7~v8CZPv;)#dP$!M9aM!=rgmTZwXxQElWocjT?PVMfROj#ct0ThGCRr zz`jX>Qy!neHPa_T@b#@ZI4w-q^IW0_-BO)*BfWd1$-j9ai`2|&`Q;>Lpw`nw?0;Lo zbc6fdz)!GL|GqITXS1Bx27J zAHv(=$#PY=JP;~G7N|#j$RQuE5Lc!3qrcjl6+<)dQ$xj|RsLFHgMCZGji~7QBXVg4oKM#(rL?Ib_?KS!IO- zj*fHWl`b}ODOzr^d|XKaIBulRJ*gX#bw*qLzUhgld|QaF^GiAK*{Pp=ZpP5Y&|6+F z`Tp&}RtSa@idq%An!b|hcMiDqkB+Q-qC4d*o$EqlZ6~uB!VAM`ta!W+nA2j&t`{W2-lD^-RhQnhE zHLus&vl75@Ev>43a~iQ43vXi*3DG>^KYl5_NH+SloTx zj=^<}7pa17nez;7ZlHgx;+D_WDV?Jok{|lrRr_Vbj|89Kuy4(-KRH6kJkVV>0OjK>^{OBr)%V-RA=k#f6Exg-BZWizNGRv_ z+5C3Khg4o%Js!H-DC{8wcrt4(g3tE1k2GIs}Mz$ zmwrftoJYX$g!5^*g`MT=Z$$~BRL%Ib-0vjOn(xs_7Km99TfB#((HNSP4Cu-Bt9bMh zZ?``#X;56!LPB8f1ZfLD=@_3zfitYaNlFYAE0yx`tCX1V%B*kW-*X-6snH$?@$$4q zj-V|x7-4*8Gvz~H&<}0T=iTQzvf(1`soNJHl}PPL&RThhJ#WHfCzMA)y4uIYGf^24 zkryiW0oBDML__7?-SWpWMSXKbTzM5__ZLa=?=6*|d{W|2j}Pp_&bEn=4)i!p)u(yl zsHB`noa{3{TWO{6bo|AAefubb%H1(+7VVZ-u+K=+-)_5G)fwH_-g;c!-}nLsVIxM; z>Y`#SYhH9xL;EHl-qTP!H&!bmOwRPtsD_7;Bigx78zx_`tYg9}QC^RBk)ZF$E8tsk z%H_OvG6|kiY{$B zqdK~5yzC;WPf<#WKY1B*ed%!G`|uai9gfMur@1k_iuw1ARSC$@qEO9St9vfAhnQ7y zBB}bR()W@n1{eyR?9WaP^H-eYtmN0l+|tspTJ}2*XA!(Mk5_w<2eeSIZ`jDfk`RPh z)hoqBIP2!})oW3ug@#YGg<;ay1iSl0-Ryt6Mh9#4xq&X^r8C`1IiE@yyW#{>1E!{M z1RQk)HB$x2sgZ-wvJvm1g51;R2J$Y%RcSfZzO^rL1+{$#aWE>3I2tz?KUAD)9rp{` z#^T^O)T|1q;-wuw%XE_0wmcTJ$jQPjlwFmodQ5CV# z1p5d>dQZ*I^Hxj*2>k+($Yuh&2IUc2-mFNwndZFNrc63NK`FTirKM7|Tvaq-f82-7 zBR3#*u~0<|UR;txEOWs$Q{I#Ea`~7~RQKVJapzYJwV*wItaNS0pzWa&T)JE^VILon$_jwK? z$KNXMi+}zDk?ESH=hsT0$o7@qjsO2ewn5D~SV3{_pQ`YG7Uu?+c7uM&PkjR^;}f-~ zKO+>Rz&bhbOE-alfMHH>c{dOcP|68X%3e{$0s#R;xXeu85^n$?Ctx@R{PIm8AgFZ+ zWXK6z<_!Sk07;4gfDn;9h{tgW0zyIrfgzAwfWj&8TQ~v6`U@aLBoERez#W&M=K&GP zg9L))PLQhuuK5NUDlqVOr~?$|ywd6Q(Pb*>XBOxe5xM`0gMNnOerm?AhUBg^d;B9L z$IkIPIw8BqGPVg!=yhwV>li%3*dj06v$J<`jaTD>AKFUUJ`&>SxF(I!NxqFPqCSR;{^ch$y?&_ye$;VR~Ch{b;)_v2$o zSub38DX&)fmcj{1?_MK~A$a%&IOO82Mfllh+wCZQ`gzQq5;yUMHb-x#ZD->zfaPea0n+Z8)qU#HVB|ibIO*Pqho?Z=Sw$ z)wQJR4co|^EK+!zWYiG0aoEYt;_a_EV_A;Z`mwWl){)Ow4ZlyWUhQd|MN71{WFd=V z$@#PEOGl=U2l1K(`BSc)Su&vWa*T?Y=d{~#!C^;`7&1;flt-~|StpImNpf6t}rGf6v}rzPSI{Vt)DJ{t-X_ z&x?Dxv4Op~f8VnHtML1+=Gvj%7NF+ZAkPTPR`xwMA$9p2MJXKI&717lI31oDR5zRL z7bq9@*9c#f_Ma_x_VwGS8$Uzn=<~+KHE2m?dr)YXUY5EbLGE4oy2Z@Y|At6IMXbeK zoY5PEB+NJg+jgY5^<2@UU9|gLDyb_cUpVHl=s5(Y(On7d_lz)2FKca=du3#Ow)mc|7Om6D{ra?95eEA!nHU*s2zj+X zg)>SA@XHzdGGe~-xraq4es)}``0xj)m3`8o;CBFyfn@i8`$j|M*nb<1{R1|El)is6 zaha6?X}-bO1XO?y`fuQQIRGG#BpI~nL$wH0O%AdM{xyfR2-Jfc1O)$>0{{VaHJoIqzJ5EAODa)643AWi|y;FT7j-vmwo4$vVJ z00^Bq8~~M0AcN4E!vQ1`X9h2}02(S#@|hXD+5!j&dSn1|gw7nGs#nk)p)-das8E9$ zyx;<0sO&)LZe~z<-qrIw81!~tp~Ii%FWL3?BL)XZd-9LWgDNL3yPC7Ju|hF_g;T#W&;H-O6~8%q z(B8oU`qr_tutPC_Wpnz&{LiY7zt8^iD$0K{dp6LajRmx~gN6;o{1ux1$~?z^dk+5I z>@P(?ETAX=8y7be^H<3JEAxMq#{8yvklcs`L|`^fXw0pJQBcJB--58;G!N?4!vgvqu(3m9{*@j4kJ&@K_pG3w{5JJKAs2Q~ zJ<{dw0lIkNe?R*x9gu!rvIja0Ld^cJdeq;XJ?QYo3OYZqG5>GoGhL}L0(je&%7Xx$v`i&Z*D5ZyrV@y~zr>Zq+UFc-(Z-@?6kaQbS z7(~al5E1l|9|YG+s2~c~%fHZ|K{w($lIh5nr1^y zJ?QJdsNGRP6vwqk?7egQH4>_>(3gFBtI9;;kOm(Y^DkKp_@zbu9R|UzD^Nk7+S+gV14bA~HVP*tm{EUB>@^y;+G`nvc& ze(F7M5j|Hn;M7Bk({D}BG*E6uHz~D! zDNh-HE7j7rZ(+NO-77Ji>HPlQsWSoc70kkCVG=kN^TKG!FiAN$n5o^i6A#!hcT?X5xcY{ zQiX}q1P70Y{9D3z56)r(vWpnu?Jd8oGo3h_R+X!g%yG@Eqi?U@oiFgV#FBWoYwcGT zd@(>9>Gm|1#4A~FDd)6iU3h(u!k+)Iq->LLHk3g#XfUTJ?Va`P95Kdi?hDEvNKDs? zx3YtQ{Kuc+wg0v+wXxJ!Gz2w3Wqc$iLCUCP=x9d@+7ToyE$n_?Y$ov!+E@P*4--QB z4RDqLXmJL5tFL^FE?rZI&5#Aq!@PR!Eg%rs!-UX&Ls|rCs|FhCl`jXNMW9w^AmEif z9}vh2B#LB)(0)S>6?EnFA72X4<1(}`xFiC|6}oc10<_2i&^QYOgz)zu9|u5#H4yO1 zkqXcvU{Zt`Li-Il$IDBw|MNAxGDqml;RNUu0vamBnH^$|fErb12< z9IqUs0V&4`B*kZj(0)T&91F{I6IqX0c^OyG#gL&T&lw$`HVl#uzb!v?rnK}f$LfviAf^UM&^Z%E+f-IS0!z?DoB@Eo}S znrwmMHow6fP~Hi^;&1FZK-o0rpK0d*{Wv&*$_77xQ^Y_mc z_+^7Y2c7>$d#(VXZ#sXui&ucqH=SPqp;PAHjk3}~u!qSeJ9@ov5J29*KeQaZPmPI#k zLV%t{Vm>%77O&IdaC&m~$40z6|NTwJDvcGfmYYAE?cHv#Y>hrUsMFdC9Q-h*xG`*~ zT(VX*I(Y29wd#9beNW!SPmyCzRn>BY^HB8*)_|u}MBGBySZ}zQ;)_$=29K$Q*1-YU z68TKMxhLvHFP4S}QbA2Es?tR{^ED?gDvun46yIXUyZM%Th$uxBKEMuO)72iCKx^kf z)_-iI-+6#s^Gcwj`JrPDs=RW4{T+|eQgRmA5iv>8u)4XJ<^v^0_K)kA5POcE^$OFZlI8JEkxyr1bV3VBT}ALxVEWVd zN4XLvP6yGxFyp$K=7FEzsJ!|7NVl3x1}&;J{dG+ar)T2OeKXE#lk8g_e0!~p9Bm!j z=3hQxc#qy&1d;)m2 z-bxQKWp(oiEU$BH@x49p?eC%_FX@sfX620GmlTsYYTlAZM=C_T_9KEJikU*61-SCA6jE1scqCB zhwr+NF4PDk5y*DqsWN^L{mRgS5T^?1w*%G-o9VY-KUXETkM$mPic>0*rLnr*ug^T( z$vo@bPuPj+o#Y&1l-48Lj}wTQ`igLT`vPY#VO!8+N~1nO>Y`+F=uI|O+ox1XA2!EU z3NcHQx!ASvvOND=LKHC4_Qe{8*@GG~@(*7H*l|3v=J@)&L)n9)GdzbcI@jkD(slq_ zNnrR}skMe)%Y4BL_x%gxFLzQ*N2CLXb1H&RC~wdic41@{&40kDZS2P6DPYsPKKm&S ziAVvyel9?5^qb9Bedd;QoB4!Fa~EgJG1?!tQ?kd}+Z$b+%#BP0$3~!epzTnfF)nk? zFutv|%qJnFG2nRDJAb&RbrJQW(p~WaXOi=4uYQ4_MilMnLrh9mqJHGWmWYa(3#F{! zJ`S6DjeN{k8vH$s+wNwb^p1k;N5~Q~54=u8uIrGuM~3q$c#yGRbC3mlARbOw!xi4c zBgnTBHA_P|qkEOhMdZgsOj_3;*LQQFTT)EnC4Q;iXS8A$d_(5pyMkNI)`@cEvFjaE zyH$B9gk9-*%Q?$;(>=bW)wm52y({Ij&?sdl);o`z(x1&z8} zHEq-{6}-RSU!KtPUVm>MBXmPV2Wg;Is;yMfGfgmv8bLvoVrSB*E6Jk z;oue}j-k_y`j|e%7X!0hReUq3u6&NFktr`?KCWBGeP30w7_QY%nDs2&<_~6yy-?AnDc+)w4QPSniw(tENK?(U?y z0lO#LkX;0qULR9(A5(h6X zxJq&`agg^7XWjuZ5(9~8sD-8@Hmr=*rtW7ebwUe*19%t&>IiL4f|@(EPu0xOTpV6@ zwj!~uC5p=NjOocl8*!f{GX-&);M_%3_(W6BHF@uvn zzUouei#Z(2VZm3n?y+gy#+LVa?rcf+=MQhHq*EB5E$G)6w6-L14CHj7jVadIU;lcG zcaX_!Zbpi$=WYBolPWA0gtx-WG^+>jJ z!gSg%U@^x%vhP*ERC~l{Ha^cd^zWXPn`RHZN$#k=bjp9c6P9D&otGbcr-J7cU$}t6 z-DLc8_It~aIQn&>HPY}|uJv)oviZ!r_JQuV`0PnS^%x4?HWtV9AxKaUE!{Ii!{}!q z@s(xr*xAJm5ml=uZ&ma~bljLcrE~G1^-|;Ye(x!Y{M=6j8Tp-Vw!e80!r**N5p!mF z)3tDv+T*bZ9JeaZnKr_sd(P~+RWaeRb2q++n*>MTKA-JNJ)%WFe?6nwf8;Er#f!D} zMQ7~#X{h4KZo?+pqo&i+&Bik>l;F2`(RP9#sUA}@Q}sQGjq>FVDtbxvcn=2emdj0N zNpE{9vwD>$PiODpbeqEQ)vOo|8T7T>{t_gFU8U_W5%%G0T_q7-2bw3|7cCAY?UbHM z(~uOCUD3`eOxU;1OV0WWFH2?@vPSJ+g%Ih~_&)3$>}>JjsN?n%Z^NRktyGVhWRvnL z!%%TiG)RpL>uGU~ep$)PDjSuI|J?E+!|SNKan43EY{&Egy&10B1rFjtBGx%X-s0rV z=bZ|{I|pWOTQxnsw7WJgDwF~^%~)Y~S|S+GENm63XT}cA-Bvmk!VZ%VB%k``8)bh< zhC`UKna|v+Ij&m|h~>ReRNu7Fml3J8cdWPgZusf-pc{{Grn1XLWL>9dB9Oq2FL)eG z{6s&GWg=cDgF=r2r}puuZ{n2nUp!4}g7lDnG@g z-@B;S939OQYIDk;p61XGe*W5hv{F}`WP7rZP5+j;IA6MF$Acuunj}a`J==ewo`s$p z!>~8j$3~h-2FdU{p(QNMhqL|zQ)jB;0uG}0TDI;MN(OmdiqV`ViRcN}^Oer{2-|eS z4azDd6sbe^}RFpw$Mx%m2Po= zk)OuLr;KbnE_`|RRL}QPlr!)&rMOBYBw;3TOj5|uvaZn!TKYah+9g}7aZjG)+yC)i zwc6q{6Uy10u%tl$Z@Xw!4(m#kLLV=F3{!~TlcKfD^81u}vlG*;h8LfQI3qtS1}=JQ zOl4wT#yfGR?cKTrF`TvAM$esFWGA_JF~PyO&2w8aWyLC2P4HL?TE5;G1w>D`rw3dU%X)lES~Su-sq0 zHR=7X%=>A=X4kww{$$&I!MPOFuZyWh4>WBKwpux#azw692U#RI@0j})$h&4HF3ICi zB@*p@XUXZWg&+5-2%=z~)|(1fEUQ2o4?GKp!`_q6EA+hRqALHqcD+6I2gRprTh9&N z0ma>~Nc5oUw*Eof{mQkGpuhaB9Si7h?3eDqPn`e~$SwKJ8%RJ9NS_Wo+Yc-O1HU31 zGs6e1t2@9mm4PtS) zKyf#SKoSe2%ncF<6uV@Bl(|6w0o7_OkTN$&AW#H~1ybe)0R)UdT}mOKtt!x!=*%pT zGB-$zK+ymeNSPZX5a3D&kVWXs;Q)LbkTN$2i|n9{0+IlbGB-#dkOYhcQsxE;1PYh4 zfM3`O@FKH;UUq;u(7#r{077TZFM!a$R=)uLTjqfF zlYhCY|CTvdy88U1=lE}#bETo)Ke2dKQuPm*b0r}Bk1YOM=3J4A{3DD1mN@|Oj^Eol z0OTFN3H-Nv4uHJlH!c3#JqJME@p~2ljkazs1#ed5jP#NUE+j9Wq9S}nW z%3rbo$U7i_K=&tHex{)g6)4xv0wC{zum}hQkazqh@Hf6zfQthl?|`rfxHtgv4hSHy z#ou5Ku*KhC4v@Tq1wh^bF;rmSZ|ph1z~5jFFz|9N;*u*Ce}g&ytxCB9gw7m5A;RTV zQ-34Cp9KNn)BH=2_#29Rc2HP~6BKs3?3v5S%Jn}h0$8s0GWdUE9~8jh0L3UTuVLh5 zf@c597Wn_fJ{!n32S~=m4%z`ZxS62L|8lASyOaLP{$FqX@2o$_lV=0HE$pC!0UP)K zE{9>cDt-BXV;@um1X9|8Rsl4M|2g~r2uuE){iP`7KPtgriBkS7!nhQruz>Eo0;~B3 z-QV)J#r#v0!otP=8={n8RW;i&2~78;g4hR4;-Sn4`RkEpQnZ!pQFMB*5$Mp+Z$`9v zUBkJS?&xvu&X0g9EjOr~!8F0o??&RafwSdag=03w082MQZa^0F%0P-rO`Sd&dy6y3 z;Un{Hv)$4*+*H<#;#lLry9zBF4>U&Rei+FJG6ofR&g)f%HZmQRP2MpdTH4RgJIoWr zTF>7+IjGs_%rN?BsIXDBK#~v^R3=@C7_GNPX_#QTedKz$pw*6mC-q{$0?km{?9^7h za4f@6d(>!Zv6aHHWGa5umHv%soI%6HMBw>jz8E5FG$-uqgnm3XS$5mH;cr}&hOblD z9o>e#XT&J`oz3X&$dI5&xw@D_2WC>?9C`m@+S74$m}jQfFz}J5KaF-9w)^?m zdf>uh?{|B?xD3?p)icCJZnMYHn%e76)Jk?&luE~H=!QkDek?40o*1Cs?H|}@?`!Im z>>WK9K}t3((tn}8*`Zi6$dAU8ce(Y5;0kIVeR^^YpeknfT@aTfe=Z z5v~39x=8ArhOV4pCx+@r=F`eEi*zwALXhiBqwAl7sQJFaoBdLpfPVZ zXaRQ?yM(UFTs@gj2m!5OeB<7tRN@nT(S}El?!%dL?z$o3FS$gTHgvpG3>#FNKN~o} z;bbKvL~3BFrgBU)n(Mq*B)?^Z0*mJMemIj+tyr)=|b@gXwijvlxWyE4*+|BtyhkEe2b|NqT%W>V%MWFGe3 z$WX=*%3LI~GG{I!Gm&{7Gi9DbAwr2VW}c^rj73yP-@QBUb56QfZ~Z>M&-d~8{&&vl zyt?jT-D|JwwVv0tG&rV~pB?NkB&4j=lcbmjUcL4G{Yx@&l3WR8I@_iadwSY0_kxtL z3S{}>62C-t+6-8T++AqX)Q(h{E|_L-G?HkZi?yZ>IBoJOULJ@trU9%aT7{&70iq6O+8u~MBm4@&Yn4V$(>hI zT;TnVUVLE>1J0QjI9S0k($GHp0)K@nDk(YFMztP~zAG*vOZuz$K~F{D6Fs zGzzD*N|(-nXnRow&mO^S+y1*%YXOT|l(TL(Q!B&kA8L$LozIDJ*qwHl&*TXFxD@|% zC4@D^;levCLhGS}7UNRJ7RDHh2m)em{mGRd<@kw)pnI@qgWzw4!eKCv3Nhuj> z7o4SOFSp%9+ZOzu7RyZ9)XxUGenC4DBU*#QtQhSb)ZH(Z6n!M0+U3>-d5gZQ#!UHO zm|3iM*VZc|(?8pA^-F(6-k1uR;-mSMranLU`$XmqCEb&3LIGDDBsY!s%S=Tn0{9NGKM!dFbB}RM^A-d>h z;C9+gQ>4=XKgt6A?Q%qLU?%2P`rMdn;LOm??{CNgwjQ3#4^~PxXHh=zw&-?!Y{KiF z@<35yy25Pfa@K~*#^@`?bc9{~oy(2Ox)r@KNya)9+iodT+R-A8uDq1GxN%r#Wg6;IzK*^~T9nNWE3)bD8$j4u)CCj`Q=?!( z=`IVUG`T#^o+sZqCd(SW6w#~_X z3-rP0vF)cNL?J>!PAxSnl_Y7Y4DSkqS7z4g`#SM_lmbWW&bDGoWATA%e+ir`cj zI^T&)$~By|iK!9C8b1=h>)#EdJMr$}hMPmTT@g<7;2l@1NXobEp_GO6tjci)Q;TI9 zEp0Ftw9mUD-=Dlvit)YWszVWEY#+Ii#3mHyFv4%ZbJfOztf%V1yIys^A(o_^#>qV~ z#@(o_t^BLUQir)_HI^NS<>GR5;Gt*6R4Nu>y+OU7Ekv`*tTod;8&n12$}b09o?Wea z_`;F?dg8Fz)2kdOcKG#jO&($>(O{p$^OivbC!%qYT1;vy$+&&So48$TqVLoOA(Lk>kGph8eP44D<~oX6@tzo5{4oYP$9_u5r%dGf(V8F zY3K3tAcrCnP$9Cg4)Aae*%rX5LkQ%KqY90W8UR9|Vk==NA_2Kn)MWy$70O6Jl>hcx z`T6NU8Hqm?9zAsaZLe}D;vY;pz!&-J8O(sG{NIi$haw*RbM+hHA>#}<0z`pRzz@#k zZ_np9zpOwZl#zhE4g{#kU-;L9m;n#xH^QI&B=DsQgNllUe?5vB5b-y{qel)LH0k{1 zhW-6ie>iDBJlx+pY5ytdLOE%|KlqA6Z1+u@2V@0wiU zwo>Yr6F_f#bYZ+AV($h0gUe}GMjE`86irA@-%#WV-(ATgKs%*TGcMIou0noJ(oTDl z>*nRI?$UM9;<^(-1QzI6kvGvT`7*R0EvzQAuC5B96NfJF;OccVhcl@eznNL5I^ZSP zy`4OHN8-aGOZa9}eQjd{VXI#-wue=y%V1H~bTt8=3*qCEYt@yOTI0=b1{)^>^7iR|@AAm0*-Cl`hH**ky_WBCl^+<)&Fk$CFhUL+;ZFptlW|L^> zccJE+_U%__qI*71VN|~^)$B42d&lE4-p;UWm3?N(IeWLTInPRSK)lM4TfbUbe6KJP zPI+>2>J$BmXFA)|u|2XIi#73sI9^{^cFgpZHUrpbz8CKkGe|U?j`cI^P7=syr%K;P zOVha7P0(<9wDLWp2hI(%yzt##f{`T#wi5$>*G`V+T@#SmSk(~4dlKL+8(o2SmnA-j z8lzb>bpJLT*3??*_U##4cwv39mcdC1!{>rZ7(uMfHwMk^I6~jjJdW1))=6WRVo$?D3P`N}~%;mcl)MH9woX}eWKXbXT^hQz1D}u#U;$zMcgM!x+g@?aNL|lF$ zoEW6yKVfxJ?2E&4$~{>tH{N}o4^@n}m^#R11n(BR5A<^j*#s1H&$#20mt>L*O;CF( z!jryZ)p5Goe)j2n{^YUa9TS@4Jjz(7JBwR7tmFe1(lG=hX16Ym+E~AxeZtcIWn#qc zMVdd1SeV+sE%GHI=Q!(!DPqe`S&P0FR$OWOfz%N6I?t{AmBL%6sk70}4K{1MDs7S2 zN5e~=u#1zk$`^3Cz=NZ`5u|5dH0Z84rL-=Wy3nqNxUKN+;^y^r*JG_t8zpf(MP0A8 z2w_@&`YCQ^l*=!!Zp!<=xl+$rnlSvoHDW0h>KJnYeC zT9G6yS-bZz3o&ER%&#PMmba<&iAv8gQ&upxT{}-1hI}fLuV@~e@43=)(PRVDf#_aa z<#JJ&^GTvEEqb`3+`at9H|FM>)pBDMr|zq|#C}s$7(VaoTh^oWs`wjEY>|Pix9u-Mrp7a21v&(|Bg2l#GZ}rsNM^agz8=K+ktKPiWE_^#o zqD@Z7xJj%+5W&4nohci$d7z%K=QDT4B}3#ZIW|M^jJ%p0K}EK71g|s;+{C(x^CX5$ zzxMgmfUFJ*3O{v^h@`<2*w$t}w@ZTG*f3e;FIZH^aKi<6EoNHL)}uYvQctQUQt8yQRrin>=lz-}L>EU4G5H3wA79T# zqiVOt@$U1)zFP}4HsuZs7dkITw_y$~y7xbp!YH;DtzR*JwxX8LPjET*BiXf>OGX#O z>96Lo=HZ#LcpJ~DtiJeq?9LT^s-3y5o%YkB=XLHbP)89b_}?4AR}&=koxjY1ka1#m zEE{O;7GQ6%7-4z!xWQA}RY0@Q)4HvtE5VER&fY+K0~JTYz`J-w$LG{;?v9v(hIY=w z&)9D(`NbAnbI0yxehYt8^N=-lq|(9Kjn}+jWelN5;Hmq~U#2dwY+TueF+%dW>-p4J zmE#Ws57L-u16%0EIdNdLHy_JJ=kR8q7AEaC_f*D`gpWLmVB@Z%iQ=KGHAL3@!0clC{`5A1cTOG%>R72tJ~{r7zpHK%XOe?5LS z?CEzVw==e(cU55?pT!oJh6iNjramqfIr8u0t<^udrCCfNryx@KJ&!4^{rgya{3|hw zd*9B61Y1(HjB}bujjpfZ#Mpmfyp9da*L}Ut&Y{doT9xV zYD#0B8P-ay57InuEM3ipIi1feq8-Z|(>wm1ewOay&Bm|aI{bPLybkE)?G+#S7JGX= z`<9}z=jiIT-m-mLF!XsUnV~}NQt}D%>xm>~+vRk5BV1pMM;jR8vw8A*o>$(H&(V}H#&PBEpx+5aGa(USNRR^XaE_jHfIVr1p=c%~qA;kiM;MA`LWRiwL(oe_ z0%=D*9AV^h00@sBIjAWVAUt~H2qQ~z0K%h34r<2$AcWd0zYcOCa zc?5Z2y87Q3~jyjr^2I$&cD52f4)a3nhA;c z8{yGIM+DTf3)RQzsL$g!!lQ={40$`yF$YC6A@?W>YW5`zMKd8n1aOo?TW>*ypo0NW zy?2j#I0&%t&;a<0K0}q(9bT4+AeS|NJ)b|I-;mpA$Ro3vgptn_Ch*_!v%ie5zkd)= zrrBSH;_pnezmc;arrD1kUWZMyL(RGVW11lw6aI;5hQ!b8#}!DuhRbL^-Lq;v_IYMd z>Qpej=nZmKojsF19~ND5O$LkzQJl@U;&(n&^0MOaIF=>ZhkvtBoGo|Xnhk8pG*E9h zUhu(1Hz$2bbh37=Y|2*Go)0sle6q~sQitFNWQXRU-Uws;xhTv7d(G{w@;VoqOzYv# z5{nPGVpPXZX~*m0E`^hq7S>qrR&}fp@Ht&ETif;8b6D66z7*kf^EqD4hWg9Aq7~Zi z^~9bT+Zcu|wOoT~#V5tIPwbXuV`5Le4!paP#lJ9SZoGQcz;>o+KmD4vA%kHb(S#W?(p}M| zOh{z7g4<#VQ_IMYbyOR#Jy=;2kznq`C%LLDV;;9vTbgr)HbQm$}FtM4_JvA$$q`niFU(` zyTc%J^Mf9yU4IT!x#TZT%m%QrDJEx1(FstLQkO)X)5r$cI@4X*jS@re5 zKPuU)Y};oT>E=y9Ryf#e`Fyi9u9d-6NOf1|&bYZ?CQJH>OYCti-$ZW}CM}?`-4Zqn z?>}bt)vL=tdp~VQ9@il3WM7sDpBBe;wbqWD@FDXl7a`4Xv`>6g-OSSq@>7zfnA6Nd z?kSHLPUZ^5JA~Q1=1SQ~lqM|AV6dRr#$yjt&Qpu$sEFRO;oO}>hv(tDDmA`W=}43_MV*Sz#xD0H z)-iT>tTyV5=;ICcvsy|HA6ese?WV+ZwK+&Czit{J_OiUnON~QiD+Pw_#fmVaC;91_ zN$1KI){F{hqXpc_v9A>D)p6oy`<-}6rg{EDq2z|Pyw`E0i{qm+3RU+1CYmTtJ zrACsixR?qjf8yuKx0T`QFvI(&b_X@ON6Sm5&Z5J(M_=$5xLC-?QH6eh4>T&26x9^E zkNBu++jBHuMyqeTeyru1>wAanqWEOBLM=O^z0cyS5wWzPCYXugk(9P27p@ZG`CSw^ z$9(Q9Rp;Ohw()88+kH|x?<)$5>V_jRtra*@lo!*}rT24>7u>IC?B99+rkH&v^`3Hu zSia@1=K0Pqwr}WH4g^*a5pE4}PH$klR`)}AZwhO(N4#tGKa&`6=2GSmi%l#>UizdF ze1e3lq*_g#BWW3TjM#p5qKnpn<= zrj`-477A-?X{!u5#n-5^a$WA4n4)Q{bVtc+vO4%ZInZxYjAX5mPi&x+xeU0_Vi$2a70G3(D$pqOwh&zZtHhOtzOE95Fo=&3?X=+F~?d^fS8`{w`f@ zpiKKV$JJUHc?pKV)^fTTRyy6T8&^M7IL@q=TLrzXJU1}qJVM-NK54!Cwvs-)1NI^} zKBB`lU+Vn#<3?y1;V=u3Npq+T-w-Yg8WFYI1h~he=Qd#TBp9;6)lbW!-&s2U4%a`ibdcw2 zA-`(WTzceJeV8u$UHE^$&p!(bbk%FtwBQ8E{ z-$?Hj$j>GGWg`u%sTg!&}BtMdl>NpJBJkkKt*79O?cfY$G zsRwH%9OKu!@5>u6kA8NG2=$hH6x_Urn2x9Ksc&4k$*OnDrZu-~Ir%a90j0K~lLS@X z&g3Mv$K15?l@J^Wk+4+$4wC#cYDTxsM-#?vhCfo%OzfC9XHxXqicP>Pt@oa0%MwnB zb(9TllV6Yj;lCSY92&fkxKWSm4v8D}?mc`KH^FR6GZ4 zo${kiZhqb-H3|A^3R9D83Vo_i@#J5%P0P1EoPxV2cJ$t@-ZS%?f31#Rd!w${CZk&* zN2o|3w%AWYhW~3_nNis7G1bjjICkuI=^Wht%$wJQ#o-fCh#>48k+uk%YxJqN%?z7f z8>dgYWxrN%=8AdLyB&>Y(by6D=vYr}?3FtiTGUtH+1Ac+v4q@h7f-Lh887W}^``ah%XS)&!j!#@ze(BuGy&5k~JNE?vT!bv=)w@0UI7~wv<=Gi?TN$wyt84)*x$f-RigHAfqj-eZ zsc)x$6R3OEdm^WQH1TUnGOo< zN=awoG)%K)RD3l{ zN#4TH!JWC%n1-{^f>CkNmX%~%S*y@C1U+8-)t=0J7E|hnH>(cM778tu-*UlEaS&G{`PE8|}A;Z(!*qhf%7{)M0AC6{doeL{}9!)B{ z_hP`*KW~g7;$!&Co$dV*vBom=_s=DopFF?0?%s^&GCXW_cKg#rhX^x+kfH4Ut44O1 z5urxoR7<+t(&@(7+|8Fw-rojP8yHOu)BVn>WH}M*(#$xUsffu)Z{BsU3hpf?{$TUg z>&D%lucdw}QBp4>s(J{++yiX`68hTP@myk0EB8gruw&%=k0kjeihf;9C11TV+&^)U$6to*jzb2Al2);q6_PQWv%-z)EdG2uXv1|8xF850dlyiN)1|^5+q$ys< z`!0?GUD(P@*C12J8vXPmd3{CUf^BZqptjH4Q)^=Oxq%VwLc?nc=M{QCRdls`7kGP_ z8+G74^ov~m6mxlH+TjAj2eY;1*6XP<+iz5!CDvtL>wTL0U`G-6WxA9QmN8KnZnq!I zb#JnitM-be>xVT*f$7AslBDknH0J#S-fv`Lx~7zr#An765SCR8DGzcft!4_cDr1lZ z>x*$({;X@guY4X+USGbL)SSsWnA*eFCe|{6;k8fRoInzB*VEZ|a16x2gP?Y@lE` z)P>usL7y9=uSdQt7w1&ed);=nRzjNyY})H;E+jtTz9E9!)YhodM`Y}_e+u2pJvS=*eq7*%z+Sy^!aFe71yz^eac zGg1Wkqxyrt`thUsr;r#70#LzzuvkB@A4HLdDM8oE5P%9GL>~MF5FT1HAsrTg5M*4! zAOICW2y)6`5P%9G1i?ix2tWl8g5V+;1fT*4L2wZa0#HGPB0w_;0#E^jNaq*&Iv~C6 z074KX217QR`DyJ7e2-v*1(~-UDg0;2`m-|w0jQvtiU8VA5P%9G1XY^CAieDXLXfKq zg8))q98{X0)#;83vzTJKnOC~QIOkF?-5AQ!5{z?G$IUCzKQBUbYw)(d4MnoKLv;g z0^wi~ehMH&&b`n%2f|MQgg}!W(%KFn1aZPB;ONMQ11fHYL5?m2L_`*O1Mcxx2Ma0` zM)ETN;n5>U7&$KigugmifQZ1KIS4-m6{4bnLjG#cLzOU4Z){YzuA|g z2=)i|$kPN>bx!3xUge#~Im);=@`q&^mA#Sza=$i4FAWU4C}4B(w;RM&-B; z!Ru7t;D7`fx?BN+xA#vSq;wD7)nDm;$KW>6dwpjytoTt)zH(xFt=EBeH7qht?5fK{ z2HRBo{)l*P8Ga&Pv*I3DR(IKYdgu9 z>TA6YOPqs}6)UcE;+xS^xu)l7_P$9^Jmjgk!fo@l@`m0O*+)@ZChDomF>GhTuDs*a zWz*twq;YI;@}R|XyMDPb8U1XfHb>@rM2a@E(+%z$Z5K{yV=>?+-0{)CDQsyJ_4BKo zylQ8b)KM95pVHMe)5@VOrq|r~1hj0Ca*X5s_VYn@G>6>nAWf6V+ z2>Fu^nl3jDyXh8H*7~Q(y0vsw#i0rhUr>&=-a2hX82ToQJ?W~(j<<)00hba({n`^A zRrj;|X=9%6&0Z}ukgA`i6{`7m-{wPe^D1M|*C#eYT@03AZaP&PpO0AcgHi0cCVtHF z%=p+jUs^Y+d`6<`F;}CNg!W}!>&()q^Cm}eUAC&%OR=JTH$N(67a|ri+&OZlz`&)5&O+mTp(S??PC=24I`=UxLW7}C zL@UkF1=O6c->8o>;s;?P=n4C$U*K`<_+H9z*0O&8Vr@J`CM4_?YlqW5-tEf8wuN9u zt-&oUe05_EJQI~?Dv`YrYUD3QrhJ*CPwrp|YKV4H<4(;8nfY<{?lXNKR)4{I-~WlA zeMD483=J8B7cC~Unvs9X*D{&l5Bs9@tY(gTLNZSncf58#GcYf&p)pTH;4xcCh<^|} z7woQ$r`|&*GtkuigmsFWATPyuLfC!PGIQ#~2lW$ItXBgmgET*7KJ-5S2v<>pxg$kJ zwrno(IxGEtTjc7iu7}Uk*z6$?1P}uAVC3@um-PX3PYIX@L#P;NL=j*f454BG zLSP;Yp<)2Se|YYn*9Q-;gB{)(5H&R3jjjM zvK#VLVaUH6Duh5W(1^kyt^y8$VgN!=xg;FY#t0w;H8O)kXcd4E)cgbvp;Z7v5IO*d z&?*2S=tG7>+86R4gyVJf7K5$@n628_v1w{{cFJf0c?P3352S!LvfyP z0kQu|8~l|L|9!_u+TcI=`|r}}zX<~rZ2ipUXf0Y4{^5`UmNB ztggOON;^5Sb}8|^qEg~)_b5jheO?t+nL(7R;cAH{o&xz5BWIlQxBI*OlE?WfE8|?a z5CW2(8)fyU#du1kY&pfM2$hv9Z{EAWvK%G49c&c(ZEkpNZkdlI*5-3)?2LnQi?_ev z=+HS&@9*PucN_T9c}|XS^Al+d*BPF*^Vk?Alyv0XG##yYx`NH>b~W;RGYLzPHM`y> zN7-(2bBSp6(8{T=E!SSx%pY?|gH?SWJHRP^>u+O!e5gxM+(m^n!ehC^H!3`4y}iB8 zQvA&#{k5_3?kO(=kBOF&i-ZyTILltxI$moey5Rf|Qt5T5lB8u&VOeF4HXuci|E#WnE^BNeOEEZfE!E z(YB|O+-DnBUgaz`WzX1m@@#=coNM)Bu~uIO@H^kcY%|`8ds14BjXS^3cB^}g`s%od z`T69=DM98mx9&5_ny>Vnou;B<5`|xhV@!!qG~x~kL}^Eo2UWH*RdK{8>}{SmiJtd; zhU0M0niI!Zy?o4PIpj-RsP9uV?H;zz+HyMS6ipGIW(RSF)NQrPDXa;GoY^#$KFksX zlsp|h#WeakjOCKxCG}0M$b4=Wzq1U-=uSqrhtf^!a!thrT^oT_(|puHLd707R}4R- z%5qIv4k;Tfiw}^fKUJCF=x~}pdxy&R;e*>ck#}^QJ}Ok^GNpuLZqX#JLIn^%7I8iI;MtbW9Dd3&z+RIb8LnCfowgI+L&8Iemeh zn;>(;d_GZoPglHRW-}UTIcQ(Jse8VgkasI7w#2M==n8hU_-P&8_aSG}`|Mg6?nU90 znbVItsdw0We5OKcW_Cjy^A?nLi3`srnmavXpGK^#$5qPAr z{ODVGIiF+UW!I=UzpnzjBYGl=rO|BaWFJxmh_so{ULoOV_r#MyyzmUxnt5Vrw$yH^ zLZMaD^1*aMKUiE8V?>dJY>g$@EwUsjz3vTQM;Y}Gb7&kv&I9(@I zkhh*y7=4I&u1=>V#QLoF3lKYQ=Hp4IqTY%vs>J> z8oAqXU(}olJw3K9mb4Q8jv!=bO&LbU3-`kG}zujblP9L0h@`cfJb>_I{@jRbj4fi#!RKBB2 zj)!JihaTfhN0(Lt)A7jk(s2InJuw_&XT4W(sxr5PFLsYIvBKk}`0RZ5rH-6_#tKf= zZQ@8G?f9@b%f_sLe9!cS%2~!FBUNGxEnGgPp5+B`QohS6p^kYoBxtbs~&R%}w}wO;N=G#L6Ky?GZb zXIG-oHJQaotQ05S^`9rEB0sv?sgW>0K|J36n0Ya1~=RvKTTr1$+|-g)*4ZUF&wL$lQFZ2X#B zIN38KMH9YaiJS2}%rM&*fpAL(Rc`*azQwqb+FWh;J#vH#qchi`>Xp3-Z zIqCViGJl1$Hxn0o&2C&G`0U+AH1WX`OXSvgL)SnAht!EUhbm2S4%L`@nnQaR%mYrL zbx}!}&0G*O6V8W!(Po91EBf_bYeXhXP7<|V4>e7^& zfKA*TgOu+p7goPbVojZE3v`>u5^zj(4<*vJ{i+1en}&llPPVFUDb%E=WNu$2DLL;JvECk)0E>W)m84!Tg=%+Ooq9Ma%4I7ZjYZ(`SR(qZchxZPT9e!@N6>xO%Mc^awj&t$_G zMVB-eR7hTwWcJTHVhBju7ZY41A9`kAV|egnw3N{+p=;g!j->jlJ+U|m-9rCYx1>+G z>~3*+dOc~BH$aRB@iQ*I?rf1p-0zC-Jgu0YDL1%&?JWjc%nXORugyKRCOumdVcJs| z%!T`}iW)7<8m@5*U~RjmewQpaovwVEf=_>*vDF=}dm{cNy6sd#O$&OD3al?EnBa8h z$=*}GBOg5%+UqxapDWNj7sXzY;k-QxtDfhi!R=T7;BXaxqiShOnldntjW|$=GAohl zv(@oL*Zi$fCpKDUN?nAQ)tuhF6tZS?kGgH8S;ohq-w6fK`bctg0hSp2$7<=HC5)rJ zDU$eS(&xViOhrtlxM?O`+oKzP7^ zv{(H5Hc^~8R49Vn0D>kNKdSGK5F&Rg0m5HXa6m**$0F2;HAmeel3xWy{56pRL`2>W z^im-`k^n+rs_=u$IpX1f%J1M1#0L-&*=`zeslVn?P$2^8DFTE?j~oQ3(+wPg_y8gT zeI^Lv0}z4=jNlN&2OtEsl182NbkxW}fO_k|A&3tk;y=Ln&lw8@@c{@yFi<})I0W$l z2$3HMCgq%al*oCVsWor z3|6MXQnBS_SSX~l*vwnM?mA~nhYVb<(Y#k=(}y;~pSmu^JL2{6V;gSs&ZJDaFE8D@ z@^gyRFQcoiD)jfuuX#K@mT0sx$zt(@URcEWcBjl)ixq;#k>?v{TF>USzKp)gXFE+c zbeFhLbvLVE)K7VPDH#Jsvl@WJPH!@cA5?-nPAo)#$kAV}90b5%148ezB zwxCC)Go_(ECML7_bg+ll0^~?#_G!q>1GuTeGUgdab`N_ zEmYc~PVw@wpBS%Jg;TQ`WQ#L}yKl%9VJ5YB8P?P&%vm{}$j0^HNB)#1?d_Qk(oUb> z$z)tV-+bxiNRQE)Zr|5n1H0DTLGxDUq-zx%?nZgOUjE;@7MMdSDy(E5$rv-9ogqGn ze@x}cjeK0K0POHPmdi^@^F-scE8>dgJr2b^Xgncjv~R6WuWa9e88*`))V%euY$f2|>hz=w;2N=l#nK*A zL&kCFqEa^>RD_XKw7@(PWZL=(9+YzCtUYSU%UX;0e(u3>O&Q`BTgAk66Xh4mqcYaJ z1@48XIeO#u(okqCX3`t&p^mdujKMK6SlmeT&#-qNF6?%-;7Oun^KDf~KmejV# ztqZNZ!Y=e%g+WNWhRPUAB6azm8vP@7TOqibRBqV0#aumpwsJ?tV|}cV+r1(s$;n2^ z7_%{G;it?U!^7UWJ;6K1m{N;7xsWgJV>#zT0<-A6*<~tEc{!%SpE^G@gmb_^i&475 z;yCTG1~N=v(;$x%moZ0Q1|+NOc{nJvQBqBW%|R6cLcGT z=#%GD%+hCXVymeKo!fwah;h%~=C;5gvZoWc-$9m;ncDlrKJdPOT090$4WeHt)6giJ z=BvOCMLfLCwt&?9(WBgg)E+6mifEBGPcQcT7s`7Q@1v5_nUiuZ%PLFGim@)`1jEJJ zs<*I~X!IiN6&F7av>S<)^yjlXd`VG}P+&9Ti z%g%{We!Qu9(vNM=GpHm& zrtl>~GlrVO;v*SCxYTyO-Kw3;Afh~BlompM(yvy3pS>%_y{u9&C_=hFnRBKjcc*CZ4tHYRbJaa)r1zz>uv*bw~+gk|1MwtUkAS6Q0N)5 zmkDgV1cx+@gl>Y00`mnZ^9+p$%om`{GgJu77of~DR0zx$4pnxe96Z210`rAK9U;L& z5n#S>h;IIk5D1tY!VG^S1Og_I23pX26afMz08|i_v;6FfiU7CX0jMBE2n0+3s31fL zDtmwfP{Ch?2oOdG2cUvbA;{bSpn|^&VIZ&{#hv{-dw|~~svZw&rvOmFUn8QLYypHn zr(58Ns7}8C;m;EtScm}COu_-E;IEg803n~Ke&R;(Zp1^-Zp`rrO`Q^21U>Q@``Jt6?8AS5El{6_##!9NuK>NZ2iD$1<|Tfi9pJuht9u!j(*z3K>$#}U*E@XghvmZe{sJ<5sw}^VBsM{ z6+8uqfJ%xHhiE>zzdIrQdYk_S{R21=xdukn-Cao?2$bURS7q z#pJAt8Qs8V=ixf%`gMA78v{dJIId9fl=SEE#>cJ{Bl^b~5m-BE^2j1TOcD$k=KH6s zTE?#E>aUobK=WDa4v~&Foss%LT0`Zy@$s?h!gT1iQB2d7OY3dv-yEu^1a=k00y+>~ zq1ST0RZx}N=v{u?)u>viTt)9;``Yx>C9eBxgUfX5txj*KRF9?8IxUXxh-lF(ls8nY zu1JV|%EBgK43}rmu+QkhE?zFRkFewqKxDbqOqY!FDtnf5?g!p{md!=oL?|FaFvBY0 zvBmUAG=k}qY(9^(LSyWZ!rrpA;k~@n*7I@(^X4ziRd)A{%JeHJJd;_iUan@vT%1<3 zYETpUlwoOjY};SWel=O=+0*#|9S3sWLfO+?>Ywk;8#?1gQAgazE-+5XKT*8%3fWP{ zM!nwsZVDl$X^VuAWfIqk=7%MUXN>2bGV#Sld6ko7$){>-q`a^=<8cKjFlSB-r6OF78u?KB4`7eXl51P2+>p}(Iaif zTm^l6>HD1PFw2bsOJ7Axo%o2ge(A;4Su&Rz@geuRFeltKL!S5JV)FQIm^c!fFO{l! zcG`xRGkLvD#IkXMUes6?xNwADi9v`eC%p83uPBTWckRaKAzy(61r?09b>WZI=11>2 zV{IpYtazLlnmwlZB$KDEQT*$5jY}c!(~EWMQ94zZ*e_-c+&i7Z)}K>qU#>Edluh{1 zQ+ffWLnFZZ#k||sw28m^pqWf->5^S`-zlrLh5LFPVngjuCqgBCpZ718)C+xhc~(q8 zNpAHy4O_$drUI8&!FHv<#ZS^3w57(5p=$Q?npS5y&kk9=-f3u`$EohmU3b{$X6}jq zNW-}~5XqwbmelTS$JyCit`@L4>ukfaVYz2jGD64F3rljSFl{Czs$@=jiSIdtC}~AD z;Sr~w+Rc%eGxxxO=Iro5o!*QI8#>0rd z_)ji0jwCy*ffl|W--rceC493cqOuwZrz`AKxKHk9C24ysB77DvCU00pjjQC1yUuEk z3H&B*?fL5x87)daiF13)q%yH$M6DNB@GOc2T!qKZ)^NE$5={{;ELVJ79wMXJjH}%s zRCW+Kj6Nqybd>?O>8a*}m(Hu2y{e9R?xcvfYb+|Bxwy`lER>nHK>p2dqtK zE+=b}0j?e55asp%-?c-g4L_A;{zRT33pK@1zjmng&;O?uMJS5q@RA7uIi&?ynu&nG zT>v4dG!xZe<;aMjJRN~tNFON#m1ZI!a2McGkqZUrdJ+P60ffLcGx9Qyyi`zWCIWH* z9yB7bMG*o5cL9W;(o6&d?g9uwMKTBo+yxMV8kQpD|g5fHcwAOt!Ckp4{o zA#!gXaH&VnIS5b_76hb!6Cfgpd_zFsE`ShJDT_MU>ZlJHmFGh55dwDsA|lH-0m7q4 z4$40S2#+2)z>^11ht(f-kEneaK*V3uZRkBB^D2<=*K8Xg1T{rMK;SNb5Y!Y20fD;! zLQn%Q1O)B^2!Y=t1nzva44roc@cjsJbLJ$ZU=Cwe=zBQ7*s5K2#2-(YoKAhhO zfA#qOSojB%4v3mXc|J!z91t~&fb3-gE)_)0{^jWWZAbpV0)H4$zjcHDg983=gMRFT z9>M|jYL(8o##>QR&(FG_vb)%2qBowRD_JBZ``DPw_*~LgW5Mlt z>8k8%l%E_i9Y23n;@se>9j~@r&7SLS{va<7_sXaK*yh3-nt3Dj3{nAN<4$P;?niv& zE6$W?er%6SF3r(Q^qvy*_aajAq2}JdNWHw+>Rv83t2Uk2**J=qgt)EUqQ&#c-2nbh zR*%oPig$Z>?6!)OzUMGU`c=rNx!OTg#g#K8vMxv}#Ue#FS796tdknua34< z$Gh^}pn8hB<^7gGO9e#4XD_ix#h{8nC*8;GS)&m$0$oO+sgbOMnzB-S?f3q`ejQ@Nu`up>1%vj@?&_NBwG`wMxWaGZwAu#pXspX zEW$b9Dmu>dzAi5j{i7r=!upLYzd*w?v$~-?Ob>yYs!6qr8{b$;0_Kjt(v? zbBS^@PRcTdjI?8|9r-$~0sVyeEZQ4ueK=a=Bw+*Je&)d$C zW}HbC4>nl3B3{2!Qh#jULYRg%iqLMJO!xSbpX6&@{rBbV)J=Olf#MwRTvxDPR*&!% z$yZbEeax>vZgR}+ve>5^t?(UlS2+C8KWaH0(-FHdm)gDXA6AvHm~2oq0S}ZQJ)V zMuw0iNn}hi?2WyNWGXWeQXyl=EOSVNqD+Yh88VY8M9Ey46Ec)p2oV}kdbjSY=gvM) z&vHNS`##qnUDv0}*Rj^x$6o6^f5-1Q)?#La`4L{TA35G~hkM_P2Dz&zIL?aXQ(gHo z)9X2Tw(I4hXnDD4^V{5tG*ou$$Y_t3h*itP)0}-zM0A-PV>B@1GDDQ@emXa=zuev5 zz?gnJxw*7L*0VGP?fGGd(bB~!%?x9Ak-j6~NzC%)`Gp9K`WdYdAy1#|s=Gn8JS5H| z8UnlM$a~b~i@fBzb{d4PF_ug0>pd)fKU|yV!i-Cn2NBz3EsH!SbuXEzEKFWX2n3v7XS!T z5ik(*1pvZS1PreK5Ajf8Dgp*FrwND%QxPx_^92CHb}j3Rb)-Xust6d!oF@E;&_SLU zi1`8lVJZUdZC}WT3R4j<5c35P5vC$wAm$4IgsBJ^$ebnsgsBJ^i1`8lVJZR!V!i-C zn2Lab%xS_0p(+9fGN%auVJZR!V!i-Cn2Lab%xMBZn2LbGY2`>sE&`jHgn^hZfQZoV zBkuN&6j2!W%m=oD7|5I^{D|wr$sr)}JqP-#MGRz26A%%8j_W`6XJhs+djUR(hCMql zkTFdF2zz#5Al?fAgg!eUW10XEra)shj%oUB-337CvjgJ206^%oW25&19ufNN`0ac^ z_&A`S;|71kCZ8kp*|DM61qV?$(=?c?zaQNH{h^{@YdH)s(g0iopkUr@3{dp~!S%z0 z9V@;X1d{8o?t+{o@=Zq=HXafKl)b=+|9%PCUq&GeQ1;p~h_42LAQsl_i2=%9ASeoR z3$IsgknSCufa~9)|2}m@VX7VmD0@K;6{hN~53E3p2vhYiK-mit5vH-N55+@_2nB(% z*H$9}WiJQ_Q}r<5YfFGdxhNb&eq3z=ZXt7EIwA%rdx7UD0();Z1}J+$Kv?%Z2AF98 z0bv(MbVK_FPV)RmD&0)-{9}4smpn1QOJ*A+Pt1m5*dNIgCA5`J=sP;+bo&)3y}vzb zPibjrKv#at6>fi+euU2rKqoPT(9oH zdP$k&-y!uQq}+3!Y;PdXaQhAuqx%mVrsT;eJFbUs+s{q&oklK9$KqMtbK&&pxrX>x z#!Vl56U#oNH%;GrG3Q=2Y!mnBrrMbP;Epnjvt_n%W^vk47MwqN?|5;xA69B^Y9fCZ zlrF=dN|hH$+%3C8kd(sMk!e(U&TLw(xN6wTu$kmC)#bwk(T`{Pj?KNaNELB>n;sy(nH#xk#Yf20}zS;rHXTlC%* zkBqF4erl;NkFQGp$Tfc_>RDOoq%pOq>y^QsJVQqpmx$YEZkZMJIJeOIaSoP0VhL|} zHFdnroccv#ymHrU1z&7Qqqqgb%~^@*LUQ59Rom@E8K-V66k8|WH{TVbHsrFfFxAyd zy!QQPRINawfK>Vf<}GGoYI*5Ve|FrI`d+n1mG`|QxEF~o#qTrSb^GPz_?T&S-jS4T zith2Ur*?`w6rNp3(foLrij|`}B=4;P9qNv*04=HXO%H=Hy}<8>o8NOeyk9&^!>?m? z$K~1)j!xC>gC9S5Qr)|+k-_S1+Eq@!tIjX9bdJ&V3-d9x&$SHoPBnrd^hybe(p|M3 zl*T<3#%W59_lGbq(q67nJ1-vR&N!L&g%Nw~V|Z&p_K#ufc3x5C5a~&i_?@VHlNTuy zt9$RWkQN*sph%*pIN~gRgE{Z)wE?{dtPrv7h@9_7N>^q+r>H}f4#m}MG(#$E6`m{w z4l{AbM)~Z|mxvI58%VC{>Wkf36*Gt3d;R-3n(m%KrSjmxTSCQ>T~Len2tNf9myn3u*}0uU9%|(} z+*eXLB=nZP2)YGUW9g@3xLxf{+!Tl0SPII}0yoVD&+?&2?BgnSR2$#OY?yvb|MTgq zefJmk)b6%o>;wl$%VHMOzr45 z*wK>2XUd(#WMobDaX1Xau-L*KOp?~VxT8Ba-|fP&-KLVPiWkemG2#dR3MAX8f>~LkyyrXsav-^Ynmt^=V%$A zHT+a2ogZ!gZQ({|g=vGgm~n7UrMXzQ}yhN+qL;q+-UrCq!qIkk5X zC8H2-QyD9YoZ#QAJwkgw$(H3+xhFd`C)3g=6xtFin*@cZ*M+dy>_}l zS1UL3NW|A4k4{K+&pDJoGSf4e2h{}rDP~88&S6Q*F*x$eL z_uTvqPObmby#A6888qKx=IIT zz(7D)hb0EE2|z$thb0EE34kE9!x9771Rx-+!x9771Rx+({QztN5D>Z!1zZ9U5Z0K5 z0bBwg2%T7l0bBwQ5H{%w1GoerAZ+p+X2YWO{hXIOi5D-?$ z!T?1#5QM%O0Rt4>ARu&q4;0-XAas8Z6x|>o^u7ud-5?-zn+_D+ARzSC0TkUJAaw5w z6x~1&1s4FuPt!9wCo3LuGvJp-^n)eQtiVUq;b zEpkW?4-wdVNwGlH4UD+{l10d6MSfhNVI>eOP;~<%qF~DoEKqgZBKR*M;*V1I+qd;w z0N+%^_{XcYE@EJRSL`;37+BoR``_34kBEUri*CWUg?qz*U7P~F_k`~K7i@*x=yy}+ zL~XL<`fcyzcPY6%P8M{r5IRG|q3ZK9;T{2{+B+Ne`XThi)-Ol*IQ5*Jn41%`-WM!O zz(7Pw;K~}ZKdh$jj1Ncmvi3ISh+Dh;#BWzq=`+br;@%ZD-@x!IQpHO`@9>Dm3xk!A z$md^W(rixY+6$n5{y0+_5T=8BRbNz^=+}v{hO_0zzDTzDnK4Q)FpOU*t9<4f|9JGM zJzG&=vOHTny}h<_S=1Muw2JsJsw-Jdw%juhcQuTyco4Xb6jJ-83GWyp$~lI9d1MgR&?nQQSD9|8G(A9Ejg}r#IZ1FJTsHje zc&D>As}6@d4^!u)J4aXEJ=a6AdDdTim2W1*d{sAcO1#omw^lWHEH&3i?RjUR4QZC3 zfP7bR;hTlbB#uYSdkMaT5js`c$wj}_i~sf`Dt~{X1n(~YmLTEI6F~z#m+iL^8BT3O zopJn_K^%T7$F)8AMgA0;T<`4NPPIzod_f8HOM3=snm}H)vC1AD%Vpbn57maZB&m+U zy*Yi4bH}jxmow5YBnc-Z9*T{4Y1ypL*(F;nO|x?L6OZ|YH#bcJ^gin8j8T=338op7 z8V?2L<6o)xkE{+XJdXAd@Vi_lhYn# z=%_}-!1LxZZA7TP9z)zjlbX`y5f4HE8*M{cmDOZ{jQoVBm$xZBJky=GFNl<#pB4R7 zuvRonq4l!%jVS`wk;_Iw_iLhBB(G5o2diYy80j$#TDJ!AB&K6x>!pp%nc7Q}`EGr@ zH>MQncq*-}{f;w*J9n@Zg?vAAwNICBUS50-n&I2)D9S;hX$RS#(v5%1V=EyszbhXHU>AwEJ#9Hu$uEYJY9kN{PgE&x&-!;zwS8QZoBhM zl1L)WjQc^Zb|2}l+h*m`5(Y63U)FnIdG@K^wzMx?P^(=rdo6#=jIFF$iod*_K0f_n zrj@5}o#9j)<92Rl3R`(w>(Fr9QlIRz-I6XjYYo93=f0dHq=FO?plpy_odF$!X0`YfVP0m&0mwDF;pE zG|I4C(r5dG+%+S4ylfv-Ns0(`=%_wa)xZ0A)@_VDkn!ESBSQ_;_U_-EjKqDF>>1V4 zRD420&eZ;l_W9tnu+&*?VR@$wRVkYHOYM>D=}TfJ%_lgeCB(cJGsA46?}i=Rcg>S0 z@9GKeOP8n{-UjdZCcWHrSlq(!-_ODIZ3hH|xzezJ2?7Gb*78`u1OWkIt~4xQ zf&f9ND-8>nARr*jS%3v5P(VPKvj7X2ARr)YZ;b^eP(VP~-Wm&-ARr)YZ@pfKMM?k+ zY;TPPOc0QWu!-kbzytw;qA)WH7BE3TK-d&%EMS6wfH2Jx3z#4vAWXBw0wxFu2-7UF zfC&Nu!Zb@PV1j^vFwGJRm>@t9I)n%dm>?h^OtZuSt-lZuC*6VD6`=JO0>Y-kVF3>W z1cdc{VF3>W1cY@qVS(0P5EO>l*|2~I0s_K@*I)q;1PG#G4P#iK^%nxddfTvo2Lc39 zuvdw!Z<~?sIVkAO3h+QcBI2GeVCDcG2oT&v1^`SDTPOeCV!|J->o3v-4;FAifFU;l z@g)lo6ox)pacc^s4`dS%U$OurZgQyjMqv;XhTc2?7X%1G4OCd5`412S@kKc04JY@Zaf2v@1Tp{Z^rC-h7k}v<|L7%~=^lR!8^3jrf69q}uW2{* z75~vaaO2RnpnKHm>f7~;(tCY-R5lse*&Cv8%8+QGoy$3#P|vS#ij>3a0!N?DMdbh< z?j!97o-1ocaEeWlQr7MeX5d)(_|B>A$02 z*3nUPr*Dm;h~jZ6HP#^-x%_v-A4S#-(^lHvjI|mi95o?OmzY@jXg*xz$sp*a^)T+~ zT}!VFj^?Hvwpot)H{Pr1>^K(PU#m8f$K#Nfmo~3>>;ta=#k>Mtnc>|7f|u!Q7aT{< zTh~?I-7V&$7}lU4iz$4W&E%wSbfFazu4;I zk^qHljWL-R=cxa$_T67hk@QPE_z8I}zz^4;Hb z18W+W^@?ZYJjhSlPbw%`e{yY=Fg(B;&2^htv^M%SVfC$uz#L)DM2rCXOZ^r0ecmU+ zMVKyGbbDFkUL2keI1uR{mC4XOdtGwAr5*)a^CINl(|~&UUBn`j_1Z{+Mj@r0HkXkBkQo+^+=%_6v{ZDx9s^9_K|jOfc8m z9e$Hgw8ku9ak8T-Ja59HDf{e$Z+?4+mS|d87FK#t^uB|ybFn!&rkug_MW+I2$H=;( z8NK?4j=olYIa>12dsIuS;xapL_()hrUu4o_`#~$p zi_aUZ-kr7acIr=L?@~YVFwSv$?eJkgm4h=!ZX4V?Z5cIpE7G1n^5FZc)C1APL9;s3 z(WP=)mOT*buKQz3vrTBxu3VmXdd`+HhB<7QnRe3Es5X0XzuLaOm$6Fs1q_BN zzZ8$3n<{&zm^#Sc7jX4`rd8#s+q_XsqnLtzyYZJlzZ1|oujL54Ij>!{r0)62?NNREByFI?g*~&4-|U7-CBbmkbXMli4y{}9ESEjIz6sdc zruV67l~q1ntKFgOW6@!Mn&jf~rZe&snG;%v`#u%N*JMZUJa5s~r<-BiL-B*p*dQe> zeB4=gpN?c*c(u>)khOZl8x!=@?Up@is@(~(YR`RQOb3r}`;ZH6YxR=e36pg;SWEtY z$~v3cVsH<6&67O<1QyL8 z2%Cqw{u$w|wgFHCsz(8~6!08Ha9193sKAB`j0hD#09y(eas3_PkcjxEa|j6QuEPSh z6bK02{{prY5JW>YH^7zx0bxyYSiqJ7f+(nv1=vzRaQ%uxE-Tso=>?FWsIX-}QP@Y?W4U0W@@7MLY*df~FBHq~I`4@b>bz8Hae56SC~J_hDF>s_7TH#vVh zmYi@;f#miPA?3lV!*u#4}w>$Iw#$2Pm?4S{XDi@ET80^Ks-+| zuzcIUmN+!t24uPZEnZjMNq`+_(@FZRY= zTbf<*Cuz$o(ZQ1HIgM|`-WDqCetFQZ#Y{Il?R9-M(_s(G9Qh%BR&trc9VtEH6zX>@ z#x2-fZocT$w3&1|l;G?%cI$(MdOqP($)(vlrD*MG*;9)zrZh1UZxnRmPb=##@G3P& zI<}cSTyuII)%d;BKr#9JxsJk5XHugEJ|E)HpP%}WaVe!@J9$r>D#Wp*Y) z9px2fVlBJeH>PW$RNlLTjq*z-LCadis5keW;m`-i6!pUh%ePz1(n-87^xaorM&9g4 zWID8OT)OZw(QcoQ`o$My)TRBCSY9NQH94J;{BdlA!k?`t(?BVzn)0UOOG?#xYO4C8 z#&-h)k~VbTSS%y^q8d5FGX*A`^hDUbwSFiqDxWaD^~}eK=G@??7Wp5?H3v&Dnrg!w zk2uWSJ5=A?ysBuutzPwsUBdpsT^95*)_n8Ss&sO~Y1xtdGvxz|JQM>bp3I)Gc`?9n z#n^TH)>1~tbDr%n+WU$MGHC5w8pB0LMujVbIxUfq zom=et{SxaE^7k)GxmoHzR+}hA-FW1ecS@Q2_VuQaZpI+bT4M&IvkmuqnS$N+*(I55 zFIOWUAl*5`P7-(MiYd=8U)F53LTTMQ7qycr1(@XmX*hD<7}l3oH@B-dgz>;NC)exURHV`iA<(|eRaEJ%KEbZWv$%Fk;{5*8Kq6VFPRl`UiR-jNsVefEX_TJgXz+Gn3vbLFaQh z(QqZ(aAh%WO`^OxZ}=g1prTV>U4491n@3SCnGU;N$jvvO#MkaT{t)D|>qPB`5Q*f4 zoDUu?3Ylv%?W{X!LaTN8`nq;H1~twfB`&u08dEr&vG$s+Z6^Ph?>R;y6;iT}$LA@3 zHfn|hkpz(GSlz79&1xiBCFyMCh&`pQA^0#^?#6zfL*8Ln%?YlV0#3KrBdk{&D7cs! z30H}XUo$KJcrGM&;KMr^qfZJVUzoe9I8ONPiC0f+&3c(3B>VCFk-^LL0b18GosIZD zi6&Z+67JDFZzcPVf6v!c5+!S2I`V#0@tsEH(S-&NJG(n+M)i8Umuw}b`pO)?-AOq$ zPHi*JMf<&|GW>pN{|V<9@ilq!z2@QD3=b(T{W7QZrPv!TNovO45#CyF`jk(nQxM$FkPY?B1}j86Nt&Uv5x=FvvUva-~N z>*neoaz#ps)soE`Y-5bhvN~sf?t^vFasHGJ<*AfQ;yp_!^s_H(nmwdG^Ac0%RNJT+ z7;eV}s%L+BKXJjo;U(3cCWa>N<^2zjv{~Lb!qzIz-ws;ykwn@rcxQ~a93&fBl+qu zWP;fva3fXk`p4rt`8;wWmgDb9h00?WZQeRpojKopZZ^(D+kpC{cS3IyPxnDflP>{k zG%o(_AxDx<8r5)!ww*b~YZ)Y$cj!@i<%Sory`pXD2L#9_ns?7*tp; zeq(CY(o^3wcAIYq|D7U3jj`*}*K?N74mS2RkgSgIFkMZYe;bzEIjbARM;(M!iE^Bb zD{SOBCx4CYr{z&{_Lx;CN6T$RZi?nko`%`65CmjOX6Zl?~5ytPMa67%l?=VRLZAKwlMbsOv>($e{wl2?*khFc1*f!fX`;wlE+Fv&f5q zzN)Q)fN%nU7}%~^4D?lP6-3UQ_5L*Qs)D{MFd`fTBsUO*?V80vU)5GYpX&sP2*@VjIl@8Y%n^l&wPK*J3W&G~h@3g1|Fze~-RaG2P1kp)LSmq= z3XBK`kuzs~AUSwdL0=UZ5e_0}4z#0B4D?lj5n-)>VxX@I1aW>($T=cs&ibp$ARuz) ztiK)+1VLXFaHyMr$e9D387>C;s=$aaUzHf>s{%osUjlNDfXu&D5IJ*1VCF$F&{qXU zgoDWU91&Q%qZsI`0wcn~Eo2UKodepcz=&`VIdg<@`a1YIg03nc;wB*SJx3Vk<`x58 zRbWIoh@3gXFuS4{Fk1#(l5GMaXO1we-&G8BRRQOShP48UZFE(w8_%~0ZkW38+g1mH zup*e)2J6#*1R}4H(XjHV*zbDBA3b)Hh;R`3o`Z(D^2NZSGfkkOB zA{<11TA^U|2r;lI4Mc>4U{M+bVZLKAuqeG%5IJ*Duqvn+Fe?H4c5DJ7XATP1C@TgQ zrNMKAgUFe)elv#Lk%3_e;7R_wRP*=p5v)oB$GQoK{J4UaB>z<%`SVT2b)WuIklM7e z@@J6CdS&HzMSEjqWkap{Ph~|Ai`jw;GgZ6aw*Lf;*Mx2}`(8QJH>HKw3-VEH?6z_b zufCTMq+>@%y}Xl5w={QDw&Qh92A0ICN_1!I{rJ_qtG8T*^vQZ=Bne2|*!(YC_vZ=M zm>9Td7vZs|lz})k@fmTDT9t($ZR*kDfg!u{WU0Yt+=h)8IBu!Q{PO;qud$$R$#K3r zdm{OjZc9?@a7os1Ql6-DN&om4mSd;;Yxz%V-}zqDd(JJ<=kQl~Z5gbZ%Dm9C+7#Rj ztxIjsJz6V8-KlnTOfbjz={>RgSewPxd(iIMQm|`evh7F3vf8W9hm0C)Ts1~}Y9uAR zD2-xZ5a>e=Sn;*7a*-zYfW}OD$W5DM+mrw@BY2J}=29 z)ROEzFs@oKLNMFUeB$#NPQeHh#d{LV-^?nr8;y5|_}3grBtN?vd~R0fJP*0(-T1kt z>FEom#t(lsePLQ78)<*^(bjQU!fn0`=%uAico8w(S^aTrz7*}+=lB_QzT%1mRS5<);ls%Q8Es;#9 zC3Z^UgL9X%np0VV*Ku-}rsw>GmOt(4SI%cyl+0w;%$%7|zqVR3BL2GJxs-L>$n@6( zFB?VX7i6`n?ds3P=;qzFQlh2uC-S+deuj_x^o2|Bi5LRaRpWDG4TE=t9I0FCufuB!uQ=Rq1(2Pxa7^7JdjLz(Aw9|m?+{D#?LfN+Fqb^ z>DPq*^rKtDUAs?=%3Upf-J7jkqWdAV-Zfey&M`1&nabm)U<2u7?z&${1WfBc3kxI>009I35t8Zv-j>j z6+4#9REHYtH0OlZs~uk{5;JvVM^!Pmv!B(mUbdL=(M}9@j9okEzpHdn)O0k}`Tir_ zk=eUByGLJ$ecma(YCRGumiD$|SI^;lF$Kck73hNenNE@(XneI#okJ)hc0P|Jyxp`n zLfl-5@cfM!vSPbX5jmR4R}AMq+&$(o6Z>*tIrxTzvyo?Ikrd}GGY-PQ)3of`V-W|< zISAEaIm9A}nNiexZ&b16Ucmjo&WLa_W=-A`2kl>mb=}*>U2ykg#8ug|I&6g0nR?fY zT@I&mX44P^QtDkNv)AOzk-@>nyAKY91!xCdmE~Rku~*!u$V+9g4JRXxV}6!V?H{xW z5DqWe`##7e*w^kx5u-r4i(<^D`Xr;r>{WpVS#`a^EEQbcxId5b6Hjkj|JB=Zzq&4m zh?u#fkebF`p+)?76&vS&{V$X`JJYvaE01u>ZsJAG7?`rV!Ts`otL*+KYA#@c!Bv)V zzNo(|<#06@@W6l|Tw4WZvw$E9Dgy%+7!ZWZz&N39qtgon*QZH?n-#zU1A?MBF$@F& z3k(RZ_n1LI{C(AyLHsoi1cdbgiUAgwEra-L91z67MsJD%7MLxA_-h;p2x|lt17@>q z8QenVz(!Yz{ay+ES*fh=IUo@sAWCqfcLokZrkdkGtooH?6-$eAMysXX8!B4^GfAadpiLn;rrh{%}(2Z5Rum;h)<$pR$^%mUalh@3fS zNaX<+5jk@<0g*EY4JldRA|hwbCLnU=pdpn9Ttwu|*#tz+95kfzfQyKnIdBlDSwRv2 zQh7iL0k4Uh0YFuw=pDR;dYk z^SGcI!h?jw^kxsJ{K`YpPWjNs5Ar+^j6FfhXLDR5ii!Fx6JxHRX~5j;9`C2}0z&F~ z9g+TZdz#+5e@?Mx7&RvMt55T_+Tl8_s%7`0CHbsf#9Yd12Dd`vz0>V)_J-uy`wF<$ z-Y*)AF||JxIuV@4jOj1;kIM=$(r@`_{Frx|>WAi$(rna}kj+R%1=@}8*I8?Oeb&tI z@1^tsbs-geZ`t1sOaxU+>dYVIExU#pvP>JIczT6f@J4F$aSEzzg&p5a_Kw>uALL7P zByFTQx2pW^07pQ5irpT+RPLCA4$t|!N5zIjNyp8JQrrsy?9B9~3lbhsOiR7!(hdn?;wlqU zq@g|gA6jO}EYk6g?sdt`c}7Ejm|gDhv5xzL`Q1!P_c7n=gA^Wb%d}{8zx4X0_~{>K z?pAp+^9QQ0(Nvi|w*Bb;vFvODBXyLLVy3@79VyI)1$$o4PRbQ=Oviqi zckb!^p;7(zZ6Uvl?{=zJPU*Y#?$$3eMD1f9Ne)OU+r^;Kq9ws3lNrfCcCq>aFW1E| zOjPzW38(n)*Xq0Gei@UilTgq7DwY%M{bb#K@}#gy!F!se!MKGx`!kX`ybC`Je^c9` zKHXwm!q2^WWAD3Ug+uRe+6Ip=9T9TS-cEO3fr%|tIK8__HrKh^>izM!vins|5#fGj zyN--pJgsbX_KUj6rwj$zLXALfm%jTn{ktL+U0A)(H-AX*`0nOqqRy~_&S|pj4Vmyn zx$rggRj{4+^6flj)*%yGUZ*}8Te9CYQ8tT@5KqZdxXUt^|ClWBRbaV zhI+_adQdE-qRr<<0&8DwaZOW$R1$9vW90_}M-Cq`l0I1yGp~P^+tz`HO@Co0xtK~4 zs#4uZ`Z(`!I2oa)LMZ+ArsUn8bkfJ^X2a#Sb9fYTAF;@-r2j)u8Vy|~f zw^S%xyt-#OT+2f>ze+%1o-gA`ZIT?R$U5{)=j>4AyBJAJ>Eq^g?6kzG$t*WmS+BoU zq9BZLSIuW-z44Zq;!1?sK>j(Ju*Q8Z6oi^?$I!IYM@3F6nmw+`a%x?v#1(};_Rw~pC5h@3evPa`fOa^`FTB4-ZF(};_Rw~m3F z<0c?-=3pS6MqEU^6)-F!97N6>m^Be4h+hYXMT9B~_;qj?2o(tMo3pnLB4-ZFnut3` zr#*e*aSqr=fI4DxN}6#oJ~OF%z+sNaS@R-2M*%b zrC=8ay6eVo&E7hQoH;PRA4(9vE(M9W35c9I!jShO;36X5bA%x;OvHi6nX?IqoH@df zF&nsu$eFVVh@3evqaaEMzb*y&I5q*1*Ewj2Q4kjqIde7vk?%P$qaZFKa^`FTB4-ZF zD2R)QoH?6-$e9B(3gRLnXU--da^`F%E&Ux5rx5+4EN!X~{o~YHSBQju7qvDhL_!|eemS_*NJqZ?-HrqBAGF!#} zJ*_m0Ge5NL%1raUFOFwO_spd+->tYROHDvT8bA@0dlf~&;`i3lUP!Qt&5M$c#zy*Z zyHM)BVuKNZ_&0;0Lu~ZCAIWq1C|=aYWzrk^GuZ33>bgg@WP9DKe!w%F#&>tzy69Eo z{&#z(e{#t_>ItYFma>oYYIAijAn7g@Uy1duYIh)cSP`x_dUMn6W+I2JS zZ0kqI&s-eS`(|#0c^%696exI$Z|B8ddFU`nDqW1LAn)DfD(O*|>d|9Qv$(7s{g2S2=>4png^zq;c7lk4M@T-Ll}g~d_-0x<(sH(|!) z>*n|QE(F~&7%SqRmT z`-}7XZij1j6*XSs{+_E&l>>7N3X}7mb=ooVd`lxfStgdbEk3;o9FwP2!>wWu>xz8N ziOtmBw}$~R;7o3Abe0SQJKOK=^Zsx}+j@^!l&@^aQOkUObL~p~8r5*S!;Bhp z7pL`I80N%39=h~pZsawYS8L-)v(&>cf{TIEitL%Sjy{$5+kOeWd!^ZNmf>M*lLGq> z;oVD}Rwk|c;<|6z?|MG;o>O_EGxnJJanyUU#}1N@-)OI$ac<2jSh2gP&Db>-fBQsn zqC;!!l>;gM*X5sFJr$7gbHG`ZN6hj5yr=ce>Q#zQ_6Ho^c^0A42}6&>4;UERrhUm= zw5dF=?UpvyWfiG?CU&C6frlp>^=giJy7}p0w06s_De9rSUim)!4BIE=AKkW^j@+T1 zd2GK1!5&g|-A?t#dmZk>3o%~E`@RsgsQ~I z9-LRG-B0Ixl6N?ajEPo*{+-gv>%v+IGMYD2WMVDVll(3oi5^|PA1OA=K2-Aju~_l* z`pO$i^i~CNGJ8)F6S8D7)81K-*QlZOy?PRb`wRX4?dpVi7vpx#hKiAw&F*oSIn-%9DCfT8agTD+Z}##! z`|iJf?WX{wzb(c7*WD z+YnF$wq3#r>wlf<`i=k+5hl?H;g`2zAWWhW!f(mkI*9)Of*dMLqFJ9A^Y4#ylXHZF z_)8Z^M3_V)ge#RHMuda-OBXOARA~{yZ^?vQ)lESBr3)k?%)=psyJAS^2$g7r@XOn< zLxm|VLinTZw+&&LinTZArUtLkuwJ>3JKx2WWplC%pXGd)omCE8Fh~m!f(lhfN03b zMjVKoIZ%lPH(dMi1eBt{FY+cJa^}FAKvC=S z+mN1Cn}Epo99SQDDSPK;+DUt4Tm93K9{jU*Wf8Le3E`m8mTKj%iJWkZGPkJ5r$zipvE?!HdHW1qsh7iTF&fke;gjRniC zJzAzWMv2|C>Fiic`SOj!o<`&!_IWPJPJm`TnyFz{JA64Y0VjCmFSK$w5oY(XhxzT1 zA)`%V-F=;R@$LZ6=T?4p)-XOvl31N8MS31mO&$f&$-q!0vH`i}A`BhUg%N6xwZn7+-AvehaPyu5C^xv`163{CGyXjlA z>tzQ_gU!#G+3V@p>qlktzPB#S3h-(C;u1*}aGKXg+-s*{;l$Gu`ea0$^Ovf%C$)@{ zLv~3F)TPW5*v%6iIYTT^bq#wh)P7ac-%~w^ks~_)Gco2s_rTL<$7~*{%Q*R5Nl_tI z@=fKtpfWMktxkG#W$L{+k!Z;9$O>tM@0fSgu`lQKNW$wV-duIT@E5A;jRjYS30_&g z8|Z+o7_%iIOQESRrjlGi9sA`eI7Z91meJVpA{`~bG|KpqmDtec{_@-9`ekcTMiiUB z)Gs|uFDZY=mp(@Bxh2Vl#O<{_^t1y($VY#bWL^@kXBz#fo_pbD*ORt4Ttze7 zqb#q<6CS_fttw=hR&VfH@eC}LRkc(36^`-Se`Po=V^KT&{n3MG{e;#^PJ~XVe3QOb z`cOu!wJ0Z#&0QgQmx-6Qe2Vwz1)&_F6#a*;eVe zyhs#X8e!wrS8j$4QfFOqyt;=9_xU7Dy$*2|BaqPT*NTZIAoq%oOL&io4SD{!BGk!f z`BOk&@el99IJ!zRZE{P8(DM4xg0d;cBR8&{Z~R_L^7yv+T}5xsB3DVVnjA@)nXys9 z+Gn5D<(ow(J9d5c&K!JsGCgHys_{a(k|AAQL%Or%OmK?*2Z!6C3&*AEB_*ToH*&AneS=gCg=MtV&@MGuvYV;+Lx`L~i zq7t3-f-U*B-E64h51Y%Zv{;TvhF7V|5j_WKds3?o2zqJ~1%}85%MFFm7AO!?zsi(9 zXs>XdWILU&Y=BOJNWNn8xNm0_8~b5dCM-uOs_sb~Th2524t`nlrd`gH+-WlDi99!U z{;Z>SSh1YL9Y$}x+^Vb!)r`4Og!s=+qZb^SYEsTULJF;{G zl*mC)1k%)m`~AQZ4TvZV8DNhCfwd3_LMEo;KwvEdfKWe=5PqjP?BYPhBA`SLUL4qr zGL#TdB8Pxb%?BuvZy5wMAMoNpH6Nfv4v7c{@yk^p2-kdo68V-vN0=7Zn535y8p6vFlVAxDI1KDf@U|0#%^ zIZy`?u5SZ5B1~n%Z5a?jsOE#)iu_MOhxGy~Np~8d|+_D1^MC0C70c_lHw{m0w*GnTVO=UG%Z|2$dzQdU#r6sPfmLf@wx@Q@4Z}Ux^#e-r<^b1 zZH`Lhf<~%E#2yQSvFU>Ywr`9>Sr%H1;;!k^l)srWvT62uhk1kw0;5%GQt}FtyXRsdy=Qu2q}Z`z^J+p;7f98)M|;cH_zji-3em zqhd#Y4W1!_K5^EQQr7p!PaToW;w+1xFuX&T=+E<@`J&3Swn9H+)L$yh@qAQw>kVO_m}< z>gctmk|omnyQb7c-Orm>h{R6x=E+63dGc7@OWqzzGtv+zdv*_9N3FnE*|nz6Ww)he zUf(DJeG;rQDa+W!4Ew<%?LLndHFCz`-IS@PeY52r5rHL~!kdgHscY}mrO%gU5Q&u_MTeMa7mP_BhJmP%h$`mEA`&!9t z;t5UF(flCSSC{5E0?uD?p}Ti1^74n_AeG}~96h<{8aCm3DK`dc-HPV+OWJ2;ar)2F zyQ`GROXfXmd?G+@Jl~alU#Icp^4BB$5_DyjkFHdAUL4obPCu}$Sb0u_J^1sBXA*hi zKEx!)sA$Hs3~91SW+iTa;kbY~(r9pM$D(?0jr5U^Rvx2L9>bQgg46fqQ-u31I`eMn zUOMcwGNsN z^GLiQ;4val-1PqEY)>QYyjt#_WuwxSB9W8Y?W_Ia&ulvmIZJj{5F7A3w+a0?K{sw?eBQ#T=;9 zBq-f?cbNFx5vPTml^65Yj&vzkm|}ib$rwLYxpidM!<@UB1^2FzG;mp52(RfOrc#Tw zWIfkH$yME{LiF0oT<%P)dV)3qWg8c_kc$G577f+Ihff+x$cu#E_NWjjTWDF>M?9p| zzH>-93MF@n;cW7qq*qIq=ujpng!36x3UY6Z+~%U|iM`#Uc<4AQ9mp{;CTEG0?3AP~G1$h`;KBfH>6~ysAKTf6E|p=3sEY91;;Zb2b70US7dJ zN7!UI+~OOc?MR7Vi7!j!MZy7|s=ZNCwM?)eaXU--d@;yfs zckhKnM9!Q|K;+C3#ch=#5s@=z6L1Te19`J5Zcn;}%z=U%%J}dk7r_-Fz;oPCO#6>O zn4!a?NBLBl-v z>u)he%2nLRWJm%4RW$HWHvy3|2Mx_tpo#{G2nUhZIcQil6ovl|VAy&U4kACTP&jD} z{8|B3H1HhP*Lx5U`JS^0h@3enXvzUqG{~XCLF9YR`uV|55;=9Crow-zV1Jp|{wXnT zs-XR2Tw7Pr(7#J?8x%D3hT_^E1r4W(Z^6Wts{3yf+Z|NnyNB)}vtf>nxAiaCXzs?D z*l5m>Ke$8Vvhb}xWp5qjg>)CyDBrX>oI&a({fF26J4@+5Ob5wO(Gn2eM7#?`EB?r}o? zY3J=Nl>9o=dGA%q%k^?2?do4xaIDXh5^QwjX%(vKs&6@R@t5GMA^oH785LF?Hhnu9 zR4FhvXuGEILaVp)PxP$sYNL$r-4@_i8+!Dyz`*1@Yv$eWMf9TdvIQLIP0wFtR41}&i=LgFIq;(ILMMN z2pS)93d(7}q^%x7JO2HqWaz-~>=}Q`Q?17{oA0`~d!GoWmA!F@yfu!h`<@`J6z81= z)uY$*d_Uvf0O=tT7?)~+Ri>B09x?ekpsf}SrGl$nZlM{{rs$ngw37|d-FFte`8 z2p~*7R8`*AXTE%8TQvRAQi6b-wkP|CLmcjAF`IIQI&pcn<}qo#PN{yVq;SQ5U%Vrm zVbiU#n!EO*pU!E;bf(_rdR&;f%6{#|sPeUm)raQaEW{6!mi=N}AnDGtHj`-ES@VO| zd*6_FILF$3jkM9rB{LD>7dV1toyd&mR&T2woNn4}B(T!=HSIA0%Qvb#U&(0)8Ts@4 z-GP?Jp3tAq`V}*x^``o5mJPKGgSpQ6GlwRpc?IYsbZ0;0&p+b#(-0W-h%tIJY0Q{^ z@yRjo)3>RZPFc2I4W9Y_;80nmAtpGh=;zooLu!@LK2rY7#hXp3-#-O*)l3FvI7mH8 zw|NpP;cs%&wzl0SMJw%Uro{VCb*TE$mzG!ho6FM+MM{GXIy@778*p3bOi`?W_r61+ z*VX^$k5VhEVezLU zWomo3KfSk|;n&-=Q8~T2H)!!nx%S5c*E$lH_MxK-6P2%=`2JeJplE?f@bEi#ns) zhEEJV&Mq!qwO{Xc6CBL3kMnM`p?I};JWO~LySq)IYK)ppa@*%MU6J0)U8guEv*&8x z-FkRvz}a+157+ZGy~hu4Tqp^9bfF^f=#_ls7PP+U34L1I03%D1`1E^qFP^-?&~}OO z-V2Y`Y41DpRVmyv;n|RTvNgTMU|`eT0 zXpu~~a(^cM!kUE8{)nqz+#B!Dc%*60dYIqYE~$0MRT9m6En#~b%eFSBxJVBU#%jAD ztG!DzW9|MVl1F>hrM-BkDm6XWP5hHCCYmeN#(yYMu`KJj65;jSM&_f?1^);8=xl|# zSGmN`TdWMxFLT(6{m{Kiux*i(fB+)pp*J-9|1U~;Xu!~b{_TzUTOWgt(?SD=1`x#H zx<`PgKN{%y2SHKDq;}lH4S)Fug3$Fp8Zb0~Ak?>q#(#e*?ikh=OB*f@Y{7{J3=LZb z@t1!P5Vmea1BQkzgZRro2nbs@q5(t0mO=dG9|%HyduYJWuw@WAbD+LGG+=0eM1*Ys z(SV@=0zzJZfU6PX3#1Sb=4nF%hK4PJ_*+g8gnHW0__L@X-;PZ{{4FOWBFxi<1`G{b z2Jtrz5D?~RLj#6}Era+Q2M7o=!=dqKQNyk(6a)+nkcg1?ir|Xr$oCu(+-D8m$pJ$H z7!lgXh{m5q4LQe6K;+DU4!1(%&!UD!gpJce|Mn#O*^RAhfRJ;9x#-XvoD2UEh@3gX zFhe{Ve-<_5P@$9B(Lgy5JjYEybs-@1+X0mGwhSU?4s=rcdb9CH4G0?4$bC@YRjbLdiS9O<8B``5h zo9VsqS2RaQoz@)cV=i>EKTcy#kRGDQ^~?L*T_HN(_}u+jZo=;kr7CqwRg75Tz4mLx z*d5$K5u~ZWmO^>QTqDkcL7)D0uQn0zX)nxB2{m0GP%5O@}|42T|v4eh;e4=FLq-I@g z_=2V7)twmuMqe~v2y=JUS&N<-R@GKZIk+M`Q3c{<#m^%eN~ zhgV~`HBOe-WvGNvWxh$VF}8fm)m1uGQuyRq-_Bj>V+x_CBNTsDNY?n;Pff zPdq!#ad7<7;~4QOmpASi=J72>4ouNWtBdBJOC8ii^xO{lxcEfHvGnhKcI2+|x9QA9 z!%<7`_ngK@-`x#P*RQ$Sr(WTz9Ane}UVqNTNyIPBuv)`oRk9%Uz%=h$@=?~ZnciQ9 zDI69b+V{x1IQykudd5y7STOuv(l0w^hbBLUG>olcl~4Jk`F4H!TnaX7eR`qj)BzWk zj)B^O+}h7Nr>^d1mU=|_s6xKNoI%-7RjSbR@3ZqI&*i|Oz->P|HIsQ07bcU>soSBf&@hc0f~ylnPG?uq992HNeTiI6a^%sD5yvj zB#9!Dlq8ZQND?H7)Xmpvxlpjt33+) zXP*w$Z~09kSyJ3c$x8x#JV2bIS&6Ouc@OawB3)3fn#_9!4uv2kj=8dp*p7a*59Hu&Atk@K)iu zvTKzEs!+1%62;ML3A_qPMmO%Jww!vNQHuM4Prl6qp5;)z*)YMg6g`D@$+`wlbqX9) zEcbT&{)$tGTq@o8C&iRIzQwLR)wWvKzUm)58`*#Q<0qYjL25-Wn@jR%B~FkPD2puF z)W=ZTEUF$@sT1MvnK3_8`Ao@5*fjk<8S`_p0vTy)?ngUFeGUgiJH1fmKACdvK<3~9 z@!PLI(#oIR6Cdtg;C+(htX${y0`EGq0(T4bSieuzEa&_(26ea}(RYoHyyvCE{gX>M z?KPv|idxf^7cZ4eB<)%~nqzzxyk(tDBrgofE!I8UvSb$bzCW=3&9%?g*b}jP9(yme z)q7Hp6^4&eH8e#F93WR4YG!60k`o_uX{5dV@k}p%d|R>qFPO}=;oO7&mogXrxe4OZe|?b+N)D`=OA@%s8U&?qHZk~`!ap|w z77^xhu3MiQUW_mhDmvjGn}8g|dx^t9sOW@WS%DnHTmHd7sOa>!M*m;iG2|eg%mo1n zEL89tl>Zwf-U1Lp!Y+u$6}{uh6^OwNwNALT(SNSS2(69&@eBX1jsDhUY+M^{=v)5J zwGj%7#5%OmHV%mvW4y8aqDw`Gwf3C(oxP$Be%lw5zIy!(?p&4`MZhbbOo;5qq{-J2tYwUXXn z6n<+>`NMl_+>Z48nkyF><9g;Z$8U5SpJB*L@zL#_>`ln>xbFL+c}ROzu%>OU`m(qbR+N zd{9W$irUgbD_uUbqW77L91>l#a*lO@Q|)$CpmBSD5n+9S$ukoH|Ha6eeHL^6T<$HmP6d zyb8x|@~!0fyq>%Bd*AW$u~^>s_ivK#+%ov}O(bk)-_WW4|8iyrN^K zf9GW`*ag10;%Q(f34&0)3@Eh$Bf{?C#YF_vDktqsd;_I6Ac(>J9WWx$unqxX1-|Poj2qt2 zkf(})ou(!UG^|4+LNy4W)CK~=s@NrghIJ4`QF=P+^wI zx;;s3Er*3IJ5iv&3r2(w6+z~}hl*g%fesb)cfp~;K?Iot{fwZ$3r2)~M$q2{Kxl0c z3iNl8g2dle1R@g890DNmw-o`9c;*lQiD%CGR|@jI0u5f^u@WMQ=MEu~_zO!4b{Gr_ zw0MER5&((6u%uwuN32&x5Su+PxG{k96=?ASLq)?b=|Z82Cl4AoZ$lyyPaZU^^A?3` zaU}K`VK-yr+L{0D@c!eh;tqg9(0LG~f7Y!P%jo$IcTv&x6Z@Pax1Bhc9OKAVS8&_o z;x&66K6G@KVejqHff?1@?Xrwdq&0b)k4h$9Ikt~7y*{tAaI4;ww;~NQEemSc)RF#P ztgyh2h5Eb$+qRB19;XkExfA|mYm-#n>o$qLXEzb-%DP?`-8v@n`#f z;kbqtkypm%Luq!V9c}3;T{bqYZ))9N_ZmbF&6DYh zw`TCy|ENA_X!pwbH~Y~?v=Tw?+`sCLRULVhouw5;F-Mn6cRU&XD)yA}*SQ}Dh4;QQ z?T+hFyrfmMQ_W|k^7Y<|MUS8NU#GH9yZng0&XJ@wS$${Z^v*Y@Oz)l-D}SpKH}yE; zgT>jxrQ$;g9x*~1?BcgC$62AC>pZSV=(ll;^_i|$ntT5_V0c8m!BRWJjcuHdh1IC} zQ~134Ywa@qo-46NJ+2=jdg)0V_pfL(+08z2`EK{4%PCi3JMR&#XWKpq)t=dTirs5p z=!dpdi|!ixy1f-jb5~8j&fLF~v;DZUcoV%=ag*w}rNMNZr}B>Dh7@J#-I{xSG6wX+ zf{rx~f2+y9A4An(tX-h^!PQuJa#%FtQh5DWyP(!{&%_N+t9iw}4qWWO9BscmLnUEt zQ*zdA?Yz^ZiR{axyz22vDhgKK)*Mp-H0)#{Uv{kt+zvmg!^$c@YMFC+O4V*Z9}|5f zi4uclC+QignJbg~B6Rg-je@_AdOI@XZkyggKbbE6o=KTMM0n8Up?d12Y$2Wcn{*T6 zw3c+nb0PN&S?6}8Y-I=>H;a?wj%2vVBJ?=-dQVrDQRywxe%6^MAyO|#)An~1=|8wD z=UZ??&j0@X=T7FmSwcI4pL-}MdU1?*b-lb}bpGrkE}LGlp6h#3{JEt?y(<3x-wpZ@g7VbXn;%3p?eS3i6{Fx$$?qCN0<2;&gr~TaWqV;ty zw4SDYJ4ll6RzJGfcb!N7d0nOd(((F1zHeVUG;3EK457X4>BtW6I- z?~2XR6H}KiU^6H&D_X198abkCS8%x`N7wJ{l)0O4NpR!WxDl6X(_H}^cUlaK#wgUE z$vi_1$;3W3;x|gxN%m1KN;K^r>LNdIyKh^oog#0OgP^cR&>~%Mm4%EVrSMH2Masl& znyCW@j3P%!FK22Nb@ab`^v?F~aUXxBGY>6`pPf1;w0OFSaW%bYA?EM@ z5$D2PeE3&RU;iUCm$>-fZo$7!nCQ`~&MG?2X3my>!>H(pItk1lN(>lAh>?8Cb$s5H>M#Gq4os| z-2r8Ws_z zUZa4Pe=s5js$S#!(vXN4SZ@LfX!(ak#MKf*9uEGF2Li&1Z`aF}i6sCAC&oh}63-ko z?9dO?20LwgFGREcK}^wiXK--g&wp@*NM03Q-ERQOZH-|m1Q7N`Ay zpAqmO5rf3%90C!EXAS|7_}hvANIY{0fW+Tc1VG}MLjWY6IRrrBa}EJ;lbM4Cd`N_u zg9dy^#NZ|~XZ=iMV&6yT!$AXmrih2S$;?3meWr*bZZdPwK%Xh%h?~tEz=uQ{5%3`q zgPYA9;NWb;5jQ#Kpn-$45l7tQoP!1q&PE(@lXDIl=rctc5%3`qg9zSMuo{;2li!I> z9k3df_1;6GAkM4?-pFX+;B3fego6m?95{#|bKnmL!JGqsI0!Na9udKu1C0pykl<65 zg4J80fj(2jAo0v05RrK15CDnKIRrrBnL_|1o;m9Y4#{%Bhy))iA(D9RNWo5iLIa(q zU`RL!IFTTTfz>0TfrDc~5DnXTtk^64`x?-I6A6ikn_$3M2XG=0gT!+OYP3jh z*j}IH`lni)VAc13cEPPzeWU+&scxwHMsGMy_8;E{B`JZE$E9azb(1kN^$uG1i*kB|g+*DI9!Bj8 z*nhm+cw|_XEPT7Qiq@og6glNWk<$gS?b&zFm6dGIzQjYqr@RMiz+E}I{nFmPV=pdz zXe?wSZ?a3=b5WKmu@d{p_N2Sd%{)F2Ipxkdzre-=d|y7QV$&ntEGHtT%9dse(uQ)g z^cK(O&AE{MIX$sfBkFN1Z0o_JQTnD-tfy?;ben@@W3r((YLNOtnY0+^68&q`?(+ zPMbx}>Mv!69iv&*F>y+2yZJeGHu^o8NQh(!A=$1cCLeb6?l)%lSWB%F-!ZeRBpz#b z$aiPD8@~P8!cZs2n51i5A@5UeFeC3pF(Yzl`P7Bi`|Bf4r3QWWwD6S-G#cjkbrM5f zD*7aox~j6}MBC+K-|1zvTZ*1IRz2eEb|{FX8Qpn5z}T2|HQBG)GJU|7AJCHSA$~(JyZ=fJMwQ|r!ksZuE zX^=TEnZhRRgZYhw2D1|Rw8sKneD38v0zX6jWBZsrg)(!<_pUCI$rmTAPDq!d z^lsRxc>1{OKBfuYvi;ATK!pL1;VO%U*xQ!7jE){++$S)4_wzIoGbT#Xm<2xCZOgAy zoUL^gcT+qT-@p-GanE@Wz5RUS*Dh>!x?{d^#=zEO*^uY&bLtNDt^Ra1mjC{!uAaNx zjx_yQIyXagGs~9dToc}L#tY+*8aXRx3XfiseV$mKnecr`=8&>uF8_<+FW0-D2eQ5J zEd7yJv^%q5idI1{^GX~$sXgzH-Pv_l9D=Ns1}?GfK4jg0gznahfVyFi>yuv2-;TIk zI{d`0Yq67iG&r>2+RZ{94((_sPW9*{k)I!uYT7>jO^w#aZUnC>j`b7c3q{B3NT#j6_&wbkA65|xt16{95svd+uOs^ z*|y#EvTr2iPAmn@^QWbUx%Q9qicfg~p0u!|7 zz^{~95!zjxw~`JX-?tSTHZLZf65dMEwxqwDKm0A|%ARv=gZ6I=uPy8HjLdGOniCC> zL|yRBu#P#$B**K^!klI*v+k~RE{ZNf+!geOqXPa<+ciZ0FS{#fpbBNZHe#bm0(Do= zf1RLztGN&mW|E+RV+KGFYLcLV8Wa$O`Z;Lem;n$Zu#5wWP>_@Oi%yV)t~mZ<)$q@A z#r2u}=MsnDisL_f%l@u7{#LMTTybnTe&C-KhqO3?1qX{(Bkdb8#?l%6rW~&jUfaiG zsZX>O8F!bqaVDkYpBGNjy*cnbyDD2YWb~qSz9_cmHdcm_>_`Je1l#PxT|GO`OljLc z@n2GNaUf}RVkoMNv&U%)8wL0D$&84sI2H$_L8r*mRvZAf9)BB#JW;qS4S zMKY1KiD4UiA&$`>doHX_`DWd`b1*+fckJ+4=8wy~_SAjvvO{!!F^cA~s6?<<#U?d9 z*-oJ{lowE%`lKA!AZvCMH4pn2rO)*?1k6s*7!4Va_gP}hqv!Jz`? zCH-2Ll|!i}*8cGIKD%A-qT<0_G@U5Z~fL3~n}a0CN-)5!Psf z0h%-+Ags}5{e)$rnFCurVt^)1NJKb@;B5s55o8V=MDVtPK2^XRg?vWnQw5qdK@i%Z zg8|G@#31pv6@iGv=Ntkc@ysCr5}$JjfW$LreaQ)~J2Aku!r)^iL=w*(0wmy%f-#|8 zNEpB$MGO+3cA)J`7~mXUFd_zKj$wd4O%Plcw1ABO2I$j-fUxR14A7?u0dZ3kBqH(T zfofcs4YzClBTC`iNd&4?#ExE^4K`_PQmJB{Z`d#7a>80f_M2g(u){0AB!=LF&&F-) z?~hpgSS3jkRJXgIKNWEFGd<%LMJ>*R!g6D$`S;2(EWGEvnW`vqqPSc*GZU8czD`AP z$294kI>s2wl<8k!Q9v?IwGw@B_KVP~dxzpo&Z#>HyyQ|@{H*?AEYMZ|%Sc9Ay``~y z+d?z{i{10j&&*)1Nw8u9-@OwU*?&{`al(F@#3;&aJ@&w44TX0K%FiT2f1l;8qk6x_ zDwxE5LiuA`XvoNt{Q-Km* zw9+HrKk&NQDYndn>wg!QZF8Gv{<#z*`jt7(Y5zgi3NZ?dgzW9svQO5s&AUyf z{=6zFG1}&yW*DAZq-LNo*2q_U|D)bQf#BY{g8dB-<@l9q7ZydX$S@`B_#kxm#Jtt1 z9ldPZN0LJiXtHy@pef6E$iX-BD@EvJ0;*06{qW6mEp9$J_x4aJkG3{9ZN)(Q!-Ks$ zG|ne}?x!n1sP;#`q;$Mg?KQ7Iy3s2oXZtaoA@M?o4_7_?4a&YZ7L1o2N)LCsv~%XQ z?0ajCT@l(WTz5{$WGz?~+R1*4RSf*$o^$qH?~(Mo+vz(ZPR@FkXD;}pe+?MuY|olB zF21=mU`%HHzELsp8EJ#8y7={1dlsfIaf-US#715WW;+*ifwC>-jH@!E_mKffrW=Q3 z%gT0L6HV2~8(e#<9v7)DdZ{E%bl#G2;+J>3)CW!L$KMz~jVjt_a!~Ae`_4Q~kR;0gAdDbOb{O*5L6Ep{~EB=D+Z96#5JKO?X9lBo;M97l^Rcb(Zdqug>N!mdxH?6Vcq+XthU? zLeV|hNGbl&o|+S>k>h7BOEyWQ_gxE#@S;-lJai9hd4}?LbC&h%xn{QgHj9&^if1sK zpGMVkzEi6*G3*`LeJlNeU%|WHU%kJ~=Kn0zUO@R{{aVWAwZ&-$zsAYGc_w;7l;8@3fSs!iYO zInGTb#Lc(co5oF{r|8wpY?by~`G-872sV&>CFWM>H5BPP|b`ACZAOIsDI^)`Y2gsXY@qxdg;U`iDcgySvP;)$~NBR z9|=7p%@^b9Qp3J6^rmETNM)5#C7rIYZ(Y7c*S6Y|TA|IOBekWb(^mJ3DOZr3-MOBZ z%=0uJBm3TWNM$6)KAoUr|;}pxkmHa>5Kap z^*cLV=j~ieG{{G`v|Kr|DB5yM$}*=rYhjzw;FGjN2{acqEeft|HIFE#9;2hKtKOHj z&~qcSeHBId>w>`?Ql$|{uqYTGHrXQwe3nH;rSmn&$>G1ZHw6I#~-C&>abxQ-oQ zBxevcdbZ2CvUg%ll&RDA&7KP{mEWffJfp1V2-q@Q{OP{;+AU>?)HsskT@1&C+sZjZ zomWX{iYV@P#qmy3@t(Hy|*HP=c>c@W^!HZ zbBm;w#Bx;{b45zg<16{IMJ`Hl)DnU>64Y)aypaz=(@Toa->NvY7QNK_ET*9C;(3Za zR$~LH_Ca^fyZE@jbatXBjUeAiT3?qJD)w?h(?0tTjo6l;8;#l!69KcKJcp=>@c+4W z3IkN=VEz{S{JR4RYVKix3LOXtvlZ6Q=GwUT0YRv(fWaNRNeqNKAnP?v#6YMYf!R=t zv+lWoL&d`U2+Rh97Y;)G2n=u*JQz_5cECCYFliwmtY8WQn6wZOcCjl4Flj*$11k!{ z046O2gq_Wb0Zdv52wlDc9xVjKZOOsqD{xQ%2%>Q(r$IpcbuR>jo&1K`V8+5TXMODr ziHN^tf<%O!X@J>qPym65xYalq5wJ2L5n;X(2Cy~b@KVpFMW)Tl{vzY_*c_NOu*~|f~Or#M3D-$ue*~|gXn?)RPvzY^&H;Xvp zX6GEh%0wJ-vvUq$Wg?6y1z4GgK?H9rn2{j`oHq-J2zw(-;eJST27q}kQb3<4BqAI{ zFz3KQ;+X@r6{G+w6C5f5ka*@00Ey2za1gLE!J)3N*M70Exe_pl5(f0Y}b)5z(-XfE3W`2?1dn0V%-Egn+OX zK`Fq^L<|y79jNCbwV~DXAI}AMZaxCfB}h-*sa2J+Y(oFL!`ow3a_H?+sfQa*lNf$B zrgylc-es;5VS9~FdZ;2~U^>j=EUy&}E06WhA1=#M2hCVtm%a+abso|1DU*^XY2R*S zZBsaN>`KaqMmHhVM| z#1D_|pVvxW$d{&HD#}XNHMYT&*$vKIdOdKp%~3j9Lu4@TreJh#kJn)Fy_uAm#6q2y zo~%MwL(0mB++yGCyBL=|OJX-eF3fXT(!QpW|4rRjGmbvz+tDKStfcxZMXf?7j?8ZV zYV!JS*FM?sTtsPi{+zG`Kb4!J%I1syl2#@tvNL zT9wx=whh%no_@aaiGlEwTi5b!d(QVNogIqStMAP9aqRv1;Dxlt!;|tW?!{T0PanTq zj!?TkQ~184I?L@NRg!j=PmRUp$5~Tc9(f}1dig8raC=00rit?z!)K#n`n_ax zrN&kFG-LzL>S0dPmOr88Dmb!@^Sq^DPXF}`N+aW&(;uciRPSizwkY!yg`xr;HPqc3 z6q&MolO*Aolb&AfIUQ1+<&kGn`1Cxs;?r@Jsc@Id=7=1J^O>eyv@9IHXSBQB^L-O0 zwmpy1!xTJ3cj-p=^<0r#(mfS9wN_I&x+l$G*Ak<8;|=-N9^Ht~<}qhn6}KH9+fioS zX#3$h*9YD1mt~a7)@SpxpR@6$rBLhV7?W#+9uyq(q`iAkBm4Rt{xlEw&-8D)mx~$t zI^EC=55xQ0{*0BS-q_J{#R8=i^|326P}(;{QcpfJy`e~_ie($eO~DIyC(*mI$=Iob z+uU}(c;|oKxJ9UAti7w|Hf>k!r3n?iw;w8=#l8qM(G*zX%op2sWJ0QB&VqjKP^=O; zR(9l8o9~Z-j%E%T?pTW9@A_kRch5g5&Z`V~$SYZ-ujE*o>PzkU3u~?twP$Eg?~qZD zhIGt$;&a0wi^Gy@A%FURPMvp+84SL~9OYpBQEVUW%~wLTzrUW^nQSJ!6wWk0XdIg? z7QN8)BxCAFW4&bEzD{nsZC>wIkFIzH$x!X>sEqA8e2k%(LQ76_k}^!N-QjTM7Q;YYdwi<2UTG+;L{4rhS>0JUMQW{LH$!8>w3hX*W{p-mC zGl^t|$Hya1_H+jYm>M|o*{c0WQdU3hXBMf%sf%H>emFX$Of97RNH!o+S8I2icuEzC zXy#kPME~jSdk$u5*)<<-WWPsA8ml(ByRE(`XXs6d<@0+SY3FT3B!la*Rr?-t7S=8^ za+4)>Fq+xCaq`uP8j4#PJL=7D8ab4caw59w`or+6jHk{xJrCijS`F}L^DSWx8(LF- zyUSrKPic(u?HT7=^DNwzY;h_Vipn@!W~F1+0-t@7?zvp~IeWLTUxT&VAJSfX(X0fq zZ~CXi=BblzB@UvFdC}c}|B{YREtG!Oue{!vc)MpEb8TKa29u>{eaU{laPW!Qn(rlQ zK&#i@muX|)t`(+{&1#`APA^P%(DY&mHBZsGGXLMqrduYGNU0%igVcsD`~T@~gVf*S z+y3cXS=Sn+{^Q^{V%Nn;;S{fpD`F6YYX4F|4GIWC4{DRbRs9o-2(>AsfC>~aBGhe= z0xD1-Ak1x$0!|7CL8#jx1yrCwK$zPg1yrCwK$zPg1yrCwK$zPg1yrDbAk=M;0vhxo zAWr57gjlH!6)5mrU9ZG}fW$Kg13Rcq3h2)Vhl<8+@xh2de?A0+T|F!XxPK53R)VyC zd>^rI$9kI@WT^Ps1|%Y^;YJE@{~#dj*fc4?{R2T1?8FQy!2JV3sJbZyxPOR2;+X@F z2)KVpBLeOpVi13mffyv7IRri<@ysCr;%`q84;5eAKnxOpTM-y4zP5ol;$|}kaQ~1- z1l&Kw;AS%iaQ_fT+-&9mjf{vRZZ>m(Mn=RDH=8+t`-e0l;Qk>7@%2>1;AS%iXk*xX2AUehe`k>o;d_S;%_SgAn`e8eE|qr1p?Pif*+Cq3HX1=N#fHET;2vQ zoCHG>0Es6L2G$}h1@tn45i!tR5?}y=AoQRvDWI1T0^+*5!Sy^~03rs7Cl6eu-e3U! zmr5;;esp~WU}f-OT=f_stEVZ59ROfho)h?o^ zD=KP95DW<~7V}O#9DF_-TgzxPZB%pd)fCk#&&rh7?o%NPRM0 zVwUlWsfN?d>&s2_J`@M3<$mL{Qr4fZYqolPE9SV=X``~WUxz;Iu07e##BN?Gt(eK{ z3sF|eakJ+|>IJ^<(hQROAX_0iP-394U5l@q*DJWF3dQmK2M#=3~7xrCgtsX9n)PE-S zh}Tkls7~l#^q$609W4VRCq#4T{wYA$WP3Fvb zMvk7(W}~HCF>DGs=Vh*zlGKw&G8ZgA-d#`AAh(_2_>ZT4fkzrDYp<8*PM@J}y}m4W z_=!ilZJpHD33os70ha?KS+9cXzwb@mtv_=nzA2xh?*6swmVO`5`JIxb*xN3G%;as| z_Z?-mXB{pZFXp{eDO8=LP!Lz?wL78X*>GRw<8E2g+iODMBx}XaRolk$-_;+QD*WJ@ zK5>M!#x*ohaHQ(iAt&C4cBRs<^|t@sE2?mKEaY@((hZSUole-_k-KwD%u4ouTtT7w zb}4*M-)+uku~==lYdtX@JidQ_T8&`w_!MvNqPf_^>A;Io!C5^u zwc`CFXVLt>85TpWAB5Kx`R{aCjEzFY(zLry{LG~DIKXIkeTPuq0)LtOcwU{<7Wbv2!=yiXAlqgXe=gUSu^ zM+Zr&$twBEw*Eex)O3RXO)5{aqPT!h_(wm@%N&v(hg45aRv0*|9=MyZ6{W`h`x;MG zneoq4DhXGqu}d?b1nbvYA4xu^IOJ}wlz32;+oS#B3pPty9@fCu#_3H@1QR5EZr0_J z9)GT|^~4O9_@0;H#{%URAPCinu|VfI2tws-EO4wg2tvhW zEKqI%0bzn27C2TL1fk+G7U&#@fG}|x3zS(++V&d`SW^xY^7BOgqF8H=8+tX@@xC zW-|vc?T|(UOgqHjW-|vc?GQ)YY~}!_9pZ?aopS)w4spcI&N)EK9@2<_X@?lx?3@F% z>>-YbuVf(xH=8*?%N`^mOkBnSEqf3UCN5)vyL}-bOzOn~EqjPT1al5FB4FAIL-SFJrn*+wTA_NafL^YVxRCtzjN$I_jx%OjP`^_tL-qWGf zjDty}@dqw8j+~u$k1PGveB1j+Aukor7t?J93=7*5I#&*veC+hdHSI~3wA2*o@>>X0 z&&-O@weJzRl2&H4R8rtQ@R&`c$EuGlTC>}A_JUJMZ$#awldGpEX?$ZzTKeN%?Az{p#-{3wiW%VVBGtIx#+*8NPG?FbZ2h~=jEoD?uOlC|zw9TIqN4+z&6W;Ol zeDpoc%XAWH#z%1+4qiF@SwjYU@)?c8Jq z*|v$>Tt6$UqAq6q_(_L`<);hM$@)!9AEhozq^1`&O(~w8N_>@072G&^+PEe_uGPqt zW3R-rIoTIKIiC}5FZcHz$n-eUU*dOo^@5@A9v`dXPiBuN$5|5sWghsh(KV(SPh*Tt z+8T3*-;MqJk~`6R>A2%7{o00Uw35&Gd@8>Hse)}|!OE%U{#&arn<84W*Cj8sB}!>;L3Gipk7`rnS!X&&X*(Zlxd;osxwlpK z9#g*$b?u#3)FCG^Npf*4a~E`6T<(arzew~B>kkrFulreh{vPJk z9zk}c?|Y>|j_7lWiQeb>l--J#F!nq=s)Q|YX(K_cNHb4kv!MmJLX0!9qQ+r%A4PKO882>)T9U3)lo?f)#W?aVM`Y-Umhe)}Z#lm5M#~#q zFEuWR?C=tbId{YEQSP@Unan7jyESCDsm`$Tb8uYbr!mVn*QTfPo{ci64&BbcI2gM_ z!#i+p=)FQ>w#=9Fd`9O=J)As$%Cg=W)H{0Vq1hq6H0r%PYKkxR>LrQur;snLMin0W zz>Heh#o>Dp{poyBzQF*AO=8S@<`MI5=in*XS~hX+dv_S~FJJCsisr?zr>?wGbFn#5 zbML`iu>)o?HC8i>x!mK}L=^wP*(5n)gJaDx_Cl_#lUUePjDT~Yku3??d_H&*BArUPzW z&AtDNy+Z}HM|~M(MAb8(njC0hmM5Uz?pm5*-*F8EDeJGQO}b z%U5!;4L!<8*RZ;e{%y#G6BEUGgRC_YA(D3fpM~iCO?<7kn20BsolDrVs}>#1`DmXS z=d*3TONU4(wxHcCA z;kE$KP7Q)^bswmwfPhfN6ey(}N^kH6 z34pjQH4sr6I2juJjA+>L2GXFv2Yg1TV!HnACiZX$fWRIUX+&TfffNMxph!Vr4~i5d zo;d^_4zLGB7!maMkb?i-M!-`JK2*@(LmF|jnFIQJNF#1Gb3lI&X~fND4(RV8jkwv& z0sTFM5kY?sDF|p6NWsl!4(RV8jkwv&0h;o_2^s#i0-Ev=gPWamfTld85kY?s;Zp^g z@(@SdZ03Oe9@3#Acw50VT4|su5Aqpd8m%iP5zNLXvIUzX!yOv5CIF%Cwp{lU-28-_>Rai_y3c+zB z5&u$!C%virxjdXM)$TiSe}4hLe4UKo_Jfk^Hy!J*{ph0*jCwf{rMD|!%Tn($)vfKA z`r7F0G|`x_lZrftZ{4T8@$`w%{o0XM;U6pAx4pZ~wYLP&Wm9JOb<=;?{i|8;yPL+? zWTS=qi}pLYv?9^%I_(eAr;CM#ocbzWK9_2CEIbg+R@T}3#W>2UVTX;xk1cMaCc0YK^M0@)H?YbmPeU8*4TJw!a`MKo0cHj8>bWW8HXEG zr;0f)hFV|j*!#qKuJiSmYHalb&hcusH%E3>jBwve+keUG>#?UwzL&&G-ZY$uk3LQ7 zn%XcSin#xtTAU#FK zxhr|uQR?9VhOQu;?ai-L8aipz1&Z0t!cb!zCH)Rpj=7$tcA1*6{+(>yQO9}D@DNkG z<2${@vIEP;yDu!7-{{wv`I)hBQSeLu^_Sk(7rf|>ldHs=UobM*EpA5E)SWARy?ZTn zX;h{qSa+F4rSH$yBQjYUoF3Ww#UB;KPoXZfE1WT?Q5k5*emlEcpl#sm!byz!CBBda zC!fc;9{sKkM)};FpOTC&9udB)fwhTDcih%qmVVod-eFN(_S3`pWapmRo=g*p__OF# zj$w1h>(irjh26&8uA2D?_Pv2QPbKraJKar!Gq$F#t_t-o`yX%=+sSl_j`^|FMD?RW z-}s){hsj+5pMK~Z;QCq^h;mZO9`)qd@v8c&$-UG|FR@IkKWB8Wty~F+^IB$!Ibf0W z>fzVo!<`MuQKDOQuEv~shSuTzP{d`gc%UjNk)oNGJHu6@!Sgnwg4kB#|mEA1pxq&aJ7Sw~W8|Xfz&#c(X?-~0KqgoFBe53xgRW#rwJ&o6SP4$umIk&&6 zrb<~n_p={c5`2a{w@5epF~&PtQiXad+%i3LHTU<p$>|yXL~x9&L53l%`=kaZOvk z>MC!Q&NtyIhtRbEZAWi?QG?sR9Ezmq++VMdExo+`^`O@d&MQAmN2qCh{dJX;Ocze7 zv{KebaB-kk4xXF7GIQ#$u!+g@-+|!}ja;?=y;Ck`HlKp#>4-m1SItfGom<-fkYzyR zOz92A|NnG3QyM55kp7RGS&5y5A-&;_()CR+1cVhUO9Mp%AP7|@rGcUW5QGY-(m>Gw z2twsdX`s^=0>b1>Y2ch+5QNH^(m>Gw1cb?%(!iCa5D+G3N&}s~5D+G3N&{Dxf*@4R zlm1eA8tC+efKWLTs2G5NP&pIm^o4-9 zJp`zO0u=)w2;X)C6$6Mt{3S4A5Pu1b6a*>;5QF#&V8kH)`WG>Xzj;Co;%~bVgZP^# z#326W2{DMjc|r_sHgf=D3TZ^Zm_iJ0Hgf=D3US2EW)5IXA&$7&%mIuk#1S`}Ie;;R zIO68!97!?2m_im22W~ENaNy?V92~g0%)x=1n{#mB<}wEdZf?%Oft$-59Ef1ff#_#A z5JBcZ^fO5@z?gz$4rIB40}*5n97K>gaFBTBz|<_

E*_0g!m+5CDm14grvO=0HU- z+=nEdI)q5#xkG@&rQ$}D8B89S@Fpn+cvFZ$;>iOO-f$8Bojm^~yon(x#|+Z7Ju!@B z{2gZ^zBiV6?q{F)fI&{+-Iv$*oG#qECH9rApke;DNN;nO^50gINwx-|TB&cBO64UN zy7rmHdK49fWlu}cpe;zrvCk{0C~P0dTkQSP?e~tJw`p(g7GCBXarg@TQo0ycjh#%9J51Y??l;|rFXUz@zHEqxN=O9g5I>L!FN^*rJ3&=s4&s7 z6)uY>`r4c6$r&)^@g`RBV_(;JbnF9iZVnc^&XPWkq%W(OdVNdR%9f`8YL}IN5L@&l zKZz7g(cKDGlI0$U9hK@&%3Wh~@?Lz&yYZWBFTUbk4O0mbr^QbikeRYZKo?rY^Oymq$#!tFQ9@{1$#(-;~c+qtU{$hW~(b%9Lr79np>C;`)sq{i<{o=e2(G^JHV81 zEv<**!)-D>wt>*;YpqB6DqF2`GW1T){G_C|BbRvcfWB9p%{{4B)o6OQjGrm~UaBNwPGi`-<4rXE`1*JZ!QlR92m|OtQ@?5%%*COUGHyCHOnf$$K{Gf zH$F6Y@G~}-T(4*$n;U(x-*I%hB2PckL&-F;y6)$RLy_&Rf7GvOR55Gpuz0oQ%$N_I zlGy0{8>*^^X1a-&R(E-KF_3d!w4A;B{>hu48O4usjYi3#M4UDRF3a>xFDLI?Oig$> zroH!c&05%9TrJ_g2M#xr&fmk{@{iufQY1h!&aAZmtkDSzY|WB{kxKV~;AnsA4YC6) zQeE_{7kzKu;cy5!hGyVYv38y(wJ^QNb!nHs-o^8$pOSY!sXFwLe9cA8@Lep$i|lUh zZAabDw1n2?4GVmHzYxTg{YOGW@b>GK6SqjVgqtjfg9;mN-uU;sau;IXeXB`0$qOjpWycG*9B1B=sfy6Thrm*2a;+cbi z90z~{iD%CGCFS@c5)LGuIT*-|Xp&<1wl?J9pmFjY7!lvrhJiR|4+0X;95m!cG~7^$ zXATmrf&VdwuN{ZpPts;*|JaY&PmH3=P03@C{1VG}MLjWY6IRwDXW)8jsh4|Nj??533 zH=8;54ixf;o6Q`22MT$_&1Me11BE=|W-|xhfkGZ}vvUr<1BEyuz5|6EB>uL7f35Hx zDC7}0JLlj#P{<>0cFw_fppZx0?3{z&vx+z(z5@jVp(^5ro>lm=bN%2jaGkTEt%?8$ zkBDH-fk#A;Iq--G<{WrL1epWXE%95UAioZ{7>M5*g&ZV4=fET4J5Y!t;RO0+KeAoBDuYVBCACE6aa`|CT40nw)Z8QcU-`%Mzp4Sdx&_ICj$TsBKuAElnOVMid;Y!xHHC84WU#jy#zgi@E!!X@12t@_*h>5_I^>k&@&i(eaGC*t?akgsueWHAEHC>%QG{ zwkXN))b&$N7uLA0Jh!e6-YbA@b8SDbE^5^6(d~R`l@0COTUGk>Q{$I4>8s|l$~iBh z$Fq6)L?<)$FWt+WKG3_8=^dCmjqLH7LK)va{CQd=nLF2?p{?HHnASsnV>V7H)> zT4?D+s!4OX$*#`KRpI%`_bkqz3r`+v(k3-Lf$exH;Kl#Kzxkf+lf}S8(b}DC#s^|9 zFQ%V*xYazQ+A*Lvg7sB=N=9j+Zh!7=9p0-iJ!&l3+!R+=>|8yriOa|P85}wB?)R%b zRM_e_>@HpBr=*(J`~*hdo+#sVU7nhq|LMrd&vg0O4o|yR(#cmYe|>gxznqKAm2Di= zAI?rI%oP6k*td;pKHhj*!=mA+@M>MId8n9)n4Ilo_OsA?H%{|YY5eI6>-d;4a{nWr zwwU&-1hj{Cf6xVgGYj!FlVdJMuF9@llWtZS!M4KH{KM6UkFQpHUYYdFH}yQQGDk-K zjrY?s|Iao9wg;6-}OJZzN#TC#4T9$i;h%%OKBCY$)AKN588XC zN1aqc0#XkOW4~S&3SK>PcX;k-wU4=j{I%Tb)R6Z|y|eavB=^{zF^W&(sNFxz)9C)# zT$&CX zn}ko7pYs-*ElZK;;@=tE<7jnRkU`TpLzwMd0_7L}z5Ok32e;jo{I>e);Sg_&x9NU* z0U8&Y8|_DPIyAzRhrKE&GE;Rwkh)5{FMs1zi?T1cWcOK+U&s7ahs8mRmW(6MRpklm z$5wX6)Z9Le{6aVi%{La068x)c{ia#T1H7GFR5@P z?!3=b{rI8ln%q5_X)fArp+Bj=jgjH{;04H3rS=}?*%y4QsJ?en$^8Pd;yYUq}R zhSE+i_m|K8`I8;IJy>4k)Kxc$KaMK%-L)SYmosjrJoXl#yu#AY@r`V{jKLJUeqoxT zx>OxZ#NN>G`u|157CnZu{KPSTS2WtR3JSEMN3S}o=s24>TXMX3NR2RX^Z1mD8N9Nq%DqLp#TG6lD0U0g#rYG zO4{PMRs0598wSE8ZE^ggabY0NX#w_6;`kK`5D<+!3jhM*?`FVUMdOMtAt3&O6awM| zS_p`*pg=(A*9yNt0rDA9xN|EY5%G63U_=z8zEx5jzdQjJ5m$B%M#L{qfPv5?$3Geu z211j3LwUmb1{(4ip-H~MpM-;w(5L#>82s0@l#qy$kjiM>Qzf1`P?bm=@EF0*NC3oF zP!NN}GY1|K@EDOs1UyE>An`eez)*?5tq6eln_$F4B|hg6h`8Cz0X#;;L)~oV03IXK zh=9k47{u565rdn}9Kd5l9C5RmgMTzGWX^$S4&X5&9xCy-6@iGG%^bjEL^@Q!V?+#Y zHgf=v5pl%L&N=vfvxpO5L*Fd?H3$DaZs?HvZ-JZ59Q-af*k^<()8c@~2>ErulxcCm zV}yXvi1=M@u%W^uBA9dF5fNk#JR*WQ2d2J@<9E41J|k2M7Y95>@Tn32iDwQ0kocTK z03^Q7SzqKrR=R-62!2RHB=K2?5J^0Fpdz|BU^9Y)B>)mn9!cES7TgE`HX|4js=kYF zXmtBWeV3L%a$#G9zAf(b*X_SsOm2r*To&J=I?FdOsXT}Mk|d+@y2&V}{yh(`q(GR+ z@%BZHn>XSq4hNi`jK)NHZ*k2j>59Cd?`2!6kGq4d*E!CVbpbmp%oLtnY>~Ot(O>=X z`l5)L*TK$nE&ef*tdb9MN9jN9{&hC%Yq`oy;NAsOe%%n9piQe+Lf=%0(ZhQP_F#IO;AP1$@-EznBWtV$=#!wF0Xjc^N@y#`q?x>CZlGoSq*@^$@Mpw~MYDfq*tjI&CWml&>p_LZNdLC4 z+ntT_3S`Zj-TS`v8~;!|74!C~YVC4MiPp??!HLPVjK{IB1*Xtlduj&b>QCm5o|Uu6 zxk6UC=9kj?qR%`=5*r;LmT%O^HSEc3AOB$6flCE1^fit3vG&&(r!{5=#_ihm7pFO_DI=d{#q$dvVAGq4NM>lqDX}L#)_KTVd<~OR*R6cF>2Zfif_M!&lIWqaaJib+&-M_>3 zhwANvgTE8ZcSJ7y(Ux&g6QE_5mytW?AkcoGkr(1Ywt<9z3J{wJRR<9 zlT=dj{g+o%bQ*q}&Aa9c+}?WWXIlS6Fze;3v8)31zY@Q+)ZdkxKZ+?ycQ2Vv)3o(^ zQ2YI%dD?B)i6FA$ykmDWLz*nbS<$2AOu>yjd6EB%y0?z1s%zhcDJcmRq+1XWr1oY5 z64D3~qM#t179kzd2+}Ey2!aSmBPb;$APv&p(yerS8=uGXdu1)o-pBEtZ;bOF&*Qkp zJ=a|Ko@?Ioy5@B=GhM0S55i0xWRd$(WSz0{-sbx3$h?jDy>GXZvP~4r{rs8( zPKbm4aM4_w+Gs9sE^#P%QZPkQa1nvbbpiSxQa4A2W)q6-yg2nc1M51kYBP@A&TJx!8^ACTC)k|42N%4|C?xUCleo3e?u zsU)U_<{K9d7|=N5L~DD8>0qinWgG z?^=R(eLy@@>zYV%_78=lj)ju4pII!MGp_?WUqL@y;&Q$epi!5~FCU;9#*b;0jL|Zq z%N2|B@L^$+e4?+o`*mqr-2I!3pB1wLYZlG#m)h63825J>K~yYk-+Z5cu;$T8VxC%3 zd%g1@pluowp4!~0$N!43VO_sz^*XFD;p@a?=+F=5y}=ij>zBSaE+65|y!MPX;7|D` z)n8o1E**|dtH7}TLCe46p(X5m)xq2k+`Dlrkv`LP>DLmg#|&a#SVYnc#rxcGYauKM z42dyCIV!QQ7L;Jy?`@3{Rj9eb`gjY4Ph?`Y$CZ{*H1>&L^BA+-0-gH{l-Bc%A!Ni> z4YNFyeAu?#(W*Eue@!FYGjEqHNbhMqf zbz>i=z2s49&1dJ6RCEnkII~~H%75uiy4u?08Gm%{?kXQcS5Y;o^bf+bqQqFjtaW$K zDa&vVRCARPgy#e*JQt@&<&noQK_jZXi8J_^$V**n*WNBx?hr%1T z8!mXEs^`B_e}J2sn`O5a&aC2b0aM^j^03}(rY~k{jCkidLXG-@)fi}A%=sMuq0$^I z9o_O3chMKcB|n79sE3H6E(9I_iNqd$*SV`affrKwJp%0;spjULjd|UP2JJnx9Hpx- zZRqNt8T+!|p)w1sYW1bgKU~scPiN?6RZE9{k&lsc7kGK0vzhK z!wVOwf&c;KC@>-@3v%)rJILhlg1!!bf(SS{yrA^S$!qK&5y86dLAU`5B49))NH0S; z5KxW+CI<@A%McC(l%oJ3=wS`d$!qK&&v*(Daps%?M4UON01;=-DL_CiitOY7j@^+3 z0*>901p*E-kOd;noKq&}Z!-r-5+gZOAW4iY@NY8*ND?C(@ozKdWdBs8e^w{`m5~Sj zZRP+;Vq_=hZ!-r-5+fNAND?Cp{M*a{lElbH{M*a{lElbH{M$7LND?C(@o(20z(rKx z_Yr)t0+Pf?4i!ie1A$1R0CaKy7g2$e1IiHaKoPGwrvMS}b4~#w&YV+#h%@ID zAmTOW6d>ZvIW8T5^#c#!CMxiKoQ6c4JD^+!50EAXm=a1G+ww}CLfef3zzfm@joADH~gO!>EMrfykh-Q%Knzg z^87&_|0bOHdH9jK?qM1pS448yn$s@xut)Mjm|OD?iJtxz{&3i5%8v)LbK)1Gp!!~)J;cyF%OfDm>?rYE@OD_Z7d zQ6If=iu#|iUb1ZbpnuIc?ovC}{@~P)(d4a$BcsAYvWBd#uyV8Yy?nOy~i%Fv!Frd{orZfdF8$cdVO z^*NzxKdh(wG+oKeAl*Jtf@mD3u1iLQZ_@sk1^gye_mR&G!khf`}GkcK*b=~pa z*wcDj-ljgs;)i}$78*sb96h1?pmS~pyY0$maf9NHbB|A3{?Xr( z0zxT_KRFaXaE>q8n}9ydZJx)POPW5HqI9@Gl+PFF6v{3V^-AO8^tj66!>oNr+8axQ zqG|&@FK}fp)a8HjXDp|==ogJwn2la=gKDF>{DQj=W;@JJUU|u}du#eLrS-kq&sJ=6 zX}5YAGB(pphSiG(@wc;WWsoLf_L%rt<-|oTBWZM=-DbSWlbl(eCO)693nhAWT6o#{=_a{ zhQ2hsnOgpv0^ywToYz^(lKyy%1db;xS6;j!9T8-;F75s-gTGa#Rf?XnTD@zyK{Pa$ z-(MVfMK_>Lh+3Y-`ZfNcr9j&aei*rB`(7T64Or%Mlw?1A zhr@-lW@Sd#+=U-i97c~5ceZ@=?M;W08+@dKaeNkJ%xjFMERmTe>S84OMlE04Zu|%- zKUBVs8aA*pW3JL3{DiprU5 zm7VA%lnVbzT1(Oq?E3Yb>oi$gp zhrT!MY`1foFPp){G7nt#>Xy25-)Rp~?#j?He#nNECl#C%Xj}D5j z?la0r>oi$*f?Ax#h(S%lVe|z;;RVYZ?`R)CV=vuf_AT%TT>U`Seb40M>rqDeE;8FP z^p;|KUyqALx>_32YYDbdS9Q-}HI|`%P2x>-O?aO%({i{!jQ zlv#sDSrSffdD>!m_B1aRy>&0jGeggNe#eB8#qPm^@H1GdJZEF;UHkPMDz~DQ9O-Y- zJ}EywP;$p@Eia0km6Qvt+b-{U*QcnE*(#M2TrIdkMzOe+-CJT;u2kcFiNM?}I%CFZ zh?Y>6jpH&-Lhe^aTW>Wjc}mYOd61t|+Fx|>r62G<_#zpvtGyIht`%JTN%BFmyCr3N zn!bc7RisDYb&k1wOyQl1f^j9ha>;|6SsF*a*9nHX6m-ZZ`>S4Y3)T&2YdoyRBM8Mw zwZSQQ_0gG6tQCXtS^z4S5R_!y)_Lpjdv7gCXZzW?RnOcTzFe}>dW$O+FY+kZTv*j* zA9W-f?hta{A;@>6Pw=Hscs>~}E(#VK8ig9C9=pe(n zUdXUQYzNzyHs^!17@aBG$}Y3g!;A+Zm#$q4+WT^DNStx;dk4lqxt_vd3c?BT{Gqw} ze~T010XRhP{1Sp8S z1^e6|32wt}U#9P3Kd|-Y?JOGCX5FmUz3LNT5k8-e~ z!Vf7xfG5jHU_=-gwZn7LqZ}k649+-$L_ApvfJB7X;UK`1Wh4;D3uib$fd9FpKbD#T zfyW>)5@9(;Whfe^By2a^klP$bW`tSyuG0vtM7s}@^%a8fw<`O=bWip->JRAF*@q5T~ zIZ@k*Q2j@|F5@PBtF0p8d(y=S%nvjD46&Y2DXGDoh+U-pYf- zB8h$*%l1{}!WW7YS?tVG7H3wbZzfZAqbECDaM2z;W8RZ?U`jVeVCpL7^0Jyu(mJME z(0;!;ks!?Lr<)kPfvraV2(l81-w57JS0}%YKS|!Qq1>I@rWY3Vr-}H z)q~tI;!FF~1|_O{Vyd^F{MeUpZJ)Mc%X)pEfRjGhH#2Frkenbw6!23hX+07tF20NvsE&Hd3ubzA2Ifxep!Ys+0)qSY4`q*)1Fp8fY5m&R@<4e1r z{d(I-i_qtMx)^nU*<&2z-iJ?>57Sg!6UBI;s{IVl>!2A)l<)V#9kWawFGU7lH8z+@ zc^Y8Z^YH6bK-A^GaA&+>5qfafW=U;W?AfTD5eu0^-rXs}e0q#P3(Xy; zcxJ=%%uvNn^%(X9Lk;wzQZM0Bk6ta$t8Qu^(;hyR6n2$byqU|4WvUC&r_iXk@>1*1 z6R=#iqQ&{kTk@z&Tct+*bvQsVqYh5G$uN^X>;cMOCaH&y1tY+px`iVNW8mEu-Pbj)wD73d6abFWDVxyNge4jU!~FX4U4ut(k;GNqmXgG zD6aUM{0?uvEGNBA{Mgx_9S3cDN^NLMo$@K|vqw4c?tf$jzy6DljvM;xMElpuj_k#5 z9)O01=a(D@@h%jgp#cKH_k;iq4G;*bOyU7(Xn;WQ?JGb-0|bKaLID~Y5FnT`i3ecB z0|bI9lXw6=JRm?YWfITH9_0`aASjsu(9i&ZF!(7faPI=p(0~Bp6%7awu(boE91MQJ z9Rvv2+5v#P@U#R00(N#lAbkH10t9UAfIu+gNO&uN6Dm63K1BF{5g8&g{4wM_@o;?I$ zv;cgMptLmHG7913fCB*<8e}5^G&D#95ogXRLq(i9rvMRW&M82|nR5ydaps%?M4UON z01>Y_rvU#pa{w9|WD@|Op+Oq>x0wUb&>$W0Z!-s=p+P$0-)0U#LxXh0zs($gh6dS) z01XY&K*V1w@FWLlXpoNhw`&f-%pK{7f4k-YG&D#@{M$7LVCIf=#J^p002&&Qh+wK6 z2tY#v0t8d-KmZyV5FmI&Br*p)A`)v3*wz{XFj_!*s7S0i;6p_sbHFDDi8Tj2A`+Pc zIynFZ4RCTm&)bjfaQ?iYf;15E*Xoprh%@IDAmYq91&DafIR%I~bB=e%AY0@=lrX=} z%>kS8(~yXB2UH6M`9m!O9uge*2ki$A==+2Ifc!z>{iZ(z`1p{ZKLYLzTgCHVaGl9%zhojL`I^qs zhH&kRqC#U_v%uoAgz*!9F5Pe=U4rQC+l8+CHa9)oWy|br`)|zaxTckMKjvOPmTiTG zz8En`VW44pDH#yXKc2!?_N`R0JnG|lJ;tl$&*=o{Q({%nClB|;iX2be6uDpX zmN%ZVMu39GpCuq*Env)iYiy_YiS0Ae6dgBr)~2d7J$EG!0$FkTI{dxdI0;ypC7$&h z<>f9sb15S6f&4{(_r-l7<|7PU8~z^EywNjl=@D`-#9O7*XFRZ}LRyR`4nogBKYyTW z%Zb0(_Wos(=BjquzKu%5)dgH9R(FG13B_-GAt-})NV(=|2S3{zz2Wn63%hvMz+Vns z;ecd<-N2P)7gZ+oHLvZo?UM?J!NvEe`PE??wmNF!J&Q|Y@K*2xxb^0w9~V^bb@ksm zqq(Dlp1MCFu6t14lB47_a3F&W+zZ*agT_1doc{LkxAcDKERF3Wx8 zwoj~QqD*@d|M~j-d>dK-56={#rB)vI?(6;DPYsgW@;M^+JgK+3CGI315zT#M+U-ku znY0uy==O!7Mt>{$6%Bbl*%GfAigD`I4F+ZYl$T^;g7k0Rj0koIs|o~^EWDvw^wGGI zwm^Tq<#zXAp-Bbpn#7Z+%V*ttE|#NvaFZCNPcV#$vJRG*S(GZNZs@XWbEh%Jw=p~^ zmYU#c3bJ!C_=f5oluc^QRho9wK%h1Dj5X`yX6}$NOT5smgUDMEi!24NX=yR&h+!tT z;wmoTtG`fo;5vVXw^cUjty$yQ$+0vJ26m$~)7gES!A!2EyT(h4$#XZJppx-_^B;R3 z!o!TxS#LNOEgTZrz~WRcKgOls5zTXXldkLwB%)h5HbdaK`?3ey&HT-piH;Fj+iu5_ zr;Bx2C>CmKnZ4&ATd^bsU1<840)tw!TEC*6M>NR?0i;prp4Eby<>0*yxfP(8dPrK^cWk~u`O@#UFvQp ztk2Y#En`?X*I&KP_1wSI@b2RBxZLa&&lR1kXu=heiLbv_HDs-2Z4MfA7$r;a8dtrE zyi9*Hm3U|RVDs>Mi}5SWcH^?opG(y*CwY&EE6l0fcaJ$x8`bV_i0T}l_2%2+dvuO% zRAIEQm}@@C$PD=M2l{!JD>ZPKvzKH|{vESbLKNubCM0nZ~V7C3Z&f zdy`*Kjnp4*t9c}5zMe0+Qqj-A94Xa$asEb3=VM|i%d!?n!6rxlZNF*8g^Wcjt!TNk zAG$u_`&{I&eHr)Im1hL<U3%BjXMl)Zg&c*%R4^kr73V@Qfp@z zeI#6OYo_mfC0+z?rP^Rl>H5?1+pRxE8l+_uYlTAFcKWUc%QkWBQf1(1WAu%ia5yF@ zPZV2yFl5&MfH}f!*?`?ffFF$ZU~$Q|QK~E{_rd6yz8f*OWJvt0ylLaC)v*eXf~D+Q z>h3cfDP;*BHPgP>to6{LsriZd?E95~hKcuiPOY z2lqpzw$L;*7p+vZwhPi&7K4k&vVoaJ|#kFCU zzI=uNPO5S(&hn;I+eudkyVA>?U*STr2CmO|#_m^Z>|#d;Yd(wEXm#MlZQu+E1m{F< zqrvip2%iSa+-H`Yh{FjRCC07tPhlnhywpDVkd*` zItq^$DDM3z7&{!J-L;M`xhJ!^qK+!i4(B%SXC$##3+nj-j-0PB-QN= zur0UMl+*CN$q`3z+fCAMMtz_rYO(~Iyp||v;jwLV68+oVi4)J=FXs7FMODd3 zU-QVpOra&0-P26EohNW1SukrRt|-YT>*(*-#6P(|&3)ku zF^q4cw;;w9@cgtx73Owx8*kz}#3wPN3nDe?gsB{s@KOJAL3t$=j$6HRtfkxJ8HEN2 zex3KGd7`(4y#D#~QsWn~EIbGE*~&(5c)kl28as+E`xy|z?12Xtk_n;uX0Tx5*|V&> zRk}L#vL-yR*^yIy8b<6ZVECyRi^aR^CvggI*4)*Rl_@jFh3X{F8p&0ZT<(wKL6R4q zZ&je-p=fEQHi8g$kU!)&|7GHii%#Iz@$4_A?tjDL!B67;#-14h(C0vYxileU@gM+e zB@iH(mJITTwbJnx90&v@;~;<7IDi8|c|*vrs{p?xFW^8>77qe2UjPo3AAU6pxIG1^ zb3lOb%b6g+lO-w;$Oq@$K!7L93=klE0zrT$OH>dbSZ_SYubuk;epdP5$4Vd(PnM`4 z5#b~V2=HW?0R+O}GYOLF>MMYPa9ndR+JYQ)r%A_x&q% z`&IY-vTpdZ?)$@(;dkA~$A!#w9VgNTxa@5;JE!vPikJh5gj-yn#*%dUw-g%#CIK}8 zxH}v-Jx+vM=I)B1Cn}Sit!1tN1)lLJU7zuf-KAH$l#mOV`pF?)>=(m~iVa^;WXbgU zcPywkVrXQ_2-Hl*GjZSKDSGJUi0!3VELS1s`4wn*EZ$m#6yjiBCmDwg6H#iBFxmurW&>vCWdEQ&^}dA%We`F zx}rP1%t!b4c+@D8yO%@HCL_yZq#mDVj1fzJD|q>ag{+@{`y+zaRLybU#fX!3_gh@q z3Jd63_pfO?q3=e|O2E#es%;DQStr}Q(q=Uf-B4N@Ato#o7Y>}Ksb~0}VYt!LXI3FD ztvH>mId%zM*2%b#!=&4+Z%NHt^In#b#G3U=jMHIyR;*SQMfd%XA6tqxUg48n&SK4` zd?a@i38WvnK6>xce3;-$UQeI1NFsiYdC2S{s{S>HH1=v%zV1|2rt$ag=>$o=1~IFJ z%A4~6QjPaYuCg(*KfdNL>t{QC2KCe0n=6xrL>^t?opfzyzMNgsX;g`?zx;JQo?xXo zxpPGN-lpUOfY>%5r`4ItrRG+%zNLiWUgB(%3H>KWaH<^PqbW`+x5Mr z+MZ3sHJ>edxIH?TlJ_W>1!w9TpE8XTCs=-kaRu)08Tvf!P0zot&ag_;5WM5_btHF) zGSW&TBzS=B4cEZrN55*=Prarp@vW+B>5`irR9Z9+;+qsC#Y?Jc1yffUh4TKajn0T_P0l)F6O+( z`&Dm=b(i4>3*wZ_tWR|1$wlWxmOy;UZ9T?K73O0{;m|hRU_|{Vcu+A z7oLkG&VKsB`Y$dzEn7L?ic5nKmsQ7`cN=kwUfLjjn$WSjG40bC926wsp?J4M<(@SU z*|UVaBu|5#OvUgRZ?{iV4(d15lFTR+AYWC@4_`J1dvN`*@)}+Ig3l!oCzxv?X>r|7 zQyM+|%~c%Hw;X*{9uvh{%{f8>>q+Eix884lsYfjsv~rO}+3C56`%XdGpM77yDX*L0rtM@+!@KxN;NY4H4+KZR_$2n;x-X z21i(Wm&Vjo=U}T9TRMm+uyjs7oeggDIX~rn$Pz~5u#6+*J+9=I7vX))S{AvgEkj8uO;)1{_*=* z)i3j02+Mj7v^6TtdMYQDAF*haWq1)1ayVaLd?mEzGtNGr@nv7X219R*RQiz^D-%XJ z7sh9w(L%(*^XSbiTljnSTLq^rvvFs}&?!XjSMAAUkLI4ZsCC5ZOYO!#L%gJ{&ESjT>&(g003YF^v&C--G1=5KUp-R*YmOzHhs zo~Ts?`POh@y_(FGK3Eo_GWr^*x1HE*T6xG)vd)#D@1&NlSLmJ8D(BUTS`-|SJxrY3 zaz4uR6OPrg%J)^veNye`Vv%`xG=Z|%3fKby&1*(`UWI*rYp9e{ zf~gXrfIc-qAgC%43g}Y<0t8bfLILtA5Fi*k2nEQefIv{cG$=qm1p)-~OM?RBQy@Sv zzceU({D=|&)GrMRupk0P1g$QhfIc-KKrp{FD8PaU1PJ;%02V|bK(J$iV^JNV$pQ6C zg90pwfDu9c(xBWY326`@m|q$cAfEyPLA5MUfP4yRAmYq9B_iTA=M>;cQW5E)0;+w$ zf_zFuK(&u75OL<567eLdi1afe&K&TF0QnTM5djuNNCW>ia{v}ZNJsqJ%z?|J5v>5H z%=NfU2~v+n>hga6w*We+suJ~ zH2*GF0S-h+5A|=?9DsZZ*@yu76w*K>)*LWx85CeZ1QHQUTLuLf5P<-}BOug&Q7l zzqGPENNY-q_KPCg{8xg{jd~6HYDxP(#mog)w1uL064}Mdd!%f{lfL46b@8`f+6>NJ zZn$6Chmhu!u)?<=w>Tgx(}l(!M$b5nBo$-)VtCjE(VQzQG82zz%9IW{`Qm~@hvb<0 z7m7Z;-_4(GGi}I@i!>eh-u}Jbpx<_JD^-NHNta1sesoAPcX0hlw`z;;8kZ{nU5my8 zwKJ~9D`oHT;KIAGa?fQ>QOQ{0To!rgo|p4(c)_c8D%J8PeI4-jJZa^@t*d?H99iRG zczmG*g}mBayMr`BN0wLf>GsgdZUu=wZE{zVJG@cDLb0+i$x~nE%FDI-_K9SQu{~Q1 z@1FC$O_K%EGkfG|^Y%LTrp+jY-a&fcZfTl9R_t)Mv|;(o>)%>i#Gff^;*kiwSFF`5 z({*~YyrulwI+Jai3$q^AIDZiztwP|V``Dp#XGD%k*X3TTq6HXv`K+>q0y@?RXPK>TiQ#V3o{sPPd1BNlxl|)l@xa| z;cjV0_dawB!`;&EW{N)wA#p5oD0~2u^Z02u{isVfW|B6=JIugGWG6@I>kxEZDt}k= zr`fRTi#x^1Hj8|F@D4th)!&LlRQSW%BWtx7$$xxF;xbILp^lcCh$dZ*z?Ou16e*`P zIr61_e!qE;R?4wet|2SqRYIU#D5E;lx;||7Wh+oHmK`JOYAGtV0ac^&rHX4d&d)f$ zb_V5}&zB@Eh^V~tEVO63Wq12F3{PP4(_|NId_exp)5i3<;k@KYlQ)y+jH7;z+$WH; z*~OYA=-+6ew)@HW!Gif2ah_nqa25M-SF~BAc1dnh_*L1lxsAv-mMmD~p5fvjOZy4a z))IOt?c9j9)n6N4ufpeu6AK-9hnpC&R&f){tM#E~;=xbHn9!te2Dp7{;uos9Zw&0} zQ)=S9>mg=e)`HMjtG|o5^k4&HFCM+J_$f+au(~*9ijLrzw0e_d9@be?V?T;klP;6x z=vz3Do3Anqm}1tV3g7ZyYLnR9m07~LF<<_=LRnaFcTJ=|99l5tktLyjcB@{*sVHvf zjZtBQ(X95>{p;oy_S3lbiAnyc+^k34t$yX_ z^xk`;x6JlyUButZiK0t`{l~lpodjrCUQBl{sgjUQ6Atp3(FQ+zTj@%T=iM7>d%LkrToxCb<)@$xiNdp7Y%O5=gin869O!Z5+?Wj~5+}+DZjV ztrYw@g#t|NbVx4#tkSo9HXqzqj(_u7BqPa-b&SmcQ;z!Y?mu6++X$z25*7-p91JIa zkt&zASGN`-HmT4VVU(X>UDVKc&)G5R^}1JUu7qc6?HmWwL<{v7_xwy(fij{lkv1Ex zrHb>q7Y*cMRa?~V-|zpH?`JLanc~&p^eeb&+Woe{D@U49Mak}w>L1B2`rIri zH3!P@1>Tt_NV@;YS*hp_dY-C84zZ8PMJt$Jz1$?dFi~10OzF+}KCa}rXpI+t<4mau zu70ETT$TsN!1YMDwM%X7U6=vuRmU*)BYNXJ&!Mt&ZIXeQ)pN2*F(JB^_ry#TQ_B`{d|3>_ylcD z-Co2ux(0nr^<$Yr8-J#<_edmru>Pta*IXwGn}mXz+bwBaf3$~LXyi#cQtmwIZYo6N z+dOKu*E+T@i|+2OVJ!)Mr{Cjkl)15Q_LdhH?UBZ_sOt{m%EF#h9UT?}%&}u640^#n zH1v}$1l-%|L~V36E(EgU7X&=<_Q)A0Zq&!TvAFJ;7JXg2*38(hDgnE)Q`nTg(^2fs z+9muaH}_u4l-F_*Jxb+wnV~bYpBebJ=lLovsL%b7Z!znEmk^h}=np(0cS+TFG)x*r zp>x}`D?G_^DoU>PtSVvp(!Wg8hP-}QM&Dgjiy3!_6v6K%7T?#Fr>AIOu4}G)<}E31 za>QAQV&l&74TJ!K{;8k0G&i_oWKE~Rc}r57j#I_R-kJ_>cPedeW=$s~1pk8vZhZRd z)6FRjrTbMs9_!d(biXWVkN@PS*8z6K70%7oX4FWt_PJ#eIIlez6 zO~-{62oTP$f?(Ne5cL{8NMchk)$gqVUxE z&3;X4Pq^w?;Ox+2`myzOzM*oxnUk)2+2?>@_foiM!msNjfFNS|ilhipGv-w13x`Lye?o)=Ea6;Acb zH*LvILg%qT`v&3g2yt8B~*LcAd4)Z=KTDX^|UOJO8Z#ak!#H z5OL-=CFPg41NNv}2IoivqbfI=$G^Q=!j4{^Rl9C?do$v*B{PwvV{ILEpQrhB`V&p( zske%LN>q@2eh(9jMxh$(z110xeV?=AH(Sl@)=47b#n9e~5!2FfN0LVnYfWQY&WlqM z5aukQ-FL9;nj8z>T3Akbz(}$+vwMN2;m1?_R|OJ2tMhRSSQ>rh0-Q#TQnth*=-L+` z)hj~6ckkv-M?i{&&ef+yHocvZ&oHBUKTNr@SA-p*%V{WeL`NyNMm7`CR_`EgY*9T4 zef83JH6;!=cNAx90$2MZ?4 zC2!+gcyJ@Q7D`L&dr6CeKqhp(c|*RPd-Lt3rwqE!b|kTTiYZO6!=2M6;Ld4^*HGS? z=b>}>$4qx%aE)Xv4WD_2jS}Z{CwTSp=7&6eZn$$Ah8-G>ZlCP-ZK?1@_*-Pdygu~H zIW6&3D|6!E%F@y+&{MMpHOCwMmQaCM59AEx1? zQXb&xZ&$cFo#c?&{*9H0Q>FDyI$JfVJSti=>C;?(@p*oWC}O!OHi<7%@1?3g-k;)@ ze*T14=o(gZ(-*zkN_x5rqf(pt8Mn?mhZQ-lMmmQx{LCzOJgVrZ9Zy>+`>|8%U)?tF z`LQ#3D-PZ02tD@$kAbQZ6ysTYiWcUWw;aL@xY+Rq(!NWhrM}v95u!G=haag9S-j_Y z6R(qx|vYNOVHM2}S83cwwaCQ{5W7Cmvt9nEWghYjndaVdgJqse8m4@LmaEvKi+9)ljJ%0su?HY-f3Y% zEst284EZ?Zepp%%zP}|`>n*IvF%M~4bD+OI`O;vf4dWgU?GqO(wtFhJcw@)fq!<0@ z-$Ayfyno1I{{PY@!QIsU&OeP8psC^gCC@>)8$CWk{GU(9@rN1+1l=3*0yH&1AgEG_ z7oe#D0ztR2yZ}uN5D2;(h$aVAsl*G=)Bq#$fhm=E0h$^RAQ-v9 z3((X6fuR0ryZ}uN2oTJ7ix;4&0Re*fryU=6BbrrE|1@5JrUn?17tBA67oe#D0fPCb z@d7k8AV9EgC%o_rAc&q3)IW_EU`Ygw2nF*`JMIkrUq%?$4<-uIRH%! z(h>hQbKsw8L@NOJdjx1|kRIyaX3nn`PQPpX|C8S%KvRS4Pyw16q=A3C<^VJ`NJsqJ zH3!ht3+ae|n>heY4bl<+Hgf=mM94-2XljrKBC+Oxsd#t+ni`OZU@9J7fTjin2p$oM z%mI&x#F_)TwFYz#L3*f2e67HTibUpsPYx1aE6|7lMGeR^f=&)VQ3C{m>V|j$-9wND zBK}&P5)pCcoB~9gIi~;-uQ{gx5ogXRXW0Nr4RBgdLn6){P*D}{AL<$K58Ww1#H$Xd ze;V%}eL}z^g8HZN0!TaH?11{G@d8LY5FnU;8ZUsf0|A2hryWcmbpx5C}?x{6l5@-Xg(eT>tVer_mt4rCYyfkY9w~pESrHRNikI zM1YSQ2^u8eo`zL?-36DKd(D@=+!rGC_P;Yzb^m6z4eKUN3?t!)3T^@2cy1!flUmiC zwRg`Pb@hyxWUlze%q>{Ec6MIsd$qB?BvZBQD3j|a5N|<(+wev*Kl`cN43CYr_6zyk z57rmFr=HKb&H22=8vE>70j+lpZe`wj*Rq)$CYa9jYR%7ZiG@1ezkZ+6*X(0B7Pm(de*yQ_|>F#wv^-K zTOCo+hhgW2d@7l%+!#OKp7hr0YoVvqR!99D5O~Y6PAP-jz*K#+w$j!tq2LI`z{Wo9 zy?(_;0)%q5?_QPW#WSQTV{WD}_|de`SVu9IgNt6d{fOZh*4chYctyZvCf{tjNYopd zDV*xNm?*izwh3d)5`&~U@|&r|Pm3KXaBR9;^ELeI|cV~CA5!Mx!W<7y^9LCQNyqUv6q;OY|(TiXLEc}vHhc; zlk$hUvGDRNy~Oo*G*=kCH_bJW?QfYF%6KJu0X4xmeg4ta!4;Xqq_=MyBob|;%*m_B zCm1rWHC?;aSd^_Xv~18lL>_z(4cGT&(+9KyIrg%LWmc4)IAr%7%Mv{YrL-v8EPB}0 zqB5it-7(KXr%dKF#FgJDOTS)ujY&uR62{V^bVH&?@9wg^bX5R;m{OO5!*{CqE-7!% z%3xNTMAJKskx#hac3ps$i4H_c_RDLE=q}7<+eLzXr$h}9;WHH>p{>2mvk1pf+od1)EuI!5jzfh(hx0f%clpw7tlcrzhn#5V+4*dm zxH?EX#8k1k&immIFSEZeZOA{5*8VgpxJ`PuhU~*kP5elw@`OKj%?DSOb=;d)B3{B4 zG9%wo&R~+XQMF2=Pw*;m)H`BM65h2IE}eaS|5>K@UU;2Ux$@H)FZV1QiQ*w&TC6(* zcBvF)&)KjB0!lb%-;AY(t37<~QkQ|=nE&O*GaEgr>peEAF3G#8kv~mMFh2-n)!iZs zAXQf?$Yc)6QB%mzZWQVDV$B!5nV!`ivLmbtw@pj=EY(JDpekl(7dYH?hI{PCcYOv0 zQOV>k-baH=v4wlhL^wSK?S*%hieHtg(Vl%m*jehMwp(K-o>Pcl-jvTBa)(^WV@BU3 zN~YbffaFEJeEJtCUt9s~VVC_pxvz<8z({2iqZ)3t=OynMH=9jILA~>w%!@sCG@G|B zchj%w=a0V-jk3A5>#FmkTR)Sj>gbWpOv2Qq*CSW9BhG7@RfGzZmu6s==QR)$ z+EYuW-m0C&{q|`rp7JqT9!iDrho-`aZ`_YG<<3)~ouLp&m~kV}30i0*rBdS0Ug+n> zBK#p9&VAJ>&^_+z9qw_FdWQ9vIy;&u*owy2TSn=>`>Eh2aP`I9ye_G&3-?UZ3qiL_ z6HZT@XH}B%Mac^Fql+B5f<1ZeGxyVyqz>zdYOn1efv4W$_&KBv1jcyvxRpABQP=s! z4OCI`tKZf@gCz|4*e~p#pZ~(sI>W9!`(C$Bf5s{jL!99OyRC?UGL@(>1lsz5{o2^O ztmp<4PA(a=)kzTrfyyX-8{>xO#3gk=44iNeqCO5{W5Y* zy5W)p>Q`-yP?W^SK{)8-K|G186|F{auejIOZ}G-6wlLse^KGHLd#OE;Q2!&9RdfBD z*FwT@y9OzQTo)U~1x1{jfy!J=l$deH74Dp--kmxPM%n!#$oVf*cK?^vWV`@r5AQFP z5MurgAngGGf~m=P|B%rC+Cu{(g41vSX%8?WIAi#S-2-?;aQ^PsZGzw1#8ZG^YBCr= z*aI9YsG1B05cU9pplUK0z`p?m2&N{3!K?BU+kL?6-~&^W!Tuns!6ygQISmHzZvYu8 zm~$Eo$Tb6o3hJB&19HtkAeeL7aT_K?lXLty$AzudvAoI1%W>H{S5<>%}65==MJcT4F+VJ0U<%1(_lch82|*Y`2LV-!YjUiE5*|) zzTaZEdyj;)DIcLI1A!__&a282TU1Y3{$B)9U_pPU{nBXJq6~!Ul6rd%V88 zl%>lCIiIN)?jyxc6gKT;SRTvH9Od7zun>S#q^5}LU4P~#QRH`%Jk<>*D5ehS%4Kts%7Z1zd^@^5%CX6SC3UJ+o=x{*=Rh@K*-rAN@Oab9iR5TY zQ<20`DW81ezpt`ZmZ_hwVKoG>3gTYUN(x6OL}P`Kknpd{k~ zamL;0v8yd#RbI3=iKaR|dRfk|7rG{AXXE+Y=q59vbHA$gIX5=W3~p^f`WwOmXZ^)R z%i0g0#rSsiJ$F!UAEze$W~BM$sLTmXP-0@c!Me~*J!Hv_D5AvGKe|}p=KfiVXLuryl zjPw&{Ztrl0^BbLv_dl{Z@6GP<`xSRT=j3*$VfBA3F7WPBE`-MIQ~TTTsc+9{$G2MS zUgluR8q{!FwMpJ#!QL%^!zJhL9#H$+37@1x)tZ-hR=Wu;fS^2(mGp2$dL)T?y zM;(p6F7s!0?S&Rdwjdsxz7`LiTf>bP?|z<@*(;pKWZ=!EOuM-&o3|v~Yg2Ha2qSPU z$?4%hoyhqEVNTji39faO5V)EtN(6`t5a^=kd{g*h{R9q&sCPo!NUMkD9m3Z?aZK zcS?(X7`d1{Uyq5NWc|~^fr(^NWJ*`HXPgkLnzp^idQ`>e>@VXqbIq!K!z=9G#I=rJ z2-voVW_HtH$`4rVy#(M5IQ8A6zq5`By&IotI{%KAn%W!Vl}gE7QfnTHU&d(?J1X@J z@)Dx)8$z6nnYZ`?jRmGM_*|vG*Xt4Q6j$dIP2fhyH&gfVPZ&lEawNOU_IG0>-hQyC zE4DV&`u$!g&31c$*Pepi)TG-&+#Q!k*gM>saN{)EO1#dc1&vu3K`V+z_JDQtwFc{( zL_rFMk<<*7YddFr;|VLPGIpGnxs%f<#Z7JD#%Y_sjnkrioL1}8B~oQ6E~~?E;l^o? zpZqdTv##1)!2HKJ4f9{)w9UHwxBnQYty9=RUU=zn=UPs$JH_5gW$x&seSQAYSNs}f zcH#9dB73}=*C-O`rqR!-hbsx}zX$RQrB-&Qp}FniUKtaLb~qn=q3)*5D?GR*916?2 z>f|@dj>&2{hI?ulQuODyF+AlwO!;XXD!#>C#V1hhdXbT*sI>8rP17Fym8HO;(U#IkwV~3 zZ5>W^C1=1lkK1zCj(XTmdS7`oar6f@}49fxE*FLX9DmHLGGVgCPgXn?FUO^_;12Bngdnx>rR%s ztqB!r`<3hIJYYAi0A5Qg0zF zXkY-z6%YtoUcdm7D=Ah`kof)Rr-faD4Y1hr0s0VG!-Krrhx7{JB|1PErG1_N|~0|G&<(_jD_ zBM=}A>ZK=@sJ zV9^G!F#-XCS*O7Ok}D7(=+6q!1r7uV`s)Blu0VkBsu%c-(32$_5P0m~4FWt#VgrGo z0w@^3#t3QPNg@(y;7Jl2X&~avIprBoQrO5w1W2xs2A-s_kp`ZWTphf<6|xZlk}ITvf15b~$raKO|2A{rpD;u#Ie5wedcYw) z)W6LfKo2;iBmQmX09=fajR=rjAr1W7%mGNQkdFAbnFBB}LOSB#t~me`Banz-(m5Ew z#0UfkCY?LJRfF)?0Ui;FuN7!SfZz&bsGys`Kl~PsxdIR%=uiQID-a;~P?5+S@Q6sP zIp7hI$QUGiuI0f&Lc{IQ-Fvw=M*5~%mD}far_RR0H**EuQ{gx5$|(O;X{5g z3jfLa0dwv&B;wpT4T(5;K&6Z@0GkRN>?uIR$pdOE2LrIFz=)v6axeg!3IYVHS@-~K zDi8>2EXN06Q$c`W#&UcBHWdU2W-P}CUl|bnB#-?-0p%4RfK3HP1SPik{$NvoGf@Hp z$XGBOYYzuuBrY>Km6!U}@KDg`Vq%c*&mNw%Yu%)e+tgNg=dGc1%&&7(;YiR;}a1&XuRDIBM_O zatI&M%;@(-l-iV8hb6E#4TT+^_nJSdVh!J+_fah1=1%zVIF5&1w=byMXP_arcM#`i z;n0(jS%tt`EjH3Vbn7Fk8E#p`qZ)xGXfk8JNK*rR3m6)kO@~N0UoQG=x$+Y_FO@84 zv^HP=OlKROW3I%1=)^i!Ao#K~f&R|TBAg20mt!?(>mGvn;ghe~8S z+Ukcpo0q0=;_Jj;Y5yp&(suV|{E};sKIB{RZHGipEnlO{f>;T-W{iI7Wc}0d03@dgv9n%Vad4kjnH9YvWg3IO@a4I8NbsfJmEY9r%3=@uR^F=VrTyea?m(svtxQi9L3`7g{Ps2b z+tw-hQewUd0~Wbi2Ybjd*2o(AC2@x41>Kj5%i=D9fua5pb9B)U+@kPv2c4x`1qBRo zbuEMLN?}!h;(K7)aN#F?jSFoq0e*BbM{OjJ;p~T^XHMgDqUPtCsFP9i7Io9{f=cQi z3*nQ;LB)KmIdr{Jv3V|#Ei23Vo0&Ib#~y{=G~sPe?1draU8=oS_hpm6-A) zHlF0<5?tJHHEtQ5NYQkLbp-NFr?*&)$v5%yPp6;7>qkIp*0)Gt|| z4`CRpr=Y#h-rB-hb3|&-K#Jc$)D92y1&@@vwkHrQPLL z&yH?$Lym^;*!rO;DYfN;>%Ye59jZn3mdDCO&4gKn2-7u;U>M`|wM!Cyw6T57HEl@^ zu9kb9@?W&5mA_`E`3Y$`dtQGl?6THwk{1=N^6HI%pFD98x<@2;&yXhnZku6WSom%) zPeNiB|It{>!#-!>r`G3p*#zym)(aqX3!}K2IXVe8{#PP-F*xXxIbLQ)HZ$tXwLSRs zTK3Z6wACGALne*m8w>QpgSn+t_r9@e63$g%E!1gEDB-9;pTW#Ru=LR!EWh-r_%WPI ziqfQ|prH!iT-g0S*?sT<4ZVx!+q#g&BO>R7ovzX60UnuL+P`AUVh2q2Qs<|=lwt8> zJRA3WtsXAtDkjfeP>I6z6Qb59?>JIgo>Cp-*=<)N9^D>D!qgg#=$NZ&l~

    `=djW>q3g3Sd0j(9 z6$_d5RByMW#dhA8*??C8<;9FabGfZ$IZ+GR;p;{0MOn_P+d}BJLQZy_Ar_Y=cp-bH z&^2G?ytT(;%{Cq51-8$0eAKsb5M6vm*8O-)zqR?T0x5m}jK{7@%tI>^mmVqW z396Mg6md1) zvF9|gO`=BBZBo<{%&Q+bH*VPSN#D=x!@o14sg}*t<}5uQXTUVmo-fO)qpstY_C82+ zD92TVWwK8^8rFY}*+8+NvDB78c;O=j&D>Q8`NM>=QO@SYr#p9IX%D_i(3?tCiAz2j z(tUZ)-i?vi?KR_oHHRc&wgR@kEU`Ivu;aO|K3!D-*Dsy=WG}cWsU z%2>)fZtpwNC~Mgz7-%vnH<<1q0>R{_jB|=9V5D@I#+wXZgh!TJo?A)6GKyU^|1Z{5%00d{G zK_u23(98h{&PYc**%Cn-JlPRJ8bq8qr`*+(9TB7>B7Rz(5)pCcfP(5}5;jsYpDnK)()v;0$u9pb-HxK0rXwn*$J>fgq@ABmj78 z4$>gvHRqIwh%@ID5OL<50wS(+P5}{T4!FD}@aNSx|CQ8$xAhbfAUOj`P<=}PAUPuq zB7R=+!52~BmIW~50~qo5xKt1jaqjTKk6VI(h;xS*K0pKnM4UUnUmyhnBF-IN__7TG zBF-IN_!uz|5b>(R3onO(AV6{kejT8S+CRs={!P~3N5%d*Vs`3Lu|I*}uYQ0Z6%+U~ z3FNP%Vgi4i4*p*P9T!sXcp@KjnULM2HiATpvo*PXULO|KEnmq{Dy`;@6Hd%0!9^pv z{J0P8#hc=eHpjhf6bu$tQav)CoZfG?J{S|eoYQ_pcGKQLH@oH{%H-wAa%0~-(D50e zTAQDEh*fc|E}l-%T$YEptQ$3NZ#^Jx?z!ArnWI<9W7*tK$KG#_vc70jez;xv({G5} zJHQ5Kx59d?#(vk{14?4w=5N0=n2u5m-O(vz_0YLnSW^JwrpaOc;kPwaJ9cl|GtF#G zVozsOhtSvTx-$JP&%rMtOQGJx`z?YN=P$BJz1S6vnN7xhI_&f)r0qI3gYDZpKVD7x z9_`$yufyXv3Y4JuOz`RTyQ?yxS?M>L1O1@QbjwDtbE12Z>K2k}8JA2|^gLvGEBw>S z0?>{Bj0UO1OVcb=A-XO?D#L+Ec%fv-=F4FWrf_{ZZSSj8(%!<4>1i9c^M1LjUwh<^ zTL1A~>Em>>6oJPm%bHXdzKii1Zgj{x;-XsO5VlIc!6N!D&?t#Dzb7+79N%yG)0rKs zBW135iSClqHREd5%k$21@8}MQhe&x)m*+FsmtMX?v;O56$c647|8%H)BfC55=V61D z2JQSYo45ZG&cN8T(A3iC&g!)*FB|bJkHt&TpG{+}Eag<@R)%^MTSx79YCplHptxpn zv&{!8u|(e1=dBbqO=38ueKGH6b^IW6JcLK_qBA-lN3ZxqfBr#c@e$k78l%)P zZFeLE+VNNbURzu~{FPBZZtP%q4jt*P5DfckW_a53>wQYN9LPebM<%HDdHbvSdn?ZB z3|wi+uCmK9k5z1F$FGx;?)2o>zv}SsGbA@xd(6m|w@k~osy)K~78V+g)Ynr&Rk;3%4} z66}#*%rNkvvnuQCczs@}@7=Dry6p2S2`Kc~*i^i8SMx+|A=eL@^j1g~s zZnrF4g&t*TnKK@KPj6?X633OmAlw)24do??MO)>3x)p(|LWu{v6O6UOr0uQkiTP-H z|1OmQVHj>u_xYNeRuaXmmuq)48GFlnt5L0U9Z&{bi)YYcOX~WB)Sf;RY<>|L`yjOR zA@c{5;166;qi7tIy&PtRogApvydGh!;VD*_qYmBK^f>avJRf@blZ3uD*_*exzp~g! z+_kT&a$T1R=8z|9@ManBdPbE%@G{Bk;RYx(DUb~K26#Mjs9@>88YmnD}cK9EWH6!GH7_H^^#yXg`PvhMfZe> zh&R&PB61KcZ^l*@)!@;1HmVHFExd7x9*&MjxnlULzEj;PzBVRz7mRhI%%4O%s2v7Y zlpCYI*Ii`s7s*&eeh9dj~H5v0VM8qqDcH z)LNACjEt9`*6>ye{7|OHB~rb7XW>x1#ut6!TYhojz-?n3Jcb!_730}U6SPGc@)s-R z$6tEC2%sntZSh>G*TSCL9|1wcck)u zX6FvWuB0$APb$&*=MxfyAHH<;FVBCfy|W^={oUuo?UJj`x4v@K<5~pn?Gtxj$@};; zw}L(DaLFJ*e{rKFwf$fNtRLkuy1N-#{)@%@ zcklC2SWQTSIfEZW#a^h#Qs0kx;#L;8ye_i!B81*6wkgZ2ge#tuF<{m3_zPCBA!;9X zCH|b?qsO0O zDkfTPuv0<;08<$d1lP0xBRYT}=utQUfT;`!g3E6JQyCBhRnedTQyB;dHroRVFqMIT zaNP?~kU{~bG9dW7SOft9?F_*8C;)%?DhLSJSO7sVr4ag;sqBx61_a>}9Y{pL#sUEH zgKeImfArmdi*E3UzoUVL1nevTAx|R#TMGaQI=%u56pI0%06Z~)w+AQ|13=I{$v^vw ze{T zg{F)itAXQtP$f>+5r_ONzO9(Cw00BfYpdH$=e>SWHZ!w?!L+P#$ya`Kyi`VtOONC~ z&tz{s+``~vyxv)^+|wvWy6CVG!}Kk+g3F@8kUGZV3%CEU=+5Bi>a#ayo}GORG?(i~ zS|si(G*aeAQm`fHb(CV~#|sjyd>`b#PrOMPfhPCxrBB%@~Pi-3oepT(|dk!tXvS>P}kOE&3|$XcL~A>KZZ` z@-ekuZWV1Wc(nbEGwN-^qk-rzsSlmiB6u`~BKL8~)1U0E-Jhtap5~9jT@CJeWMH9J zUF>UQ%UN>J-QPTUgz_%FP-j8Rr%pWmsJI}2kHC9dHIkjWzrd^@*PiK>{W!jan5qxR+?*rz4 zzdC|1j}Wdu+UB1(Y4XuRAb%=~KZ_TqjF+37rW^cqa(~{+DW?g6S1-TIncst#{xf^& z_h7BRyZ_KX{uP8}4-{ZJ0Rh3xLr{R}1PFpwFHnH#1PFo_T2O%L1PFqcJpj`Q2nbpd z08A%95Y#*b1(;4iKrr(V6ks|50l~WeP=M(K2!c)kfC8o*fPi3aQ7FK40s?|f0DuBa zCqNK%0ss_XIspN}YI`WabOHi`O#pxbOeY{9=+^<5asUE?{;U9|6CemW0RRe^asUE? zO#t|9wm`IW@PbVMfC8o*fJ6lSSplXTfPkRC4#1QH5D@G%4HPit00@Fk0DuBaCqNL? ztpWv@PLKvqN-;=-CwELpga3V?{oaNk4I<8*Q*I98r`0LoNht>DOFg+^LK-}|UqTiH zm`;!ePg)0%2G5!~0MiN55zm@A0MiN55zm@A0MiN55zm@A0MiMw5do$Xq`|Xh4#0GR zbi}i+IRMiM(h<+P<^WzSgLK5Rt~v0BCZY!`_$mjOa)9im0!$}JgJ;bgfawGzBA7o0 z3NW33fMEU@C}7F~2nZe#iOd0yh{T!$S_A^793XwENMsK9r6TdP0=+o^%L&M(f<^>b zPC!7=n**3~00coDIZ%M*1ZfcQ)9RFnh%@ID5OL<50wP{>P5}{T&MA#yzP8l9kj`Afh{)>A;l4=m6L0MI`@>wlZk;1NM506+nP6!7l+elIkzZw?To zfFS4u04P9^0s+A$06+nP6bJ}50RRdRq(DHh2>>vFAO!?LwE@^)g4F*s^SQaWxRGe) zN9Y*F4OURr@4f2FHsEiKWgoLxFeouLZb~mPRIa9d#?$Kj+9KA=R!H4qf39~+@wI8F z!-Tn>l8@Mqzf#Gwz3n02MvrG#b-Uj_exQu=Ay)Zy#b(8n`*#t){G>ZShdU_(d2&p0 zh!U>ct7|@fnmfbM4MgI|k7s|WJM*|96$`cor*H+OR@OgK{#CM&v&+3`aQ zR!3iTAGzg;KQX)erpCl`Xr!hvg#jm6`DerYtGblSpNza?>q1sIq0zhttr@gKE0(+8 zDj(YmXWvM8k0s>Q7eVH`R(DglB1|c>im@e5{`@!#C&i(yCr!icj!(S}C`K$ww31SR zYO=fSK`fNtJDnd$^^3V;%zomfzd?MrIf-w=UT4RyyEFFiyzb7dv5`*7o8!bT-A-{? zP0``hI}$h*lnTd+K8amfPv@Tu&S*Ga$Nzk3_Cb}=dGCQ(jyug1Bsh5=Hp!iSt>*8@ zv|mXs_OW=&pDb#IL&!+Rx;OY(z`tG3$X$eWbaS%E%-r?UN0Faz?f6Mqqo^vZQQjJI zjN2G(zu`1J8X^zVkrvD#_uZ{#kD9L|W|0e2Wk1ST>ZtIi{nGY{v{0P+A&!Va-4yp6 zbL0NO5>%e+{f5!|kl5g8(Tzp9_s(R_F&v^Jb%vfh-*xS+sJASZm0BXN;@Wl7H3i-0 zXWXUFk0U-$PbU+(D$aI8Nx1CQ{i_+M*3>dJ-1*SRIcigQJ(Om1Jm7;LNjpie%q>?sN!wY`?QiQ}CJ}pOKtaLp0!d zn^cGs!SLYS>eNuP7c#;afg8*eO?1U2#nrk8Ithh0&xKyBz@CWY(;tGRwmMTdUEz~} z3ZSa@I-}hQM6u)a>XyQk-`R*TMrXo})lxxgfyTyM)SD~~%Q`mJVo|%WKGXlJLF$RM zEkl7XKhJ3S^>pedeN}WagYg0vZ|dWYXPb3j^7Wz{49*vOTUS@>U3zOl^w!kYvVg$W zC*}UEk6#mt%ymbj-~4zoc^5`a^J#P8JM$iK%jE8Z$+qUSf?Un*OisqEVwc>npNjJ% z(eGZ_m9aLx?*21Y)$ZB3bh6B%+#H7rmL_eLkYW|Vb7lNorQ5ZQR?#W2hDvkhR6U9b z$a-i0%B3q#m96Y!1eLlg1q|(b9d<4ENI+6AW__b6B|C&rB^OzJSGQXr3G7Ili{M>M5!Fp2CiU;Y!yV zZl(${CFf0n-Ie-v8QCET*Q+}#6Zv5Wn)6>`d@aeO%zyQ7E5Zg{lkwS{ ztYRg|#4Jobg)xe%tPYJk=$|F$G}KUBJQuY{WZxLo@&d1Q!b|mGK3|L5xA<%yFmJ(Aqi7X6$pqQY8KnhGvDHJyS6*)wZzi$t@Ptf_5nPej*ueeo#U7g`MRj& zH-7%cT&9jx%AW&xN&~h{d95@drt>yjWOMhambdOx6^7H?58i6`pkrPRK(u}_z^fWzITID37oP5%tE*MtDD1Seg;5zo!7ZmB)l$n$)c?HRbEN$f6 zidr2~nLZ@#6vpR=-V8sYX0m3O^Z^GEp@;6g@hO*qG(D@dnbLrLNwc<=CXq#xWTDe*TN@+7_Nq&z2 zP#esBx^ahu?%*qg9s)xrmuD%(4ksGD^^;rjYWUqJW@rX+EP?EYGA}hF9kG>*@p4lx z|J+RW&WoVTzA5j)WlN$IUtZ^V7101Pk`>9-h$gnIwIzYz&!2S}ZPXWQk0KfpF4uF^X`;|$6EeZ^vIs-w_F#s@t>I?#cjRAlGRA&$n z>@YVBpgMzqU}FGa0M!`?f{p=z0aRxY5Nr$p44^uLfM8<)U;xz_1Oyuc00XGbARyQn z02n}Z1_8mw0KfpMGY|yrjluw`Gt%J6&Ir=r$(|arAV76S8a&w+K^jE7=78T-fa;8N z#FITWq`{LtHKf6lJvF4klRY)0!Lw!#Ky^koB0zOU8a!*}0A}kW9r0vC4QcSKnFAPg zfON#OW)5J~0n!oAnmK?`2gpVQsLn`(XU!b=V;WJN1HR?}RA;0w^{kl#P@R#Ec-G7T zsLn`7L?Uy*gd7+^bq0wDTFU`cXAlrHBD|zTm;m4rk;oj-3K=l!0O?CbB6Gkm6^W-6 z_{~8gbHF1a@w5WJIfyd{R62qIRA=B_1qT7DGtwa9%sC|@;>U;36GD5Nr$p42n2&KxMUmj?Mh5 za{fMY^UqP8(~jKyd-VVJksH{b$^3sExq(6dyB7U>{QR3xc-#G1u@ZxK{fal7?GDuf#HtYnC0ROpux>Gop(!OHPlUH=;{>~G9oO?<9j z>dX+UVDgbtT1ih-*GlN$CG4sWa8ZNy~FXQ4&V9KX5~3uxl4O*u>Fnd z_8hclj(Xx&M9cy(L&C-wG>s|Co{ud~!zR3L<+42@s@QvRiQQ%`?xyhTe^feR($G?k z+g$r2--VBTICLr-msl^{uOJDcBD%}XEl+lx&tSC8e%JfBgK$0H{{8^N-OgmluwA9$ zSlJsRl9g%km%A6;Z#oP&x|%RN3%O2N_PP}l=T=F}XXyh5JTI4g2@L}ndm8zr2mSYV zCBM81mbX^0EHTFjOTuN+uaiJwi?*k&I_`6uXPv8y!-1we;wsfGq@DLi7i)`|erl-3 zI={Gk=g9fmYJnAgN`aiNu2;XEB2N27s@hQ~b9IYukEOldq93XJY5>mt-A)`;Z~Ke& zPStAp-FWi@cJmkYZ2`Ldl8p}(xm^#aq(zp~wMa(lM~_HjBAv#aHq zcL6&(Y1x3@P^su~+{#TFNlSL`*9m3$$2luu6+W~-dmk8NNhNU=Wi&cIHvO#pxGFeq zPtNdAPx4wb!@fkKEd+X0cAU(Sbj>KMjPs`Bh~XQdc(b3lBNMTaD!i9Q$CJ=$38{#D zpMU)DNHXSeeJd_4Sw2%5yra*Xk7`|{WiU*2b-#XmH+ZGy)2;^-JIQCk`#G=zLEi*N zesuro(agAzdvDAa3DP54UiT@5qQACZs!3lK)ee2u@5QVxopsrJ_(raj+1SpwSfZ2s z`S1B@@6tW=;A&rfcp=YH{1AbuZVd%Gr)z0EiQS&ZlZoDFV$^#BHF)MfvGRKLH#>^m zD1{gvXn!>EO;1(OeBH8i)gU0XAn)6xl%7^=;1@K;gQshQUhM?NpM`D8m~2{92m!zH-vNg-}*>DutHu%PQ4GSWr3Qo?fyQrohh{`Hy>L~+fR@B@vfxYJ5cSPMxFLeo16-gMs(7c!4 zgF{u6-}&C{OAGIpQmD7{%6p5>%|`u17x^})0YhMCV+~)S{&qLMR4rRX`|vPnj?tYoS!IRj<67ll%6)4$mxFP$ zRi%$Uvy1LJdM+7{D$=K_pe73?!$E?L4 zsJ@h5MlsE@yj9WB@G(2z;a-s)>&W`AR_zBiuH|JxG@%iu4`Pql6 z#)Ww>xjeC7ex8$hI_U}JI_nuuL^W!EZVI^g}xvq zFT+$zLNiUiPDZ}f_HM)A7yD=_MR}tuZ8DKbhZ-bU$UHwTH6!NS7kBZ@aA!BWf5gA1 zl`MS$%CdS8t=O+uIt&ir;$L|$`hEw->Un`EvqURb>vSwjuJbl`9|r2mkXbFxVg+l3 zV$o%#^NrWePp)={UK-c_C3)*tU{aWLV$M~Il~)ZRmT2(7->!_=Ei};1h7F3__^qa# z?|DQsv7Ae)FA-s>sN&Y8s{Pjf(%qlB?goi$46;eGzIC#ARI{$Nc|K)n%XA_k)Ze+M z;Z4~OyD+2A^n-SZOirfBr7V)S)PLMaQm0RBxwKdx5v(UU$1s&FsNL}tLjyV^4>fMR zEzVnyRj+`(NEno1m8kIK>&HcAhMO!55nbxcQFHI3cz7vdqAp8$n{;R?77#?>L>UpI zmtfv-!Kh6Wy8i4|6!CR({1`Mj)v?QCA6dT|JP6YCAFQTfg7Nkdt1{G}Tx1 zYX6|6wsEr^GiZiwcgyRS0N#yjZ!cTXCL~VX!(n91Y|TLNc!{2`zNPgdPWhf~2GJ;Y zqKq@;TngG?G+ap|9iR1GpbIq-mV034TPAyp?uYH#{#7-r0&Emk!SUz2*2-Vc$w*#F z?_p{7kBEIw_xel3=UvlyT;>=ClFBRTEKx6LuqbyKNT}h%w7V?a{#DY>m#4jRbNj8N z9b@t;6D8y&T1R;j{|dQ1mN4bGB0kpcu`OV{Cfxib=3gbP`-Aa|S!wi;jf0>#FFp9zcnO1~o902UE83=#G6d(w8fCmOJiUA{ni(&wy7!dqj zaRRG$fKdzxf~#o&qZkMXHVyy=Fp7bIVB-K_0HYWX{9SUM{EWO@0HYWP2;cUAfPg(F z;CmF{1rB3|M+EFKfgoH|0*MINV*)^axEUP;1nd(4;BN?w1Z)(LCILGI00}k@0DgM_ z{Rtol^80{;|J@US|FA&!Cjaa&{Ye$ThF|IRrQGU?*f)M3#yM<1?GWtIPLy{K6N$uzJw5hE zQMl^yboCA~n#4@A+`X9+h1(6ab0wu%=P?!VVZ3keX_MSBd#%c0`C+*d&ndBp7`2@J zMez#<pZaE{;@snnO63(EK5tMJ_JiWEp0Q_?>LGTiyIP zBOi$EIIJ+G*Z;L?Wldb=4EbAJ07^iKiZ z#!o)(kc<~R5S1`vT#2nkd3)iV_xG4Ndak-ufBUtCF`>l_5_Sv;i z*Zz`h8tpLLTEkJjLqY&@?~2 zoZ!Pd8B)c$6$_)@HoWy^CGe>H7Uq+y&+hljqOLJr7W{4?yQ|>;s$TQ1cZ6H=s0^;j za}fqu|N0A$+8Iy6Ny;%>Zm$<&NegWBx2Pts%o1h)Bu?406WM2OSt)fhVOZk3!5m1r z|ME%M;-K30j%dZ5g6TCg&hsPQU$RoUU-B!nuOCJxm9zA;1Z$Qj(mry2|Y7& z;xd|CvrI{e;8G>G!36K^ly|s?l71Nr=3{KNbgkn`Fa7r@W{Z!{zv~})G1>6LCrEN< zvORmonNFh3+w)WRec$cydhgdW zlX+9=F*Y-Vv-pxZGm+Jm2o@j-}>sMc?Ni1zYrml&N?@ z7Axcw>#HQZiah0)n}Tc;VA# z{_g03f$)>Vz}n!XnFI`kyEs6=6J-n-2rqR&K*X8D4|0kC{uvQx4nK$s1r8$49DcYr z2INu^XAVEy9R~s;&K$7QAn;2?oH=}OwGkvD;>_XW1^XUPnn^&uM?R2RotNw62m>$> z^sb&9VE_ig7b)OpJZUBY0l^$o@JmIUIlS-#haeFVXAUo183zFoXAUp;T}7NZyl^Kc zNJPY$116WlZw}(j0S8Z-NkG1iQ^5Z|(BPFi@*v_h=ah(u*PK&8#F=vnh&Xdj0TE}; zDIns^IR!jx=A1N>ApJd_G?O3?o;7n$nn{q4c-G9}J8R~gG?O5Isb|fclV%d+Bc3&L zPMS%Oj(E~cf;@QE%sDy20Qrb#&76}X43Lj_)-~tk2m|CJo^{PRIl=(>h-ZCToivkx zMFer$@N%7eZw43$(msWQNIb1ToHlR}iOc~9kyvxULByE@W?z9{D&jTg6cBOdoB|?V zb4~#fXU^}c3Z%3;=_LWlfYV6CtIla8;^YDI=?t7P`XH*)!*-xR6@GCgU_l13w!rF`^R-4qI@qn~KH={=hH8#($jY`%J z`P3{@LPbogHap)2Z9JLo5DN$FsJ_d=!XP1Vnt6Ny%bFvdzflnS9JBXWQT&}9^%wEa zm&?(fHQ9xZQ~gR||28e<)O=elXUzom^j1@+kGD~|#TbnZdcaLSa!aF_|Xu=C(kNpAGescKO!B>L{heiF3*e z=_%JIyEIZ|iLORCQFd{}9DGa1$Fw{sfN-)ow@tcIJYsRbRmL^<5*rq5{$_%6-R`!^ zD=Mos6|6#RGOjv`A&Xk8aCMtqCX(b!xrW7IWYJco4{CihIMxm+H>*PAnmAO-UWSWY z6Kjbbh*3t3E}F0_l}(#bW9*RFP#PUux@wv6WL?v7w3A4LIXbCb)>CGAT&46{ci4E? zP22G>rs<9a+^0_TF{9TUt|l4D$e3d2Jl`u!eP z!dy%VqcQet8MlEE{vBP<-8u@e2NkEvdwtf(($0(;o&eA+JQ z+=aH<0D3EOzS3$4`wu@EGSg{^tL1V}euxyrr!T(Av7-{OyY^0FdwjNZ-pj9C=rLh* z)r-9MOBP=xp~b0Dk+%fwG>X$oLQ&5#L=2g`3vmTY6z~L-*~~po>J4q#?b6%&zRZ8) zncdgLZRj%w3DupA?fhVeo6kon1yK~k2C&}BHm!W{R_i0w@$M!5Dx7Zhj^O?)vbN28 z^;9C>m9OxMbw+oR$)#@iSUybme^kOMnPUEOJjG|0&V+o@|Bh(1befx6eE?4#jgcyc z1)ascr;EoCp(7m4C9i}gO;&L^KDEX8F9<%zudQ>8dev6OHPq$FX=vo`haE!mHB?>R zz@2+b{QMWeFT1tV90|Lvx0v>rny5SV-V-~UC5haoRz~%*{uS92Ay1Jhp|u7%bd*cZ z)eDQG=-^S89Q_J|Jt~`meR$UQL=>Mp`s>4$crN|IS-0&qT+J+E^algc3#?O(L#Z&$ z^+O@2;JW5ewrh-}>cs z?h*9Sw6(wTx}=5K{j!F&`dLv1%MHIdl|gFvB3SL~M=1m&xlQ9CeJcIx4=apO=*+x# zDb6|V?+D+Y`cBo6B}#dxv(0Bv+}$e0#Jq2ei+{p?oTY#96IsG#93^~_{kyc&`a-O_ zyiTG7 zX332uca2m?_x&O_#ciiQW*IMQ zt$2~K?{X$zT1eM7!XK!L*!tVU;hQAWCd2LM7#AQChlw;=$!!|S?_H9EcBg8{N2ArK zpUEaRYd(^DR;xq$#AZ>HJ%KAnGAv3bn=tn(esos*0Y{+aMgs~uii=+k$a}ou&#M2{ zEC2hw^#2bJ@WQVhQ2G4hj6`5LfP;X_2MB^nswW5V!2he?W!rz7{NSDQKa%aglIouh z4*&#j@}IO-fJ6juxu3LEfPtVb_mj2?5D>iOe$rL}27kz|HTTlaS8!ypn$u23Wzv!P67YB)dK&F zr-1)`?)^Uk5ogXR5fMMFP5}`=t-wK`28!g@0n|W|1p%9MWI@Dh&MBYqteFGUK#{%F zvu4i81N9&)z$u^cteJCij62d1ff^{1HwUPJA`6~1bATEsvJuakIVZ=sBY#)VnmH%O zxFa9&teFGUK#{zwKn)aG5YQP!7CdX_@Sb(ef!8pI3Z&n!&;Ur%kdp`M!M;bZ&N&2L zs3VLB*2jjNJWvl75gbG!bHG8wPb<)NGz6%D0uta95OL<50wT_wQ$WO-1K!1k07X#1 zhdhl$TrwfcL-uDs|y!8~?{aewu&y-}8*W{lgHx-+A|!e;D$Y zHu`Tn9bPLV;UA75pKwWmZa;ekjW)4mi6sbHS&@pXqW1K0CSKd6>v79tzkCaqV0#>1j$=bT(oBreP$>M@;FJ(KbCw-!9m7V+51$EO0mu<%kSp-`3`1Tei{3 zJ*X?7`jLA*%J+d5H(huOZt=&xw;u|L(8LJ8uP(jeF{0bk!4Do`Il!sky6`>lz!}4F zc7gw15rZ@?cYKMbI*%Vqs%@c{6{)4Ha}McK;Z252Va!AQwaYG|$Lp?(I!z`!R(WIZ*`WgYiBIN1cRllIJ4~KVylolH!n|8N=;FF}mE~#3mr#kZ%lg?# z@5rpLytr0CR`A;Eo-j{u0)|U`yuUkfhGN5D44$Az!egZ&yQN<*9*E2@s{c?9>QgP7 zl7u9x1*GQ|UDKY*r9UnRJKxFpD|#mEvBzbH_HZI%$n5>rsl~T%7MZ7?*cA5C<#VqR z5=*1&6UUoL@NzQ_zo?2M-q*5u5IGT(*qY!)M7a=3w-z&;DpxS-k+HVL6=Ia4Y`9m?C-84JTQ1{S6k=Xa&wM`L z`@!2zbVZd**^Ny(G>^fy<_C@#?iZFL#kRT9{Yp_bRoh838J#sAbgFCpxvhDoZj4v` z%n#fOt8TP&2&^QR<$KCi-(#>0F3vBPGl@8q7_wdr@#1p2^ZW&Qs0NQko=MCK%g@y`-|(-JGuyb8GuKba!4+qGma}F7(iFZ$Y&`n!}vM zy>yA>8AhLtgXl$>8pspJ$1Az`1(Es@-xNcuVw{6}N`BN>&6*7h?#I#bY;GS+SwBm; zBx3zR0+%j3=MtytxQC9qpgF`)Oof?lm3oX}c9=l*L#g`bJWl#P_MdV4fp6X#5_e+z zAKe!pl%MYLIsD)ZrFbSO#(fJC*|x?@nUzS zs{9oFRF#E~Q~1GeP3JW%6k1Kld%qH^zE=?VYG(0F)|B}3e2bReQd>j*_f534Mo!~R zNu#vud111L*MClyvTUx*e%2iswIz?ns-?LrJRcNd78joqVcFv)HnS+kzqH=qt~zxy zo3c$e#L6>XU1$pCUdMuk*5st}#3vSegqU#gzV(H+P?Rgs!8rr58RmNw5lVz{?>-Xk zS!cD#2r87n_jiABX3Mskw`PB!-uwcf1R{Mu6Ihr%#8(9~UXs||g8LqcY zJh-O6!e&t&p4Zc_WJnt{ZcU73M;`IQg&UtK-64XONaTCxtHu~y`ar4+&2My{n+7J& zTHHMf4c_EhxUjHqE%s?nK9h>1wO{M#;lQ*Ui}1TFiuCP0!mta$a!g(3S(sCNC>w7= z#vK=g1818=->uu-nI>3L$`|k^KqZ67-e=mM=#XP^=U?Vz@~7WW3O07Rm`wYzP;8{I z;exWFH(MWX`+D$U@%pkNM^<#5A$NqQ$w)vQPO9hX>-*JdAJ;8LxugspI~}(f+~G~i zI)8572=yGw6(tR65HC37FaOK`UHxkaz)1u7GvVdG-DI#g=<-5Nj%9)W%kLWKzaVHg z83J(906|d8IE4RX^#KGy8{ZIslLiQa_OBuEme${83kV3>69hPEKtPZqbMSk3vT_B2 z0`O`LSk?oaG$0_nt^om0R<0l*XfqMuqyd8baNh$+#FLdP2nesYK){oG9w7J|0wWQp z&S~Vy$`u&%_iMvIE*5d_fL5;mRG4d za1VEzMY%_179AaVMw3|3u{5x+ebmFp>y-$%qB3O5+$yBx)^%XOck4>Oo^EqzEOQ4x zpcDQg+)SZee`iwh9LVQk&S6xF)Cj zk2DQ(l*dI(*jO$0nsCPMdT_FT;Nx)-co;d-*{Ca0%$~DAYD2Y1IzegNd%HSs>=quC zLWupM+IM%Mmr}GOo^Shu_JzNbx|oL*#rsZXST#_T~Blt|ty zugWFdQM~297OcCMsdrf<97 z+T7gX@v94mzv1@cv#%|8^g~nQT|c@{ z@}_#@WCr<_#y$?v|wv2uyEpy-9Rm2(b=8&|lOTR*thYdf=)+vx^Qx*Dhckf>B;FoWH5^NSK zcVcj_l4*FbeU8(0lk~jx?TgA`wC5VUbP}6z62|m?a{ZcCiB-VYMY+V*+0GYvpQDSj z)J<<+M02FdgMRa;lXJSqT*Vsqw&xbd5+hqHW zaEj?+htFnTBJWEppG&%8mrIbhGJ$VgQMD7%r^^nl<)`@GvamUE>$1FJ4&Dz^0sR5q zzM0L!0pV-57~R%V0YRvt!nrc3>*vVL6~nn3N&PYQ^WI15k|<2hcw(;B>7@;Lk~?Nx z^p~?3+EaRM6I&C++#8!bn1(w@aAACeu)6WlLq0Ut@mu_jK{BG9g8AV@7S^$rpH@-L zj;Wu~9tB`hp!g=r+ykq8{xaeH?^izmM^3^0t^rOwwf~Hd`fp_dUeW+udJrH8Cdori z&V1qpg5Z_OUoO4h3J?$kFQWdkOn`&nCC#6Q$-hsRQ$R3D{`a#A(Om_V??Bo8n;fPkQqJizDx0)my_@K*yN%vC&uQOJUTT@CZ30Z#z<3WjL0It4sy<^T?RBmEiAnmH%m9DwxF0WdlseW_>79DvaQ*@yt6 z1JdAGGY4?k8|jE=%^bjCZ=@rhHFHkBuO8{l0T>;SzEmVK2TYRZ1{fVcB7#Zs+yJ8k z2nZ&SbDw-)J=o0wz0{L$4gdqeFBOT*0l!qlPb*M?oEu$Eyf-l9DIntH0qv!7|7CUfxB1D>hfMP` zLdV__-uyf&Hgdj_&#wKGBY!&iJ#uZXZXH&&vnirIvNIG(o4?AdK8s5wr zcY;i~8l=Cxx{|Er*e>UL?^Cs2DFN}NHnGVrD_r{?NHF3JG&oE4Vl zwoVcMLv=H<-1dhCX(i2)w|3r7J?M&{;NEho&3i*WFkM>GPp|mtHM#G0>kWv8@=-wz zmqX#|RFU;dy*fI#w|pY@jq4A^iH5^5oWi`KdZbmceb8T&umr8Q%X1Ij+EK>IemPMz z*MFrzW#oCCYYIn%*^AH4?E4``1>+?Z2CsaNit&}sG@RLH zZpscYAeO^fb1=RvOfdG=8PD=^V?@@>;Ou=thvDhXgG9_JbQ@!TH>^*0sxMisX0*Ue z($A4ysZwwp$&^U9Y0Q=^>pkikLKk%0HLI^`7AGAGSRm+O7^Gt zI=^N?WvpZ;GQTNKv<*y*j0g;NjSv!3W7IDUOXA%TvXDeDvl#dlOrW!uKzjR$UG8e3n1`{u5yWwU48hle$sig!jnrpJ;dPIn0T6>KZ;d60%l%_UWIerfzvR+fu~8m02uE!<>&Mny!8PgvaWmobuBkIp%t{ItDcoPRFaNk%P>7 zm2J(cTun+*M;u-9`8J^E0T@7cu&#BxR(USGN`-YrH=YtMv9Y4)W z+~rpdzdZ?jElyO}Als&J*%_I>rKt+bUV9-O$>ER*V&0k z31&WYwVz;rX!Z?H=jZ0#y6bnYRI6azQKum4Xr#&HAlOSu1-01ss0x&OHD{*$2wflyAIdyhwNm)Zt_P-6l29{&a$ z2&M12|Go?d5)sP6a|5mmaH#??7M>e$U4TF+3%@IP>?I2zKo|?p4Y)2KKo|?p4Y)2K zKo|?p4Y)2qAe4pY23!{qAdH3Q23!{qAd*l3M1F3-bpZl-k=g(R_;(Er0V2nEK!AUf zJ`f<%a18;pV(<^ZxN%AfJDnFGk8C`UYG=I{WrD2fqz09h1e;K6$i^n2t1 zWKonO9x`)y09h2}hzGx|_W6v5%p4w|{~P6{9yW6TSrpZXfGmnK@UWQ!^narq@vxZ# z%)mxD;$brfkVPR8Ve~x@FasL`gwgjrfGi3D!svS*Ko*4np-Tn&zac>AQUO^M0)#L1 z;I|c&zUKjCQ83~@z=Pjb`v4EloPB@??>W2W7+90>07J0B54j)m;9X}QB%q9fF`}di2x6;7P&BcR)%{p4!4r#L{`qgIS6ZW~s^l12)!ux^18K*Wm zuh7Ak+9vbsSdnolmt#^Rr(TG?Z%2DB)wFETb|&G7Yrc-i7IszfNPEm8`jBg)G2@EB zBs*bb+FSGNHNKI$>aER~dsxN&?t=8rZC6^ZG?58AIxLsybXMe!JpDa2GiAUKqb}z< zl5?iXF!!fd=D>NSX8XZwLu6%7?S(wY|;# zJ~lZ~#BU`2GmUw`=E)8vwOaN20+tq{HUD9+&$jcdkMa@918siS5HHK!w3hqXI722P zNmNo86obF}b~=>(*bZvm3|N^pUZxbjSD=s1@43{76(JIwJYFxFQ+Vztx&5(czVvjw z6K(~%Lr?Rs6;9vy{fWzoPLeXDL=Q30h1=i5ou{8REEv?KYFdLk)IUMmQ87^BGxp2u z<1{%&Y0Zux@_NIft3kArcZeOI{V1K)t>SdB*Zuw6rr7>MnTMdwP0dvc+DE?`37Yz9 z%dBT^4%A3V*PR)Um7z~CX!I6Ya>HJrggWA)KRwT>;C)o;`PTnrWMm)JVi zOLUKz=WJP{zEKXu;eIr4X_@E1AN1xPOlVzpv&}IbcSRe>(1|Fke}zx!+F||1)vlrL z*6>VDVN^!eZ#&tvvzDR+#W)d|^Tx{;SyMuW8%?5)#kbyz-+aonf@|sORc1su<7)Ep zil$`!wqEZLasIlUTJD*)V62cZ0hOOWpPLvc_5S|&rqWsktr6#E!N_bVX@X&iW6Z1b z_hjYThT7zUM7~S&m7Vt#UTCt*mKzp%Q6|nyOzvNNqsfPXsIab7dy1VTfR2%vYP_GF zyUb%^fjfBey0M;&T5@KQ@Xw@s6AN?l4!49OA3vNFl8V#iW~w|DPP4I9&v_alqIW#A zo~_p6Rc9chc$}UuA`y#$o>lgP8GoUc#HT)`b{U)wW$gqD$NHvQ@RH@GhKg6rWbU{v>bw@n}QK_cN-6D=7-%cd;VrO?xM(b)@Kn!akYj z&2~P|nq$G8G^3cgxnW!XPWqCA0)2)j*Ks%VS}N>;9G-6$aibzL#g57kS)a!}2$=qE z{vd61WaLwuk-@vG=r7y9lJ~7xeX?L_vL55$*D^K{lg!|XYTp`8p=xvZ8bqu4KH+`A zihHa^lJmp&`1fjGY2&1SV<^v>n%cVDc~S&9mvzn^4V#-W+bcY#@NMgRvH0x(g8Y!4EH*75OI#F5t?3UO62Ae68PblxzLVp z+8QLq(%t+x?>2g_e0{W^)wR;(Dmvy(t!_^JH^jPydh?wQV%p~uRTwMqbgRA)vMLXr zOU!2>dy!u%WVJ|L8BG;IE~YeS$Ym^iVLYEer6h&GJn-0vW%N6-X)!g6UWL(zrrtYs z0`n5bY`c>j?#Z-&BMAuXlqYIc?tJM{?^>#iN7irJ_kk0KKwc|x^;rH=(C1vSoUb;mH2#Vqr(scit zV%!R}(C}*y_bVU{&$&a?9!tHmbyVV`J#oSl+xH$GU8BFfXD&Kr=x$$;5Ws0_-zY*@ z&07%Rhxu+2u(gvZ{es#PmE3!UQT9T;W=fl z@gdN3Who`1tXM(XvVvS9b=zf?z0dxCKZL%AB>e?z5`o9(&|B zA3Us~pSOtRD71v*IG^b0D1f8t7_M}-#K(v2vh-$2ZfJM85Wxt2A?`Fs+UldvOirV5 z#pfCY*DZ)z)Nj3gW&9$N$@@O$=5_QdZ)^2A;Q%h9v%2$xl7F9*`R zVhWDy3EbjtnLaD;^ZjVM%H(`s^e^Lio%RgpuexJb%-KAP_1s@c`a15C~PncmQu02!v`=Jb*V0 z0)%N(Jb*V01VXhb9$*L#1PEi_d5~QLdqph>5T;G>0NyYN5T;G>07Gybv0|0!!jBEnP@9zaNk0HMDQKuCuG zVcHZAAf!Wpu**<+03jU$go#=_fRGLXd63!;m^pxu4gtbMEgnEf2Z3_Hj$H`#+S@ZddXpNI1|)q`q8KuAX!c<`PBUn(%Z0Og1W?>YNKJos(35Ad*= z16%}x@@G73=KL8z@PFUs_W6v5%^W~TNA)xQ@n#=psX%`*$`KEnIe?Ika>T=C4j`nX z9PzN31N0Z89PzN30|@D;Mg;ncQ3f7d=fHm*Kz}jH5f8iP0R6>~h)~@I5Yiz)sBQ!F z7ejzh-3AcSAwc+2QOF$FQjs%P4y;#qFC+)b738%)2LhqG4e}1s!vYW9bM}dNaOUg- zJb2H6%RLBSk~;WB?uR^h*MSN}$l*E%KUZXJ0{JEn&K;;w#I@%Qi5&0q?|DM|jraLy z80GGGALIacisT+*csqz%G58y8Q|GOCBBJ2BDSb*XzRS;@EYKyOpOxcS6pqx%=V08R;XgstL%*&wcU( zk7?`zDf^mEkX&_*b+zPmyN{PJV*2!aUwyG?_KHH6=3bn}PGL zK{zPFg!xjy=yZUo9}#8UYmc`jC(RRjdnt@21x#g?4crr>$X;PfbMHi!+!_8n)D>B3 zCFLg3bCpT3zd!>|qFR86#zO9E(u2TQk@;Bq{L3QCEMg2nbUpMOkGP9<+>#fauarj_ z^efG3cS)*U^|P7|eS))u%P~4x@<>eRy89}2qEIfu+sVj?FXDGauh#hW)afwJ*9cKGi3RM%XH-y%L_nB^=IU!JIS` z`=ew#zg1+R%KDBsE|&RNj0ct5er!pC2(RszU^aT3zqMok~AGa9rM1 zOhU7n=fsw5j@0+yl_16)3{Qop!mh2E`9m1vI1>5^6|?k{i1QrYXzjy+3e<7;HeXuEY$i*jb{KEm^> zr-*C*qbHBm(6i1BZD_hZ5-4wGilj*~x#4HqmoHi$8enY2;l*&dZIxx+MmXoqX97g} zbm?)<3$NFtXZtt@5P@ejt+XczKN-lmX;&|9$lvG)-r*pfRO{|*9UV0qZ5e4XI?p74 zmS}XfwVs#HL@3nrlV74}K7w_yA?U=#{#!9+LR=jmGT#^2Us;l=!m~=Hpg3OWWni3H zRV`C8y7Q95%fnY=DCxAn;3chqtU0>(QJ6i}MGbF%q*?zg|7D<2VsnZnPflk%yFgSb z(sHdfBPRYCpQY)IumlH@nilV$ob!A}>tzA1*NYw=CD zYSjA}bA0TkC59KNa-?$l1IqMA48ev57LJ1gEOP<3o@B=&SORVDdn=Ea{5&&jb4Oi2 zE4$?8ij`v4iI|H|1M*9y zgivP(SwA8%@J_|~hqqT&ZyEIo;I)Qr7Kz-ejl~%;=V=P>9FmkN@GfupY5l`=^yH{^ z+UcJhOck+LHcD`Qm_|9Dk>`o4N6gJMUZG06Bu8-n1T}tiYG4AMqfQ^9qE-m&t%6su zW6Zk0>0rpQ!sg8~HwNMqMH|UVhN|oIwCQ3J;!kc0u+?>8(g%va7o=j+cd&1c(z4qx?+xL;uDp1Z;2DBJ^6d{yf4|P7{x&DJaFTEnT(fYm zhbl`~G?M6BE-^<(=jGk3i1C?R#`JkHL2xc`IaTl!-z79<^bhkDRdv4K<(}2&hEf(6 z=wMIT1SFJI2jvJyJ5Hy2=os6i+jFHXKmEBSOFft`mpjgOesTHh2${-fSN3wW6iQ2x z#dec7&p-Y;UwI@(y_p80#~_gP=6`Xh|GV@U1lQlSCgP8a??62UIjZ|VKJ+dd3j(3) z2(s!r5D4uRLIBn~Fd|gQK{m=C7!j(+AOPzf7*PNzXn`dvV7-F?VKgoRu-<_{e&pd9 z67fHC5dL-WBdJ~p@b6O!BqH=A23YSvARml4M)sl{_;v6hsb0uZ56+xj5DfYEAq8CP zen?bu2X@{BW|Dv*p{JC8oizR-$B?I#f1kwmJ*E6P)u?0tIi>t@?d?6K?D6CMb4o#8 zsf>aWnm|*d8jPb{`#fytD2{2ba|B@Rz14nm}#EbWMV8|Gg|NrgX~FH z)>2F}@kUGH+bMN%5;Cka%t3VDHHdEOkGjX$bl;g*dm}Lv#zL5$=O@sjv4OchO84>| zL*rR}^9$||T5NAEwxOky2?SpI{D$P4p+t=U-_qiaK56);lFB#N$D^p@e%&ngbS=sY zqZeR2Te?NnW!NiOro!?PLY1|foB!(=ufJLYWiYleDDjC^J3OT zlip(4fBx;1FDoXQxqW_~Rz$g`_Y~`Wm*-z68qe5mC(wp$ukhaAH^rjh30so}Fetz2!a;{7t)+f|B{oq?f}FQGud| zC%Z6367X^8M$cMDQ{y=rp^aS{RdjiqwElrxTfLwvmg_>=<_nfloY4zIoVP`7J^3zh zJ?3$CiQT%ac%!x6EjN2iD?eU4h18Ld)$pYa9)2ikTYfc-0u{ZJDdX!KUr!fWH5&|K zuR0nk$}KBVr)#o4$>ylJM7fSujt)1z%9VI{2S3(bp)}=c zb&eU@waUBvjK-1STGsfd9fT!62YmNgzx|2+OVBpCxGTOom-$ZrqZeunwaKlK+jo2K z>U)b{;WTF`I$QIDG<~N6ffv;xXp4@IH+jdELVI$ld^$|d>UHDqPWwluCi)jc%0wvy zotw{GW>$Nj`Sqe=lsi#ZxC_4}jmqj!d{F@>iR^vrcpv0#5J9uexnDE+H!pZ<^(M`G zt)@ABlzidcfPp;Y*P|(L@teHzj~f=7UQ<}s6H;Iad-(OUtUN{J+OJ#bdl%kT>~o zYtyUxvPnw?H{;i9@3~v4ho}lL1w%es6Mtm5K#l7}N8vz*b478CL?Y6IVn8HYQMu#e z?vT=DdDTEzWwdA7(SN@(`u}7|DPoU(=FhA0kAwK&k_Wi?3Ar~TUuAoMFs&Q`m|j32 zymA3dFCY+JWC5lZ5C|`M0MiQu2(zFd0MiQygj!ILb>rXV0Ps|yLrM{V=>-xIWfi?OfL{1Y)B~rFuj04=#WwbV0wW7 zVM9t0fawJSL_T@29t2D;5Fj#_AV8p422u_>q!a;|ULX;Xn-nA>aLfWD!bbfg0MiQ? z5jx!z0hnGOK-j&Z2*C6L0m7!6A^_711PK2+{wDN6AXJ+}0Hzm|fd}t7`$RlAbM^rO z$1ETL_5mK8Ir{*CV-~6rfnye`K;V>xDiAnip$a@~<^ZM_R5OSFu$cpxUQmvB*vtW@ zN1z<>u$cpxUQmvB*v#1@>A`C`_^$()9)apo0n-c0z{6$^V0uA0;$brfFukB0@vxZ# zm|jqhc-YJVOfM)$JnWtWm|jqg2$)_VK$yz{0Zfm80HNF-V0wW7p)Lzxs6GS;Un&Zj z179i%Z!7px56&F8%K|XHfS++6;K7*#1@Z!>7ZfAzI=BBznSgJPaNd&_aJ_&b_d_1M z>p%@5yues}FyubKgL7y1cnVbIynyQkj0opw_jE@iIof}7yZdpp|F}nXIa=O7Bl-7m zw7h$)F#mA0y!@zLacbj|Ah0A{VJh~}Mls@^`>H5!V1g5K{+h8|U7q{rECV@0kdc`ZJysrT?$4y}3NB=C7`V@&eHajC$&dctuorH+R0v3zRi zT!?5L(b$fVR+$F%Q*G;KeH-<2X}&bBd=H!GnL+E+VyX@{9tlf(I`87|j zln!=JyJpT}{K|PatVORGoi{LtZogWxd&%kT^J)tXb^4a2;tS-VcT3#Y$wgw$irihD zAjlb8OTo91eMON>>znNt*_rG(qFZ(B+?xx&I7A|mN#v)-1v?zxIrUH1t=6QKZTO;J z)x}<*BHCs!53h|X(2h;Q8+z^4L%3l!<1ue{E7ld=-fwGf_0dQ@xhd53aH_l5 zjrsITA8YA!Va*WI*Gajfk$t_sy_w_swtXQ}rh@zNQ08LIJN7MSGlpYT^U&h{mk!rFf*1 zWHaB&gCwHi#5V=PCQF@$uE}u%^01JbS=i4WZqpcu=uM0I1AD|(L4l2#Lz!sy(Tq} zjc=FDFUDw4zkz=JV|>=z&R7Di^Hk4jYU3h8>85Yg9-orRY<{dr>t%x`Qg`E28AsJ! z>}*M<_g`JuVzR<|MJ3)b1hR*+rkAj17kw#vb#rj0v_hC;K4V6Cwa-9LM{$x)v@;+k#pNANQNfA;rZoiQH9^7iKB|`mX);!&MV_fFp%^{aE{GS(lek*sZbCSEy+IC_I$zMJ9c5{S$x{2hNpLxZJ zhWbRB@9)e8@=lt^hCMCGTaOAJc^Pq%eMi>ebz6%sji#lT{}CLKo-YGxE|^*OSy;Ge z#X?Fs7EdDYK)p&7+{kroGEYGQBbx8os+;2Ck4RmurO6W;Qp6sv@y~N>MNQ1H%R4SJ zVy2L5`|AX+qYeGKNa(|SsT^r?5Sx1M<#xK6>R0k>9cTO5smWNKlhGF!T;Her3QRXX zyUs`#c@oXYRd4)w%*WZUUUU(0%Z)g{g0=G`79SyYqC09oV6GIVU@X*Cy!^e5YgkEK zp@Me)VHj?9kc`;7&0E?e9&7H!nKoHp3nekw*OjSUB%}+}aKmJdu$qgBC|2aI?j$)< z&m1qyk-wP1`0irLkso-(_Oh6b!*a13qQptUfiLyXxg}p>jiY@~dyED@^?8I3p5vhE zmAmw$JfjTE_0Jny!js#&3#aSmW|}@svZh@^tLJ)4;3K-@h-R98U%POXs$cuwEj6iJa#hh% zl6Oo*x02okM0WX{G2QrG%_VZCQZ2eXf`mc|n|Pp{ zTc46lzP!#HVZ7RJf=(t7pvwEKYgNLf@5B?qF=sKV6W8%>pBOJU8+Ly{xZ3TFoN=1f z7rYE%=Xv*D)a~qGreSe|R{y-Jlnm|pD;DlIXbq4ep@aPmS`iWCAKbKmgj=N2D1)H= zL%r?($w&LAgKYQD|H&DryH^42t!#Mz<_0DHu>gTk5}y~aSU`YCh6OB$0E-0#2)jI$7qD1>K*-c;C|Y-J|doF|dr?kDmi%My`Yd=6<1Mu$Occ7YL zsx#D$%)FX!QWCPy;^WD8e$M*9SCR<(i0+SyMb0CG&hb;UrpMV`M@8pO1aRK+yxE+@ zLwI8M64YoBzl=6<#4oJ#Pws|nZGVPaDS}HtIyd!=C^wZDq&YDr(;=cAM3f5 zjg=XpQk|q9nJj)bt2=j3d0@$Yh?2%y9A9w3PI$>errLUrf=){263y_fvA7d$8UfTX zr)u=O=@%h+!7KJ4T`PGmE0QC#}3}!nL_afssYlpDwH0-tuO#mW*NA_{?Kb896)SrzZz|k(Zz@epR8coZ$Fn;X55rlegX# zZ;(5ASI6`A`2fAza^t56t?L06k9)o$FF_SSUV>_Jx<+mZc?l{Ffn4jqEk675dT9I-_{ywqt)TLH$q>EAkY>mF!6@dGlpuRgtFm>#mD_x*QnN*kP-L zPEBaZ*;+N|F)T{Y2FlHb{4&o5pV46M{?{d_BZiuK-<`MO-dAnr#8I!kqo}=374U?Q z9JlbKE}~siBs!o{ z@ak8O0Y0;(BJ$XsHP*`EUq>pr~4$gBV-} zRqmfPeLsR^8=g`yGjhcxyr%lySCNgURI`(_@1C=+i{uPS5Ktf z&lLHZlyBI{kM#+83uJVH?*sPY1mr3R3>2!t*ri z)$ja;5F%?!OR7+2=G;qZCrJ_Ui-VhIUes(@T!~(mjZuGNX2_40i@XK()g9{#8__wU zZMmGHPuGywpk9mGI1_h~f5TQjaWpTRm1F2dz?X0FMO}X9Vrdlbf7wtEQMj%=v)tlV ztbrN5t`sIfMeQkbU6I_@km|;Ivdgl{xitpHkC@vJQ#f9+y>$FZYW2890o~x^gI}2R zuAA{pTz7H_DDaxlWj6?u=~U#)BN=hZqnS6U&!^p(-d0*oe->W8;VMO@sZOS9Au`UZ z)YyS@)XBiA)3`67C#UL6>iER$(ZEa9Vp!QnwivGAWm!EQK>tV~ml%W9juU&9Zo&W9 z#YSPFs^`B*jgQD4SBi#}G>Zv+U0`UZG`fglhd>{e7> zz#9Pp!h8d~z(5cP5c*UBZv+U0ihsO-Hv(ng-M)l!1rM9D&1T4&aSI zd8voZ9Kaiaazqp|2PVez0^SHnM3@-QD}X}gz{Gf7z#9RH2wf`Rjer25O9i|U5FmW1 z2WJjk#Rt3*V8nfZ2WQScz=Pjb`v4EloPBslz#IX7$o-H9=MEgn2iPOPm{8*YvI*sH zVitJs>;pV_+kvvHe8^P%FDm#SI+TwarI```c`GXKHRP?RG#H=lBntRe)ZLDsBEN6b z9Ev^E#PHTC;Mc}*L?lO=QYU)g<&o2yOCzi%q!%mPIZQNViLf7_V>+r!M6$m8ex35E zV)9pSf$$5uGL!^@$80K@E)3AFWB+Dy`MFLo%xyUlQNTnz*YjngNK3C`do z_FwmA2dj=34XQN=I@;9HlFX=ijW1F?KiiwYg{qbpsP8aT0bD^I) z2$QSinRf4==bLD6MFYKock81?w!Ea{6sO#rBvj) zd8_k`mo|IC!raaGw$+!9vz^gSIlZH<7Em-`+PTbcp)*J?rT3_hgWebGs!xV)es+R2 zKeN(_rh==v3Z)B@`34@f^np1!Bm)&ch@$O(1SJ-6P<`W|ExrBjNxiJ9?ba;L>VsU> z+~z6Fc%sMOc2vsb&ya*x{d&;R!qzp#CYy`1K5@ouRQUXKsB*De?NK4aCViCYN#yiGmmw% zCt?qsR%=YGv-}qRh~Ki^L*3Of%H0JKz5P{WgUUE0g!oGFfxp zIQwm1y|C)fAMIU6@!B2Qn4L^@g)ic`d~9W9EfycGC$jUnEokL~hsHs>KDzb8cEPWY z=l*%h3lwx;s!!uq-OAvMp%AlkG~s0kz8ya78UHI&Lof3hBaMYdgl|OG*Un~H%0Bdy z5jD>XZ&O^M9bhdmtCqL(pU}Ch!5-~{OLCOv`+17cAXXgxsuBm<%NRBh0g^@`9>Zqb zpFFNS6cBoIYWB&%F+6FzR9tO56*`0QFfj)sXMgwM^T~B2PWnBIuU?8^yd-KojYd*> z{6YEo_S|P$xypslM=ZZ%9XC5VH2H%!xW9W$bn@f4G=qxwGTWqvJH}|7qq%#eXcuKX zhFQ|$Wxvu_`Afy5>)XvD6~C=k3uc`Y#KxmZ2BLi~5eL*^8#}Q z)mFqp`gHt^T>^_^H`C8@f9JmLIyzaSn%DCVjazDuDONdS8awE%D8rDy91YGhjNPIA z?&eQ>6$zy$(RwdrlKzl-eRrP3ndNCeNhTF?(1{S*42vR`P2UBxw~ykuq!ctB5~pIF zOkwoPp1C0aScBx_>qeezavt32z%ERtcrxSis*F8=B zBKNK5n3nN(X<<{Ed*{na^3oI~@dXkYH!8m;h#bM9xW#03i%D0aRGLzP`_1_1 zxWSJd;(8`(8|UN>g@UCk$((bk94`8AFb&8Q^QwH^y7iN8hD>7JI$9bLIZ#>H!TlRK zTUt`>kvoJy=i6h#`|lIz|C62oKETe$_vdD*J^gvG#&W(tmc0L@%RwNN_2%1S+}q{Z zK_JuYM4w-q3Kq8t&0JqN~m z?~cd%FB%bE0|>xaZ$3U0_8b`Ny=!beFd}@ZDC{}#rJ|5I@TDHS=RjF+K0x>czsG%m z2WQScz=QXkeSimN&TcsdsmTE46a0|-ArHu++Pbu zRbkGaPK>(W6;9y3DLJElwQMdvTl~EAIFtNLTfRf_$JvuR%k+%G`5#9*(!S{u$wl0j ziio}&vOYHxa#v{*>qFIKDWFS__7IFbuEow#-;-SPo^sqS`2AbJd?zF@I7py^3z% z60_UZ=N@i-wU_M+d)Ke6O@&40&@v+rBE#f`_78+Ij`$|wQcKwOqb@ogddviw6vb!s zWc;c{0D9!NL)~JAGqZSa({A`J1dS5i#ZE zSR>kvLW6mnwuOv(k&+Md!(T5DO3b~Px>K$D#RVhVO7Fv%wd=8YW{v8M>Vdi2>Irl< zE=JaCb>#7s69!se*c_Jc6`+as>b~7x#i1}_S?eiacgs#vGQMAc|L-}`;_r)_m3N!_ z=FW>*yucO0`7%=7hVN<;Z}D_AqM(6RODLS{x^Iiged`Mucce3Z)V|tM{p=k#Q8k}* z$1zJU(B4nqRnN3P?JRNQXS0z~rzi*c!sL9@p7O>@kGv_eEt=UJYT}sZ zkkYe@4RBq=ap(XWLtavD|pZZLMfErt!?Hk8!dT<(E^kU(rWg69v z*-R6wVSlW1CTEMIv5Kloec4ST=i=GxMjzI*`YD;i#A%A9?h0e!IHVVy@@N|fbGjko z$uL}wPwX}kdp(WfiF>hY&a2cR>JyV4YOI{pPW?P?l0qBGSx%q)g9XjQHOD@zt@nkrFRG$IJBy1xdA8MfPVo`3Pxg~sN~^uUiV0woMO zv^U!ma)>hhn=3h&<62|3Y>g~vCgx#{dw+LTs$|dj(MIaSXN8*o|Tw2emwE=>JoZ2qUgTq ztt;x$k`Hpw4E^v^PI26#^<DHkhdW4>UC5uryMAM?Jh{!zdva2Li$}!n~|pBktXx*hj4>@=ao_o$qfwsF?mZuf{u zYa0o#y|~`89&fbxkj_Z#$>PkZpxRY}mY|EjCJj0wey~u>dP%eVeD7;;#~{AU1n--$ z7*$1+RjxdbJi*0+{7dM`SKi<;lKf(j7qsO5&dkMotedp`(3)&`g2hi*d}5iM9pLB?>ynZp*P&TAOgJ+-GDtZ4-X9T9HW_PRXWB z+vsxaLghq;zT^rI5PU{NG{+Fih;rlZSdT2Xl*EHN)kGvxSM>N$kskw>1@ZoBZDYyU8zk^F;@8 zsH(wRxrUHO=1f|6B**0(FJC%NoB3;f_&BYutEDsT+pFxZ6<(Hkft(HtJpHjO$97x% zx^?kRwo3$EKW)&O(mZ>LJ2sad??y`!TavZ0=<`*KXCAV-lB<<7LW!R>8#UIPT-}U5 z2bDLC^AFplkv<)^Ioc;*^Hhs!7|*W*Av2rICSBAdr zP#29#34ThRm;Fr6Oa1)v3*OuJ0v1`bk~88jY4BZ;dJz0Tv~<1F1z$wrwDY#(4Tq+v zWZDhO#H?Ty zm=hO~pq3@F+u`SB*?G2Ibygs^e-P7iSzfwTh&P7;tN5Lm?u_f4?^y=^s%XSfgU253 zn}~4{$wg#`-{+fT?KJI`%qPG8TJo{jjQ$=~AeNih*ma?K{jl@lS`J zZXI8jPSWYea&4X1(yZ&W{qyP4xRz~HdD!KWQbn1M$m%hVSv!PW#mdvSs`Q8>*j@=A z%`CcDtJ|c95Mk|#yfpUyrC~_IuYuoz`5KYr`wC>?vi$6I1^pGunXez5jyDff2S~Y~6 z_YoJRSA4S9b6h$+*`IctwhlQ+ zE!*-j<)BA%S>%|611}+FJ$D;IT>&fNYs79H(Eb` z=5(>C2zFpfY08aRZ!i0s9i@*FveRAlIEK>Z(!AEbEF9;}!2ExQ-q`!DN{*YjGAMP>a+ql`=bdP*x;%aGWoVPFyTPWE) z+w!sVVoI~yI#}Lg!RmUhcKC&zN``4Cw0FcPmkXEEimr7e^7h@Q(fCU6^1;vct#kCwq(#rrBW+$e1Bob0xmK&$`vVx5^D;qX z=>jb!^O&RewC)y$HknIoePGggNHJ8P+*N*0F8(v68*!rgvQKD7+;4P>BX|47ze4I5 z{yiqY|9%~Vl*73${E;ViM;9Q4V=k^gx1jym*v?D4-;mX~f!wnGj6RUn;6e@)KyGn= z{_#J=Sbkti68|4d;eiDT{~jOUE`bLEq2&Vq9)AWL2z4Cr|FJ^+v*p8q(8`7%a8-ay zg%&9MfU5!mggFlQ0apbGggOrR0apbC2y-0p1Fi}P5au}G2V4~pAk1;V54b8oAk=Zd z54b8IK$zozA8=JbfUq7ke!x`$0m3@__yJc12!wX_@dK_32oNT5^8>C52oToU#}BwF zAV63#%@4RLAVBC-1zZ&nAgr^GANjxiSFr{EAwxU+_<=DLU_=D0vyUH`LIDB7I{Wwm zR|NzJf2x104ImJz;_~kqHvxY*`vCt|8&Hn;pSAD*n}~p`0@a8>J2}e0gEI&IaFE~8 zK`FNn@Nb<8<)!|84o4Yy*vtXi$x)7Y*vtXi$x)36xGGQv{;gA?3_NV+0PW-`M?7ri z0PW-`M?7ri0PW-`M?7ri0PWK1je<0Y0AnkOwCZ)H%QpOxp)T?gKnH zd7zXk{~lk(KO86@FFy(#XfU~r%SVBwGVi)O(Tk^&VvKI5*co^E8RpzG^Y=GVoJhUa z^`;;1KGmJ=d3k5k6V)BcvyWW0kyjTpWce|lH&oE!_qj)eb`;I@6>TF)w{#wMn`Kqh zSFX#k*}k}#PbJK0<&9m#x^1=-IKGXVIW`+Ay~r(!&%9h!c*{w zY1`srP^pi1X6wRxOj)+ZesgCG{<@d~)=Un}MCmuD;}r7*utwW+e3x-mya`#}TpD;5 zeyL#zGd`WG+;?al?Xd(&T6iq_!m;k)yGl!gtoBbPn%HtKFH1Fu6gMe6AH8)tZzX2Z zZG4WntN8=hWfQ@rsECW)IXB&m{A1p>52Ytc;40DI>`p0RmK=T}QKZK!?J55tkW{<0 z{Tn-$3r?l^86mAN0=u1klrkSM3Kd>&-_QPu|X2nV)D=Q1!$z#CO$2 z1eM;VozTGGCe+4y-dvjb_}uGo=En_>BjheE%tW+5>SPX8=8bWBMpiOEU*7w;dAqhI zA|mn21AOw2f`)$j&JHfG@W(ein^>U^qzs^cJC5m9RD8 zTVbNRRiDU)ijyAQd@)=nLQ%>w$)x;La<|t{I`pQ=;C%w}K(}}f-xBuRchMod1;kGr zPG9L!zP9WU;~-MtJo@|Lpri|x4PNoM?A;$(jj^jI#=qE{xN|n>bAo{r?OdB==9byj zCH;Y?mG1=a*k6?`6hmJTdL}Lhw{;)@L@ANLfyCUEt*x z&f=uat#SSNW2M!CU&&WGhB`2{irualdZ{rOkN#A;eSPPRn4-offfh7NKYG*NggELK znbqj@Z`P967&Bb%%6mL+e1~r;h(K2<*tu|4Q@*gk*!!t>jifYP9Ap@^#;DIt>*s|o@Az3{FVr(L-tI`wNP%+zx7C;MN1vj0pmC-{AX zV7%?JV~$3KTa%qP;v{`&B%Zt%YDSvxDt_-`o$#U2Hj!2U4Aa62mI$iPtMzZt&X9@h@C)xieyZ`u+ z%`$%#ntyzU2Xpa&S&$zD!ps8vz#TFm5KgJ@u?7C&jDU!6_J5C=0}h09@xUE2;8F#k zTs&aG0D*8W92Y`0}3GC5N_W>d&W9}Zr_B5Od z07FtBOND(M0-!hwJRB%%yxX~OP?GNh1P<`1M*PqB9{l0#6Y=28*#~&=+iD*maDYek zGXm##6oH^PiYgE|y`u^Q=w?)bhs_*N97Q$aVKWC5M^TM<*vtXNQB)%yHgiC66vc?3 zIEpIpu$cpjqo_ta?4ARPqo_ta?4ARPqo_ta?4HAY*vtXNQ52U7ile9k51TolIErdS z6fy_KjPJe|4tzhtm~jDM);9Pm7l1M20-!hwen$9GQOF$lQc=hp=u$y(6j&;h85aOr z&cTPX5Afj3*#~&=+iD-+!I=Xm)CE9w6nHxOArIblpp3czD31am_W>T9I|$@346tGa zn-p>~OPsiV`En47@w7SO@EwHB*{r`~!xOh;I1hU8tb|rz~ z3R4=3>%oi)#Vr>*`7W5q=W07Q;GV3Bm6AIdYG51C^+ywMH7$uAA0M>hu&NMyT{e-o zocQimv&lzyYUia(m}jTZBCm+=w*HAATmOQ6YIa-y#z>;kA64Goz1J_{{PbwHTb=2= z%DqUd4+KTlx_?J{P=ZZ02MMk$?lXbLu|3%60 z5dp@l?_w=Ro*xCBy}w|&hZKlSdXUs`Ncn`0pSt}2CxicHm5ZeA?v3+~fl3}b#ZK)%2?Eg^r)=^b%-QTw&QVIf+(k&se zH?b*^?vN6Z?(XiC1_9}kMx;xW5|k1t5d@@DrKJ`0-FoixKDS&KFZ<>9jAxAJzcUBVM4OlL>rVUNk=Jq||YFO+ej zGRwjmqnF>AT$vukkmM8Q=Tw#xqtx>W?`s(yzI|;>emHq~#L;tGGw8zSPRt;0)ty|YJovY1WKT<<45YizGKy6jb4?cjclN|YtLH<<&U z{iC9<5EPF;GFY@?%AHU`DFR{be57RSrT~{7_`P z7w--++CRvLyxV9QEwB5YY2=cG2c2ejGw8+OslV(l~c7@3^|1sMZR_z z2wgOWYY23g2ZiA$uc;cXTkF`AbX<=nyo)B&%j%DsQl&>%Fu?9S-hsCg?2G*SUO(Ci zoErR+EsNWk?H#@`mnksdeYgX)Qj5>w( zqwnu@j9)gwMWHHm=>BfCrg9T4p4F@_U8k~SJr8fBTft8KrJ5Rl%F+reGoRjK^RLvG z4{lkNy{MHJ(j4u2`*>BF{G|_0@M5E0;rbHbynF_{W-FHSznbYlT$1+7ceJ zzw(5H9#N${HX$}KUrS`ovg7Du@Vqm<;Ar?*mbZ;)#}|$*%NSw30F(Y+Vw`kw)T~9^h%~3+C%qLWT#u|ku^UnEF`)Nedz)1R3s0t$3PPnK7Yleu#P{kDl|$^YUiX!?MLb~(TNAna zlDPZZJbRM)1_9<#U+z>q3Q7MI^r{S}V2AaQvgRYp9N1HI*J4ut-D?!kY>FP5R$`gZ zi%HLBiA-cA@v^JU*jt(3aO{N!?W@{2O$$rA`b`B2r_OlxLS9^^ezfy7 zeDx}MoNX*U?G2-YB+mjtuWO9yWB9a4)wIsn*lwgnHxz5P5xa0F&x?uCdC53pNBM5p zYx-9ohq4-`KU02HDZA;EZcbGE+e^PMUo-{M=7;@d%K5*m%?|_EdtiUgUpceQ4+9*U z0t7*u?l6G82MB^%?qPsKQ-C0-J^+Ky!u?&~13}O>KMY{+0Rh1bw=jUc2LuG034{Uc zJwOn2CJ+X&_ke(4Gl4MflT|Ya2sRT4100$H1VLv4VE}s%2naS42m>6N0t8Q~wz*F> zc@QVh&K=O=_n!jx-(EJn`2ElJ=hWi&KXZV8ir+uppudaXzf3>>7QcvI{P)*PpuqvQ zboO%(i$aHtXp(2WawVi@7;!U?f{^^wk2e~w_|>Hs@Jea1CN@m_j7p+3d|qq#bk&9a z?H!TFA{c6kqP>m-)Z-G%&pxjiUnVZ8!$IX+QtHbt(I3v;#qxcY_%nKt%(JEO#jS77 zI!l`oHv8|xN1`=f<-fPf9aiA+W~=dUQRCU8&Rpa&?O7!D!j5?_#dRlj6L)wqy|83% zYk64BsZwNPBZst%eeaSc9zJQd6aju?NJBrPRZf-G9l`SK7;Iv6ZKoCgWpcMfzJ-IC z(*K2CA?6uy1&iv4!TAD3(~s#dX%EE#^l}# zjB^vn~Y2RAYFY&lF06@gw zSV{BO-8295lIDNQcpwaLJq_%SFY)YJ@k^lqPE`T7YB>@JoU4VdKmW1EWf1(}(e2>3}q=20$fb9Y# zBA6us2C!WKK~PHq3}Cwe0l_Q@Fo5j>1Oyvog8^(8ARyRyAPiu;0D@fb!UE(|1(Y~| zuY(J;bOhKgKqA81mB5Gq+XV;+Z?1!YXJ-x7=b^!u{$@RQ| zlm0M-~P+s$nVDN#lZ_^6g&7w3yQI*IqF;E3D0%94^b$ zUMu6YW{AmZ8L6?RPsK>Rsf=eSDs58K$B@=N>-Fv6zFg{3nzV#mUzdc@^;BJd&xn@8 z@L!V(tYSFhyy+PmTNz-9JH!XAHxA znfeMszDi%-G#kq^0eg)}k{MJPnX#36@O-Lm{q+##mG+|ym4kznIvqJ?h7iS$A|uGv zA1YT!7c9nZqc3G?+=;sQKAUXQ@W&y4aoVL~?lgK^{*;Z!VwJJ6kwG!C!surNuXf$@_fBc=EM!0v`pW5{i)Mih9!$6L*eQ)5m)n z^PGdn#s+KpTzl%!BJr~>S&R2IJ}cHDyroq=G1%#Gzl@b1)1*ART9kCTx0tmo<&esc znps}NPRsX$!KI+ju1Xnn87K^-$FE4Z-)AfYyJ=GU%m`&D2oaQ0@U+*x`zR1CRy!LH zTOhNhdeRo}v?_Ka{9Zwk*17E=Uj=1}3Y!4Zhpw9#o(1AW9fvlPPn%h|t;;==Y&C@- znHbKPN1qeJJIZf;T*+)HJ$gSSGVrzW3z2^0^0yC4cyL*thgo7=g?rfM-j=;>gu@C; zrUh~%$LcRu8Oq7oh%TmQi6~bpSf{NCS})=++IdHX9?0~TSzIeBH4FUg3sZHt1}i%f z6lo|S?zN6C+Fp+9>(F=VJBW<^+825esl2zRZmYVOhQ_4RrYG-i#>WB~re1UD552IU zJmsg$_U0=hEGr5lM;9qZyG81~b>mj#$^yuH6gSm^B>cqif7)VyO}$V)rT zR0bN#5G+wX-PX}?YdMv>+RM9D(5otboa49S`67OJZZ8dw9d>W6nvp*-5)c#+B%ZFs zlb+gZZ11qG-=Vm+>!0QI^2N*bhYZUM+mw|#Y2-iLKlJmiIX`U1tnnWiYlJ!>KYc#o zUGCbG(2yD3?K_4;zM)#Iv9U#PspuJ|jg+gm((b4Pufj3b*RJm4vD}eatDUBxu=*&c z1?9z69pxwiF;el+C)aYRb3JK2=^rncu|&(HZS7lX>)P=x5(D}3Sc9de@Iyu2rqXzc2zefQ^l62Y7}HceXk_wi^xwI9eh z)Ks}@O|o5$nEI#&`a7fPq0hwwNfm#{_Yq#id}Urg^`2{ajEiUR*`m!yJL2`PVpB@a zL)`6rlB(3N`31Z*H!cZ;VTalmcz5D`)w^LTgMJ-#C@AeZiJUd*!!~xhH%NT$BsbO{ zxn1l{Sj0^lRlWI~WBs|TC&#qeJr;$`ew}6`r-Yi3mo$ausk}LJ6sUq2cuD3d;<5c+ z&0-8@D^&9%rKsU)4wF2KTJLiytiSHb4OGrLOssiuSbcA#5YfW035<;73b-UE6|Vk+ zo3gG5M`9*Y`Uaf{Dx(y2v!`F<6KR8+b)PXYr-%gz8Q5D=^j&kOKjfPi3K zcwT@H0|p`ltX|6k+h}%sJ&z&(54v zz_Zt!Q^1o=AB5lWyqN=#MG=m8-pm2WqKHNW$f5{?CtE%UgXhg0fGmn|#PenjU>Y6a zi0925z%)9-5zm`BfN6BZB66_w)s@(2eJ$Q+RNJsd>f*9xS4&&3XqML{wLqL&M74P>pkn6JdHd%dB6k% zE_T3a-N58I1w1=>z?24f#Q#p7f0YJaUTy@Y4&iqWI)19h`Pm0o8oK!-s9x>MwTy<% z-|dsu4*B@*&08ZP^tcq699iXM*<~NEtjl-8^5$2D$l5RQICy&_Dq+&+vdoHl}^ z8hc&oPS~TzOK~?AOEMzb+_&hdgxklv!@7CfaoQR2`R!~s@39F7k53xdMDH?nSVe(mGQESiJItZ+dk*w|5-V1 zOaIBo`t9+zpK=WkI)@~Vw{)m*!)bOKus(i!MdI-0rG>B3t%MZ?F0?h6%};ZNG~(rw z%f-S*)#YlY9oDsD%<4QbqXb0-jv7M*>b#G{a>V7BjJQQ&*_5$rr%2nVp>4Sz9{b=0 z3l33VyKbxjL5mHiv_0?7`P^Wycg0wmS=8F_2JWr?>vxL~dFMP)pRMiT3i z$s-0VKY;_2um=7bE6GF$hm`#Cw_evu6?P)2ayeu>=&z1{A236HM^vTJB0pU9W&Z2C zW4xrftWMs|AtTb|YMmHkbh39;Yr>rvJ$y4`c%yeThKspqEM>kPcRZXNF) z_pU9j96k#m3EPfXk)2-En%F1pWjr#O73OLvw(LYDl24S;O&;%korO>O`+6Zs&y#|aI?6Jdxwnh59Agaek3XX? zj2|{0P*i%&Oz96pQ`k)N{e#|J!?k}0bM-^ny+LVn9P%*9c}KE${KD0rQ~bTu{&?{P zXk9lXM4pRoOV1mvB-mpS?Hhkk3ZIGxR7i-A*MNzG~-bfvZA4l`+*V^@}?{re& zRb17SErqK!HKv+{U5Yj8al9VRqBW(1clC#Ik)*v-Xi;u&<@WQtJTml-Zz;MpuvKO* zFz1nH+7@Akiyh$P09L6s()7Vnt$njY=P*|6*=}oJfg=)XV1LXA? zVndCX43tnJo7KCLH&-rEItsmgZ+I1#qlsbLu#nlvWPMAQwfVKewAD{bOEo<^_K?S( zmVVxHdgimuySS9YwdU4?1u2%E1UVF~4f{@$Tikbc@GIFdH{AJb92z#wX3`Ts^>#Bq zS&V3}lXheJ5K>mEj&VN>Me@gMEhKNUd@TlP)^{oqBnhu`Esr?3vi(J}fhm z2@Sq*C3^uCiEYLj$1x78ye+?Wf9F|>9_G=4#ka+Iqb z^E0wRRVYSjOb(JE8-VvdqH^nfdu@{J^8+Uz>vf3JW-jJs+oFBhEe&D)beBewW>>kZ zB6!IAr0*4xaWBc;`J|EDcQed>Uy5)qpE%R9t>=!z^;;hIDO;xF;$>tE1R`kHc3=_N z7}gmPS)@1&MTU<=b;ik-@3*a{ii=#t$<+9M=tp-|>GP#TpWAw2xifh|nOAH6NH>^c zcRd7hvMmMPvyasH27WDM%-0vO_j^KZ1Ci9^qcHDB6DRqZ^*$k&$DNkIFINR+4G*&V zpbMEL5cAip7M_;R7GBES2g%$=GI@~?9Ve4s67H=}4sqe8U^vO|pqFE5Q+?FZ3AM)) zr7t9YzIVtWG{8=}eaZfN`GrdtvYdAQBE7JUesX`!tZf8~pO6zjk0C z?+7O0z$2cWIh-I84jeo?b2vdH95{G(=5T_D4RG-6%;5z6*KtyB2K#V8BpfdGlX^24 z2;ViIlsDku*_p!$qW!?Zvoi+@9}EJCcy{K1X+Q9YXJ-x+^y@gOH-mgQ;JvMrdNbnS z*_m@n#IrN!6!7dd2OK=9HzWLxC-r8;!Lu{xlt(=~b4~%z&YV-gv)7zcz_Zt!Q^50P z4)=L8=g;K+|M!m$_@kcGn-NZclX^4a;CVCWJpCLD1b@`CGY4E3IeGdyNW@dXvoq%u@a#3` z6!7fKIYmx6Ifo1KlBbbpS30123dc#s86@N>;MuDV6y)T5E{>CmGe|@*1&0&%*KacX zB%c4A{d3w$JpUd&2|tMk9`twK{r5>c@IU(RU$OsJ5P~2g2>l*C`NWO;k03N6a^ca8 zY?-c=mvhNse#X zek(@q!(H^2ndaTbQ-ljbjiQsf_EXnpal8mZHpy*->_=fT?bw%bR>{O90^1JF{80(& zIbYFTj5&G~jM8}F`@Ie9eR%iqz~=jp!`<4!!>^@EE`>8D%(rnNa&2BME%gzuW2S4C zY{xPr2cr^g_X2l|TGO$d9Z`l|K7FqHv?r(d#9#^ab<;><1>}+ji+biH^V$gU)Xfeu zXg6)1?IvH3=oq;HzbcJOfi-aJliDZ?T!y zvQ}~bD$v(XNNW^-Zgg4T!?31pSJFz!&7YUQH|S7k%Jb_7l4L$%EG8(fatrXXENJ;~ z|3!0Pqd#}UN4gdEZkhtD7e6F;R``4=<=IlWl;k9ybV-fy6V`KqKF^&9H6-jcW$(a1d?d4=h!&17U?j6L}$t^&J>m-i>l8Tr1( z&z02V3OQ?!4Zg*G8-1(OPH&ZyJ;_C#)JZ45xYqwy^WC)gbjICS#Q+o4vEUiP5ECL1;(edjbJoqKqSh;-=Pg z-Q}hFrQI%ZQKr%K0@xC#S4Vb(5T{W#jCy?hDckt%YfSElgQjLohQUqQkw5ogg=6X4v z>%H*8d*RjsGuuKGi9Fjp5j~H`Q{i$FZ#6@q>v8z^1t0#cCoA4l+=iD4x;4|nt=5x& z27PSXoWRo{V#mb!c^nhomBf%dMQ#f*;vK`Rs+zYdL@wU_?zelCKd~?H;MP-auBd#{ z8#runQ7n*fzo0PM)rgK)audnsT6zYn9BM7x(=G*-G0g?3N#!BOqCDx-?^M{7ZT7!+ zG@~B#*FGQ{m=^HY;@8ddo$o>YD#LwD{exD0+~_)#Je+2JLjO`-`?rCyYiTxZT@v2& zl*C8lB&9vb%5pDR-;McD%C*!cJDF%VDxbK!ZuUGU{DuTSQvAh)rwa!@FcD#CuAjLl!Wx+Cl_Kz&Uk&Z>(HOX z-kr@ZkvvBsW*q!eBfSh3w+k;A(-IXhPVe*k)$e)y)DKlg4!uj;v@=4O)xnNL@Jp1k zNA`etu5!a9S#G}Dn@}i%urWk?XOO5Mw!qk-#@(IwA;UZ8sGrLRN}m-s1!=li^!$Z{ zi>|zz=~wlI;fXhUzZCeuwMP}9QdAN>MV1x7GZx)-+v2-1<&W|Z_bfLZmVot;;t!%N z;tNUaID5JN7>3Dq>u(3r#6K7_*%pXQyV6N}rO&`-Wi6b|ks6G|n`H6~lG1KURHb5L zg=H3S_m>lFOvfv*+>{BXjhu&Zh$#351dW<2wY0}wND-rcz$m&jADMBF+kJ4ql+pc$GPL4QfgmM|yAb57`M%{^ z;(>tPpq})v+Zs7YK1ljHC^t7Vkn1zCSlDAIJ5`Z zGJBikv60*8pe^#fXx;@Jvr9Mla#a0w>(Z?vJYj3Cmwv5j<>6^q$Qa>suqeig%_Y+s zyrkOC={ca&GE@t~v*bF8*5*_n$JCmC&hT?$WiCuBY78o|(OYCFA1&8v;cS5MeZ?2) z>V;U+bY>7KhvP4U*#BKp4#!EW8N5FEU4Nb_<#3#|nt_0z!V1Sps~HFgHjTr@anfoA z0)lEl94D=2ARwre!*SAT1_r`)B0yV}4?}OMu-tpvi0~nDTZb=6LPqsKfK=_Oh2zYX{0R(?TAmmA>8OTeX zMxNYm0Aqsf8sGu`d&j9n`R{Jy)Lp}$U4}BopIyTrm)hUEhQChc_;=U94nt&M-AnDn z`JDyHX@c^~FJu^^hE3?zu{bYFS4fLorhN8&fAaF3`xY7Gv@C=XleW|7Rsqi`HgHEj zQ(n9%e3j#|G=^G6z+5X|{e5Ixp~sJ28IXOr^N|aW7Z0Ds<=jMz0@6YQ=6A%UT0N(+80RAQ|mRUW-n=3(d zL*0Sw(NEh=W|$=-gh^^`F+CQM7y0C_)QwDNX%~gwXAViAY%OrixmHZ9X^Yk!#Y0zv ziRO_2b2BGf9Ktvj@v}-v?4~;?DzDfizx z+#nX`cVLhUmC`dey+T+$FH%^{Ir7?)TdrtZ0@-mAZ7IQ|UB=IGKuE-9f| zqPTJJ24p-gp0mJ}$2MTvw?;XFiRntwds-&T2DM2Iz>ER}!3z<983hP}*GvF23J?UZU;t(m5D?6a!T~U& z06|bQ3J1W90s?}`?Hm9z3J3^hM&SUMQ9wX2GYSX5i~he83c{c2c{2xKMnNI=0c;3tbm{Aapc;3tbm{Aapc-}P!U`9bW;(6B`fEfkR zhyXJR!rI=$#PhB>05b|mL@?U~$H_C{!Ilm%+XM%|i~Mp#>wLkKti4Zo}D|KU^aM;lgA%`L7m#mR-h$ZsU!C*@DD9k^A3eDT&@y0LHh4Q!W{>B;n}IU6z< zzc{@YL$@JI8&~kp@Hxt)8EeHdE@an}UiL|%wQ5W<-JrFyP!vJQyl^pA6}u3FX}+{+ zK4t%#JTk6{CEI1B!Y85w9PjEvn_64O;(kuLe`LWrj(xAsG}>m%zTVt%L_kONk!3oU{F?!;8&_&@cszxFFLg!Ke zz(oTpgj2F}Ik4jcCd-VK$K~EAMm@DT8xKgaT(eo#21=rF<=Y!qyQxF^hjTQhjSMR0-TG3pmzYrG z`|NduiIe$#DL;H&HS&I2T~6<7C(xFKtQ1mZY}czpnCwX8m=by0H0r^}u>y8x&5#nU zA2Lu9<|}E?#|w8LRkVGXc?s7Yh~t|_8l+gROA3Vg&FK?4No`Yx$~9YvPaCZi#Al>A z&#S+MUnd&n|6KC^S|7nPwUFt@f36c{QhEN*b)tU8;*+Wkbi5P7BgUCYM(f(@RwW%Y zVd6P>baH9xO^^ES`&X`a)<2bR&io*Dy^BpXpAc%`VPUyMRoU5*d$<1p~jup-(r!E>{WH=O^qIxOH7VeRAP#zR`cA zQSIXSbx;3!A=U)b_o*a|d z(|e7GmXoW()C0mP_HBVsJ|*+j6*t_ryIu1OuT1I7dsVuV8U*@Bt}!$ew{Z)&%Pk#F z{Ssw0>+*OM9oS7)^n~Pi{zv|c<7x6&tUYZz^AsUwu8(DS4ljL)$x%fsp!UTZdNlk~ zN>cb0o-mRCwj1`%)Ey_kBxLzgz7h26b$#p^RTc_0tm)(yG{%I*Yxc&Bmry z8i=8#?5SBk|HrbXfne1&w!G0SE(pIKlXYS@kuCY>cFD4j$2}3& zS1!88biA0mDTRwW@+8qFUHk@roPn8PdJspvPXHx>pic9203mZI=afC(RHb=_nd;^8 zvCbBEWyxedG_22N4bu!b@OwgoF}h}O@;~h>A^E=;7X7j(h({JKNw->1_`mK6MP+Y1 z-uQh_s4d!}y<9)i0Mez1N)<*y?-b zzAr*LhZ>rqd81=A^vCnoafFki=Idw(?V?q#n7GCGU2_Ze+dektj$}W&A;FnHNf1cy9rBlb@qgZX|37%-Cl}-|%j55sAmkrkBqf{1ea%1B3MB-7 z9VDO!1^LTa0S2D0Q?XjAXwWI0`NnC zfFPHIazOxo2p|Y{NhlmVS@D8^AU8e1!IKp)2ng0Mg#i2zKoIPbPaD*O-7F*yx4;^jA1PbZrWYJjByRB7{UkI{{MavC0yKdiF zr>JsDAYeSoNz16osYJasBVk_uv3S^d`ik|8?J$`KPT%|R>h?K|+YzSbc{eDd-TYUn zmpPt=Z+`m5eNZ8`MiGc-Gq`tM#)yuTDq@MwE1=Vs!zv&rSv29^0BUGtD65;@D-~3M zFv*33+0RqylJ_MxDaM+x;&~lkEey0#yv5kwHrqdzUuW%Pm!)>vzxVhT?h;45O9;_+ zz*vTz7$mDSpYElRL|x!0teG@&IHV)TGCI3XU$deVXYXr5cpR6-s1$AqziZXV?tq)9 zRNd5LPIB!ynA;bDIRW7fY|01u#<;9mtgj^Tm)AFA>As`~kW^2X>kjmHnIHAI4J(HD zY!u-)Xa|#5x*YU{Xa-5QYMPe}&?Me}JBT69=uA1fwycIm*hf-TZ%N^uv$5b>#YQii6s_$}vs6MHIDZ*_LumR`?Y(E> z#I@FOVk~PD{Su@H~^D3MaV|(m-*d8(Y^FPF_IgF5srgfY(otnKdbcM#3=;z+hNdSwJ{*2n_Tr$Kh@t+Y0S(CtvX|JwQ}Qrg-i{{2^s(`Fvw8iE&LwB?Ieo}c>;7=Z zoNis?s+bbT%hw-|BP2UBi0G*}o~Gql?yopJYZ}S0a*ZChtS$Rk6~EWsT~3tsYoV`$ z^|ftz4H3U>jnV^#&kvESywJMl7x|qMzFn}i%8Ku)uuGUt!)(A1MJ7{cFGM2$p;S+0 z_cADZ2OILuedVY2#Pl3FU6a@vYvZ7!Onl7MFw%z`fjQp_7*?t0o+Lr8IQtCL0cC zVd;;{D5MkViI#7ewR|{kwV-|chVxlD`T=WCPF0&H@mkgW8$(SiuB}-%8+7fT+V82} zy?-Zx{%*JV{vkRl+8UNA2aD_O1>InEzxik;V{ztg35_V&qiu*x1d@sUWBLhkwCcNy z$x#j6S-MgRd=C4eBPjQ|3$N`Qc1HUbF1Dggq5 z*$5y2s{{xLW+Q+AtP(&F)J6aSSS3I}FdG2`V3hy?;omHA2p|Bf1PBObBY*&`5+ERGJq@r*fPkQ%D!?iM0)p8HAb@i_fFP(F00KC- z0|bQM770uMfK>tn1akvG0OxjqfZ(6%N!bzzf(kPbfK>uv@T7!*Ct{BACPu0aztKKro3Na`K)C zu;mdQBMKS&YV-gv)7zcz_T;wl(r$@;11wR zohw=#GE8nOwZQ2y`-g{@?=b7n;) z-N>H&nT9-QU>!&>xne)ZeC~0I{b{2UvK9(0!=Ip8UkYThhjZpZ`&MlhSwauXp zrC~xPE<&X;b?2${Awz@qg!Q)`SK8iT>IpN#E-r<#*3rx`09E0v_G@6+pY`Q!=U z4-XTO+dM5g9`|}-b(r>^jSQQ*^zF*4P(6X9LJRfPuLa|5UEY~hOgw3E(LYpIN3gb( z46qo*_3;C)c_^6{mOhl%wiFqI}qnq`QRKIAD6k4O% z`ww?+)yuebE;THs9CP-!xs+IVG$_@|KKN*;{vC7Rk{HrHrKhLmY$QL}q=8L|}OSxdLDy6~MU4x=`@@S<6N8TpGJ zw{4cLB-Ow#`;4hmcoDkTI-;$T#QZMpk?N=pI?V=GM0EZyn<#>JB@5EWc#K3Wt*X48 z42z$FM%$&@ZhjxNG^^q=>Io{H-f8FPP+^(Rys9cMw4}>{nFL*W9OH)=@p z4dxfGjoen180XApEv(X(E{yJXWka;ui^8meg%qZ6fsanrh$LF=9L(BXRJ}Y_iHA(1RN3Exbmb;gv!D8|H%c7@G8s26@ zJ~*(D-t^{=c;a}FI&ND;0mF!*D$kzaajtyFF;bD*pz_V;8y!k6b6;Ky#GDv&UJk8b z6X(&u+8YT`63bq^yPT|OS_9!_QZ;_hnHQA!DjBb16)(~6jbW?vTe>fEz57nJ9C zYNm}w6$~wS)0aNz*h(~y8Aj%jtdU#PZ4eV~{JyE2H%+gV2hXfB@4daebC1k)vtfjq z7DrHG9=$$9CiczT{DTF|tGJgd+xauv7*L~BBDyw*?GHOI?CR@K-5+|t$F68-;L0L1 zPo_q3f80Gp{EA#7mMs59g$7MD(PV2vdEaQW@8N3r z*Wx#2Lp;!Z{K(X)zj?05h3j`#mj z@gCsggYf>Yk&6W}WV=zJaYFBS9@5U#rcbM;SS;s1N-0PQwIff6U+!#M>6Y{L-+0j)no!Lu{x zlt%?@!x4>mcIKQC5zzWWFd|UmL=*(1;(0R%C~+bh@w{seP~t>1;(6B`pu~x2#PhB>K#3E< zh=8jJfWMF6%OgWl>#X7d2G&uB!fVkpL9qTd6n@OrIY9*0 z9Po%|XAWqa8VZy+0iWtA;Mr@=Dd5?^R;PexXAXE@917Gp0WWzPd3NrAwzr``krNQ| z6!7fa0UeWr0!2TMg1X$E1D8e!sC_{0uv|pTtzctl!8#Hc^$d*OVt@|5=hgf zrZmTVS)Z-g&1~`M*MHzi3fUAvqnbmSQ@vx{80E^b5AV+Vz4n^VzcYhZgGBb)D`fP+ zQCj)u>0H;IDS6Y4d=0c)Gsd+q`)^cR>?Qc$GrnA1JfYq**{8~N^kC^n##Se_!k80Z z0Lk_(_<)=ryA&6>Wx_+2=;MY%5?hGmdb!|5TcthIH;-@x0dn0w2}S~3@a7h{YkrtXfl^T1lr#a_^TrC*5V_Qz zvv)RQjb`X=*_QPm1igBQi#?FlpaBlmPvspI9=(^QRLdH5zfRzCap+5%YfW2h^k5t^ zV+S=hdyB|^|6W4B=$_KZQt<9%>qV+acWnNW7g<3iJEADh9QG^TY&K?2ei)cYLmHuD z(Rbl3lWVqu=$h^IE~gTQXqQx@#fB!kpYrro_7Er8_CUx zBlM~$K^NLb*?EhELdFGXnq=+5og=s|#$VME1Rqs6`l$0^bC@}#ZWDw*{YsFM#h28{ zA>I_yjLjkRnlJWZ%=daC!DVvO*PQ}C48Ac)miol9Yi=d(BsQ_IXQ_0%L4H2wve7pE z)K+3%s_}w~?bDlzip!$XSZ`=#=h7e7IHq?s(lGS+*;Y zWAHP%AItN+z<-1_>B(^ew3ho@pG4oLGUtEh=5&hmN8jJs;-;KuN8=rq8_Bm!5)lq{ zem6Io>d}+f{{5;Dao#O{b*@XSs>1QCJ8mz?E;;2rNG|_jeA~ftxoPCdw>K5K4c)V( zq~bp+vM#6J;%F+quEP*^2UYTF5(?iVzO{Ve@=(2YcG_bNlaY&ZiV@-R33U~bEF}!J zJxNsesCgOnR<^mmbWk?Dt9qt;ReMSnd)0w?M_ig!3RnGhvc=eV)PqKqOMB+8hKjC? z2z{(h>mJ^5SkG0|)oIMQy5ST+rs!Hf*1@6mGRE^|o5kJ8KENc+?;txdLG~~*(d*s(9a%C=&Wzjhrtni?5A+_cr3BHV zys7SqXC`ZTyJk&?9SkZ0U=Gu9`-5VJE3BX&YoA;Gq@D zeLk~oPw-W$BgY$PAn2Gn~|{mUHnPLUhSc9PIaPIr5CWf9P_`&Sq_oeqW@rTKHf{$&c zMF*J#nDluBZ`mncc*B6%ZR;ZFH)}X|;dO1Wx40R0=g!*;WOGfOp`nj;lqsG(u_{1S zdDL<6q*9LD9}Q1XHjhk6G)>tM2Nx4^^r^|v$A{I7)Qsy5(tO6tLi8v+LhImLGdCjE zgHi<>1?8S$P+Wz1>fJ`m#8O7SwEcT1F7Cjw6r`^X{mTUNe^*}}3b4~a;f_rN`sz^l zy=s3KjUXVH;T#IE(*Qy6_Bi~uy0apJcJiSBI}I=*cwe38WPJ+)g7zu_b{Y^6Y$y&2 zu+soR(4jaez)k}Kf(^w%0d^V?5VXk&J6YcXLC~Q%DB$D~5D@N21hyOjb{ZgfN?i;% zdIWLuWPJ-HLCe&CO3i=!qrc14f6Cia%hdl2!u=^z|6HQ;*H9evFPF`~Wh(q0Lj=my z6GL%1huG5D&pj*(9pWQNp7plA^)H}A$CAEz!L0pPbHfF*9`n?|Ai9dtI+1f;SWhC()6?|%{$*IjHu*>J1FsmJs|2-Z@F&x$O>H(K&&myrGUd($b zt~;rlke`h&qgn5n9a}$s&Gp^q)p1S$4PJLnz`or+Z?L>-;+3Lht{og|n(PQ0!Oe+F z{mLPvgweCN;HRe||B9ac412(SP4fR??!Du&eBb|ZN@Yc;kdcf~_PlQy8ChwOl@T(F ztc zkK=eA$4PYIYvN0;8ljpwRcjZdr+S1=X-&$Hbo*yKCR@1n+B_BH+N~!G9ZckMqJk^L zO~38+#of8bO;?jtY^hy<>WfWfn}6>_o4jZHclaDDWUYA?Tj}X`iZ{v0wE=0_Ja#Gx!Ya(low)b<1)!ETqBG$fDxM)Lt>|Wr?>~%lH z`Jt<)&KEWCv?Cv#iLfGe*K*Npu^_F)&Z<2krC2y*toxKJQTpDDwCmXAgB)!hlL?B> zS;d2y%v2Y20KUE^mH z%+n``Z<*@ftCG<15SwE#yXv-fjKZjRjrypOc0)#94f&6ulT$+o>G_i{$jUf;UzmAK zF4A%BE-SfKe8+QrJ&?HM^7WCI#J1Mp1kqyvj5S@K<`dxomgjrvml||L9h4KFOYhLwM_N>iJe9W`M5CNzoafJ6f} zUgT|=nIjeSAMjq9SPkKXoAIC=gio z=Ywb>06cEKv03252A?x06|AEK8Pj)3gkzfwg5|ooN6Gy4t@cELSgUT z-+gCrC=k`%1+ch3;|pCXFmulb(L}%o?$7wb2JX-J!UkgYIcS~{5=%jL6%BBIz8CgV zA+Z!(i zEkfG90YFf>m=B_f002SdVm?UQHvkAK-ts{-5da`~sW6xWUMgmv1FXUF@nL2T8X#t$ zg9eD1Ip7@Co;(lJ(Fz?Bv)4g~#QebmmVNmkL-L^S0yT`>QP2MmZ0SnMV{fjHdb~Cx?&TQ#BVD@Lz4|HWL)+l+taToxM<+ ze{K04kqFn_M10$!$qmX3k^ndM)Pf6t{|Hc>4Y1od7A( z;e1Q8+78d3GI51`p%THnk2gwNa6d9h96NfY&}O=#)FhuZ!c1i76B7TUGbQ;*HRX=M z;_^q1yZq6bV}a)vHAiw82*pFG%1fiYws&Z|M2jXEjhSbKO1B)tVI# z|EYdR-o^ODGB)|sQuk=4*>X6YtA%&_kLcd?$zX5q#bGB9(`Kb$uVSyReE2Nkb4AqA z$MQ`}TV0eX)SkJHR&PpG4UyHo-w-s8Q^(~k6h}$f74KU-DKlygQ|q0Iu5o{UEK&KY zC5~Br`ArJ!)1NmINOSo3WkW->i;zo~m#47Z`L3%}UfkSe@UHI@mBNipIaa!b-?+P4 zU79D_Gj$9(Z$b0GB_-mdX97ne1?`jOlYGwZKk!6C48A2kPpcI_8MjnRHRDVzCl+u# zwE788UFA`sZz6AUPaAoi%TT$FJ2TMe-+-HPT ztziRU_#7bRAXRJF7BMpi&F1_qb09@)*h~Fe=KQKV|M$N~G|%|A%=x3}1a=)@i@(y9 zf3s9bMG5Y%LMlqIfq%;!NJR;@#r^RzY~bHA2U1ajZSgOe!w;z_!L-N^sVKn){-w|1 zhg6hcTl`Dr@Ixv}ur2;2bNC@$wg8KuQwKk!q67efl0y8DE?WQ)l)pkIS0I}n0*c~xrb^s zz{nglK+McR1H{Z6G(gPEK?B6h95g`8=NvRZ%svMV5HoYo05LOXF9Lvu0Vow8Mh2ik zLTXCT-v=;b$`2VO3>yf8J0N)oKT6VyDP|Q!m5(4n5vwV&)DoE6o3A zyR3or15~_=YV$#@|MwJ&AWAvN+N||YJ zXAh5h3LaDtz4vdU#@lJ(b4r+7=^I$lY3%*_QUCp0euwvu6EjNX`7d=SI+f=?4M=+` zPyS!ksXtVnD6P?NJO8gFH)_-ZoMB;Ms_NF$%_Poqs4O>Ek|8$X;U^{Qll}4>;zQdpdr9ZYv9KN6YoO6wen_2%{$Znt-w_+%sjZ*Fr0v-Uj1TAqm=RS^eqM1C>+<8&{>YgtL1R$8)wlCW z+mkqnsA^w!)tM!QB#D!js}ldg%kKmsi4`1v$-Lj&C@$seS&qNemf?xfe75#&TjS&6 z%__y8fg98uHBG$FvsAG%aPMonr8ju9P=~D{Gw`8v7kX)W^u{M#?Ha~N~FKx{C({*3sE7oiqry@GG-}$^aS#~k?1yM?> zaWPXNzJm%|&{jU*B@L0Ox{}pXo;-3MM3U)zYQBMosU=(wPJ}#83`o;Ikg4R&Iy_D( z5NH+4y6m_n+hY)9KU;HSlTxQhfjV$pyvI}aZS|+AQl!qhw?u9U^_8rc>Jqzz=*#k9 zq?BHD3ODCyq7Pkt+t%&0*%@J|)i5E}&VRjkOR}XnHosrU)^UOX+r|yM{a}HD`m!l) zjOB*GvDAPO6GM5*vsE&TB)aF%sg&>>RkAZ=iFDii5lc>U`9`7m$Aa>L%G|IJY$>wI z*c7ar#+M#%RlmPBB+3%bm+_{Mgg?y9x+s|g-rWA-Dhpd2T1d6 zjcd%h|2MOulYIS2(^h>?zfj>#>&ElpfkwKhFI9{toF;q^%WEm)OkNSA7NTK88 zQ=i8CLE&(A^~(#vysCwFj`u&ftFS4eBz{L!HBGZ8m|#n<`iO+i`s={7up%OrB3k^S zr{V{>awTkfYHb)=3on`UJh@<8PPPL z7VtnoADe<~qhs3m68Y|%%al_Gs>z=QU5O8S7}~+bG(Lx`?WOIkF{|~^QN20lDe+9R z904cEQ>Q?U=!r-gvsc^g^%Y^3FH?77o-x%Vm|x`Jqkqu*0}+35xsac~v$V8+(O@u6 zw^ zi=81uUPJO_P5L2u0*lt3v{_&E8JW+mg`J6Mo?cr(&JT5S0){pFmbGPSo)(~V(4-n@0kytSkEke3&Hj}zkgFO=4~^;=$uv!eGf z$hf>>a!9(U(dpGxL1>$OuW<6oMDel{UCMT@XPK5pS|dN9ZYdF`I$&AqnUo=tyHEZ@H$i;avV-vp8Q?_?NEV7L3PvKyx+bN{sb^WY%*}CaA^S z>`XV6v5ytpyGDoX5K?eLw5+yp7T6@#&C)nsXt9!|M^Lq#Q0Sy|@~pJtz2w@aKe?!` zsaF2*`z_b$Fk>~DB^{}EO+ZQn*vKhLDj=Om+RO=-2=o+aWj zk2cO;X^tWDxHfk-tRgoBVz1^#s&XF%=zESY|jUuQ;;rAFTg!T=geRo)zT7tgEY%pZ>#+SXx&`;zG(~EkVV%J#QVHR+Gp|rW~M+Q+Ht(cRvqHQq+ z|AVh5dFIAEI>XPeOjh+C5GeEyvC4MuH95@{GB8-7>*DKuamRAUUo*$yHnHCM`$)D+ zvJU4@ePU5l+?p2=p&3&#Qlbw?WVT4}$_?xrz{0NHcsEJXcIUxd>bXFTaT*+53l>V5 zg=5Y*c&q~#6z+u@KYoWd&W5$|ZN-Q~-?PxuX=_S}@Wk;ePLBD}eJV-cSfm$BJ5F@R zUYd$^ywvC0?l!187M^#Qi=KJ&W%6=gqGs9+9{COzJIa%5H#P39IA95tp55erzH?wY z@nbTpbQiyOtx-U9!SHEBH_MFQeTBLRvVc>~JczJBuK8Wp_Ybf%o2yF{*9NJ*kpx<6 zs=afECC$e3;y;qEUscxGTxGYGl>BtgX@x`xaccJSLfJIm}9&NCw zN-4Bc`f%@Tx%#qKnt5LiCy*kG&r~?#^K!-R ziB4;~jeE+gO!rF^I85g1cHcg5q&f0$J}$Tj=$#G|*bxyvUxMy%Lmu6>Fx z#LG6PDwkkCbho$E{!@ZqR5=}GJeT$CYLMx@?Yzdg^%tEDgBg;V9o~N5r&94hFvT|a zMY(788#~(KW=2VzqwQ6qK4zvm%;qLX66h2;VY2@HO?99}RG*QoabNrSh{qv{3MRVG zB)#wP8B^s;1&b{mog%r?@7dsKMym5Ym^fjbJupu)T~Z{Kc$F~^?_l%M+m%%*k_;~N z*H#*A^02qw4ZS@Z+^%`&jKiUKT6c<1WFY8H1 zlE{hnVL~+nxuhq)ILGB%s%)Axk?iTcK8C1;RCK&-mm|;%>I_MuW8v|e!{TL>WC;h=yR5DGvuW$@^$WU1Y(GY5FaB%Trx*r-@$|GTk-IGSa=5 zZBufCp1x#L?ZiRcgz=V|_qsr}f7GE-J z9`Ys4yY94eUg-)}pnV&;5g=2-^*)sIS~A!1mi5a1bu+nQZLC4z6!FF`9n%|p*~%_G zhZbll_7u3G;3e-72#*PyZaP}>C zh7~miGz^-)SWF-Ft)r=rSX;*)n#B&0)1#rQ5SF;>_wt~A=n-$O7EPj7j=&&IhJNgV z*9=mY8~#C8XwR@W@tHn~JmQT{BWkT{aY~;T%be(y(&h@MKzM_fc}Z2n-Funu!bxRV z5uXBXS?rw9u8X04wyfUpeJ1o9fdH05rUlYCJ1oWXP^f>MhgkZEOc=FX2~W6i;##k7 zGxtqtEE~VO=CpI|?IWk}`PVm$ObiC*3A#ylP+tf-scFKrggnKca*23Jr`HAhFxG<= zLvBEIo&OIt+yBezIyW6R*DqtJ>x`vQDX4$f+Bv0B(pY}}U+TF3QRSTun1~7;fKr$f(_iCH-Qb@pErRG+@Es;08!~~=&t@D zibJ!jVBr3o8*GdFb8fJK`*UuvfiRc@d`5^825M0N)fx`nRfrM>HV`v&&{)LG95g`8 z%s~Uh>~qinF*8R1rDg~0DrV*g0Ds7kNkM?u0S01b4%i|@2?N(6Ll4!e9;<2!lCbAPk=a24ZFon%9AuIlz|8J!RdW zMHlGnKm*)gTZ9e7>~qjq#Qe2F1H{Z6G(gPE;RlW4-m5lY+*On)6#BD*^i~6Q6%2&I z954_Db3i}=h!O_oQUxGN7}!7<%mKZQJx7G`b%08e0+8Nnz*50L7|a0!VK4^_#O!nS z0yhZd1RzQn*v|-qIjE83P>T>H48S6)Z4&^5;d8)17|a0!VK4^_gyD0*Kp4yc17R=+ z{5oJT2SpOV>ww{Nz(5$x0RkaP7yxs?Kp4yc17R=+48+VFKGd-dx~q^YwV}@loZ>G4 z>8*wh#LOHt7BMpi4G=SP&;T(r2MmPhU_hG#dL0lQ3;>7<+@S9f(pwE12!lCbi#oi5E8S~`HvL(HyN`4j3hD|AZDi{h|*F69ul+DK?B509iUWP0PzO{{!1z@@Tr4*$&^pcShBd#~VI=SIo(F`54_t@%Ig zk|>?}zl8Z{b?U#CixOj?bn1IgxbM;ofj^Sf|I(@RaPjcNm_{6@df9HIm~?zYRYz{@ z&KWG+W3?hz98L{xT7GsQqgi_Wo1&FB*`q^I z=vThtk2`Q6?a&~j@`H7QO;KdD+h(Ch5^7cl=S6+wBPmK1SIN+9@k~9d<5|9 z4V_9mZX+*;`!k_s;RS}st{6>O-~+?gx9tkQ{dmi9u)^|x1Q z&S})BY~C*}vm-NjrMaE?u<#+vaBrs|v4O_t+N=v!S$;1TvmTXYmn)Z9DBX5JnCiZ+ zMk>FVeyU?qFS5&y9sfm-XZQS#2oH%k`8dl-@%#ee-VV0YI_>IS8{VsS4KLKp+oP~) zj5*>)BL{8>5_E{H=}p(9l-bzD7@Vb1^5va=ZLU*`&`^Ivk$7yZ5AVvlSqNxbUB;Q&%(^AlkMU+R??!mbaTn$Ri|3&k484lCRRMl zEg@(jZCo@{-rS|=R^RQq*B(Vt&~ZZ~mpP7*BwJy|Lq69lj_O9>lttD6CB;bc$F@VZ z_1jfcG}vF~ZJIvj>BUF9$~62bryS@z{gD(ASsyvLu-2KL;Y^|5H#n~KXy)_Dpo_W7 zqdy#9i>sc(4~;uAb?(ZUZz7zh2@mscY!`c;vUKJ)QrCM&(tSK;#EB=?*l>g_`i)fj zY4e^nHHP^vyl|8L+!(XK`?PHjm0$LRUltXjWV3lg<4<|QxUG4{#;GE{0{7gd2SRtf zs@jY>$onhj&oJLIWYZKCEfzZC>oHH$@L6fdY_JNCY4!TCyJDwf+?!a_qb`1SOVbeB z{C?Dzg{I#rqy37Ks&%0h-Up;)g_^bmbMl9Ap%;f`f=zB;KDndiNB5b$ll0i)7vcx% z9N1;vl*wHSxwo0ap5Kla3e8vv@0^|U>2Jm5A~n`8=Qu1hNg3>xEoS;g=ZU3Tm#$1# z%k@!*mRaZ`9nF;Q- z1tXRV?7a!2s{@2cmIOuVD0dpCHkK|WFYYyw`e{kTAO*tW(5+>4LaOXcVSV+PH(p~7 zem1Jf70${w^GP&3LGoNrXz>dP?&qZns<g(>6F`lNER00dJTqF$T^Hu6wtCuh`RVPY*I9m{>0caKD#T6h zC2eN6x^!o4*SzK_Sa>y(-l27hq2TB34O)5!L_W3_Q`n=3Pm6dFKZWaB4F^J$IfMOq z+w+c(Qg?kQO@C9r^1(|ZCUmXErH6BVd7S;!8|!8-zx9&`xL@nzi$*7DHns?~ND)td z#{Ev%wiK^%)b9S>uMf2@r`IcfRQ<6)lF>W4b+!N6k()=i?CiP3rC<84dL`)Q7al$} zUT>pw?a<@C;oL}pz_o*J`D5!OF(Nh>B?4M490~F(iv7)R+LzZKx^J(asAQpMr;*t65f>8zT`p&n8LDtEgQM$z57}j%aX_R7=u)8tl-=CGq@p_U)o?{=#lFj75p>ni?ZjlVQfgfxA zf@x?6#c?Ua@=A#+sZGw@pt0wciW;N4Xx~F$jeS_#Ox6%tbYe+%^{v6FR|f0MFUZ{a zZ^is1jjy{HJybq*5RuLJmTi;)C%V6%548 z96>M;GjqT|NC_P7GeWLhhYf_m98e)s5S0@BErbN`Dro4HAmqw*z*50L7|a0!VK4^_ zguxsz5C(I=yNa1P{GbG%AfyBi-BmO|7(NHMRFr$iL;wNQARg%N5i(vJx>Phk%svMV z5HoYo05LNM4G=SP1V9x~LDcQ`7T#hGeQOmLxJGe0U0O^0D|sA5`+vCh7E+l9I!>q%mGT_1t9~4VJ{Uk zbI@4C%p9Qjes7!=Cg$*i6zzhL5;)))!9dJD2aQF{J_ijDv(G^Tguxu}Gs0jFs30u} zX`=${DoB_v2)PCqHV_7LfEFPoaJUvBZB(#pfDW)BZrIz2!lBwMLPmAP#CbQU?66ngJ!8Pm;<&5gE`>Wf%$6%)R!Y* zL0ywJm-|kpfb2}ExUH4K^ex(wfS5*89vWt1Uxo8a^A@Z~lOIlY*sH$T?>Zk# zv2Bf;=i}h8g|;xy9f+uqx_A`t2$71cg{McFd`<|t!Mgoj@rw_`k6&Cm@bvI>qGrlx zQkPlxAJvTHCLD!6eC3l1?R~0q^3{>j^_-pWm8x=2?#8CMFBH3}cG?bg3@*MHsvBAU zXsDGGalW^&Pi8f3M|0)l@?pezh0UaI>`0ED9Xa|b!O!0Xt5r`{yXuvBMp~@7H5lkA z5M8~X#!NxUuJZaJuBKP*p{?L)N#lkhoJFg&){Tv7c@ z@XP&*p}Go%(xPz}_l?E7H@Z|;TWtC}1S2iJtt6L^4>DSZ%f;&%<{E7by^#@l9o@>W zz!-Y{hp+Zk!3rnqLb`2JMdR$gaXb}9vWK^{2K=^Z{mzuWy4xxyv@RWP(42IGGmS+sTY{rn<049ZQaMD$5)gxl*-i zh-i;DbJBcXxLxkP+>fxWt@rrGujIMFruE!tgh~FT%57a0_8aTH=gY6IIqkH!D6D?I z!xf1a>YOuoGiNKhgyqn*ldt)Ua3e+iIFp&rIpdEmstPK*))!RHU$#E6QDm6GbJ(@0 zo5fa*)3W?AkF}85{R0zRtTeJ|8uq-MeO<0&B>fK)oP4vbj`r|deNJqA%hTnfOEGup zUN?hc37+1Ev_Pi#f&O##o?6mJN$xmGXE&JbG>U2M@bz}Bv4-bm)?aeVK1Vq%+R>sU zGCr)rJt}tiw3n^SBT*)q2=#lJUq^N5#s?o(jdrMhF~n1} zAo$0u;IV6VLsIGuN>gUDgma`HhVOkX3t!KpVw<*FGKtcSW|gcxs1y4v@?FsUFsob2 z!Rbq*4c4-|BFEYVZYXqzzE6mcqdA>1Z(8vrG_Agz|GIOGIo6Rj^;aV{jca0pYTZ85 zn-;TN2XKS}Nk)Uo2c~tJw;Z-k)E>{kk*0c>cYq==L|Te*Ew%+^^#o#Wy!HWO-L{0c~#z6Jvg!ryZZQ5a59{&sHZ4e zaxFRpr{Xui*sJ}%4xc0WXV;*9pF0@N0j6qU^70y z63zFZvUg!HVG1ei-{LAveen9Y9))o0xM}f~G|flq+cGvtQC{QWxf=*4oUU$)54}SY zxujyRdIor+R`HfIttO(*9d~}Fp>*vNS2%fa75!2b9%u4M>y^2q?82Upw*2vgv3*)| z9YcxwgV`@^GO(B*nLHK|bvc@{j|S%g*@HK{8-5t3D~y<>1#pP{u6nD~xkrjiW^&`tfVC zCxYYc^vH^Q=j3+hNUl|ZYnuFc-Px&^u1w!AAnJ|~ zT{-0&Gi7XpUvtg=!mRHZDYDmcM~+rs)eb`#d-^<%+HGeO?at?)e6CaKvmkUq%WTd; zXt`#1AXbFhY;bTRt^V4gUd0gaxAOOm%~MK=2--VL5pG`FB$As<6}MJ=0;hNOWMnc| zuEhgnWQafdfd79XBSSz2<|9xCnmIeh{LaL+yASeffK-KF0JpKWIsEcj@ z7Wbd3p};*I9ssyMk_P}mazF%R*dzdmYR>{#+<&SD08w=m01z{C1VC5kAt1vh0Tw}3 zQUqk!Bmjsy@B)^KnK=U7Am%`-qyUScJQo7Rd`!&YN9ie{ONBHFLoM>7nv?)Q%*+AG zj}ZL(<1v6mR6Pt}5i@i4Kp-S$>IkB4N(GpN;dDS*c?9I%Rp>(sg6;=IK<-@y08xWM zpi706NdZ7$v~xGWl|`RA61=z zE){a`DgX$Qy(1uVG|pEL(I zFCUCF$4iyVdufj94b`RKHZ?9<9PH1Q-ZbZ@72cmKj-lrP5Q5Qz)Da#%30v zBMZVmd+)9G`=9zBL}`;pzBbH$m0o>%nJ71FXs|NB&`?R8BK*YDEGzXZgZDK0S2H!u z25h-z+8QGxnJvs#HiS3{5*uZOBYcwbPd@Gnwd-lZ$*%E7R7y@vT$g;lise3kT(oxC z2!BIX{^+?Ic>%|wcME*)3tN10;~l1Nc~ZApbVdU4b?sGl?70N~`SKqIT-#oPq%M!^ zgGipP9hK(a{+NhQVkGh0mOocRGo4{snXJA@Vljh?^JDc1J$Id39aW5)dW^~@cA3c1 z=MPG4sd5EvrsD_gY$G_GOHT{a`sdzraTJ^6d6u(Y_Op!TeD00$A^iBm*;Z2toq-od zWV-ltGd~5r+`UdQC2x1#`ODdLY=81`na7QJj6_js%88jQR4xTgwxpGIQYyvcFWnW# zdxmD^kG(d)`aIYFb?1HO?6&^A#ZfX}o+-r!jkU$XEpn0CIF_*8isx1DuMvf?;*2r0 zeqR@^Fu(0C>^ku-F_`>^4`FV4C$W5L@?%3A2ZR*`1nA}VDq~v1F;mlt(B1>3!hx{xWO4Nyd0J( zzxeQbRKs+xyo@Jyn%+HE+@%zz;a<2T`BFJRj4NI4{LcoYZ70O7rD$)BA2;AH z36I~(u6b*#WLCNGncG-F@#JZ_z72K!Ub7hLEOp`Y-&>W{Qgf(BL*=kT&L`_@kk!8~ zX$pC-&`chinB8&Wfh}80SGv8Q0cShKnUhn_ae~_gQ8^qxo()}4tyXtbAFV)^vCo@M zK2a4*Z4$mseS!G($Alk_S~RRCUzdaApPfqPXT0^m)T?Z8?KMBMkochq7mMd)!bo4n zZ$H__@2tAG;M|t0CqdooQ{STdc=hpTldmz|l3#!3OWKa52Y$9u#mQ;Y@}JAmc7D^d zc6RD!(OcZU8x0PP*F7_3Nk2Wbbb2q}Y1e4!=YHecC~xcLQG72+uPyfCLwR@JF&<$P zUcgu7p7ULNb7J{Rsc!c9I@_G$*Z7~F5>yYqET;7Dx{%h@UUq4)knCN^3g`Q0J2YF@ z+|4;X&%b#7HKIOeu6en~MZAaO@#U>di}=+0@l2)0Vy+coljG;|8c&Rq-M>I%_3=}D zm9OZ|m0}0HPb%s@4}%gB0qlKVytl#;!oEyT+bfMHD>Wykgr3(F4@)P?oezA%EK*FUa<{y&ahx#*C;DoVeG=%XE}|3B!DLZCFk|M9bX zMRCM0Eyy1Y^S}=UM8E+60s~u!fCB&o2DXq922h|NXv7NwG6xp`1dRztKm;5BAZkE6 z^z#c5Z~%ay<}n21MrbHd0Cg$^ScII0An#EC7`*>Ewg5-~0bua{YiPhfbqz3c1c1Ri zM8E-fMquy`5pV#2z~CJs;D7>ww-zEG0uBHW7`#K800BT?@D6DL1OS1-JERE^00ai_ zkS0I?5E#5e1RMY$FnEUuH~>IU@Q#ECH~>IU@Q#ECIG{j2Q1FgKg=LuBBOfSu-@88q zBM{VdgoMn&1uPX5ydxn34gioJkoG}B1RMY$s3?wv+z1T-qH?(qXN5$)BaE8^y6^!B z5pX~)@`BKMdoRa}`p2Qq2oZ2VE%JaqtVoD}0{}$jFaQ=IX&=ZZ zU=IXB{vK?BT{$`=B<};Ui9mg~A&W))F<1%}F8(XbL>DgpQwiS-7m=v;z$NE4TF>apr6icdTfotzLzaWPtaTt#&9Jjr37dq0cHDz=&);~x5!+Zk|)xiH6^ zX;gq6b*F05l`Q#U{Bc2p+j29$WDbSu^%~n{*DonOUSynlKe&8;vFT)LO%YC|#8}Ol zvA{{f>cW6Y=C7++1Z5|@?s-3^I7h&qa>-<@aLWDYmZlj}l=OjlJfiyAhY?Qau9-|p zn~%Fqn}WipS09qAJbO(0zU3jTFdLB#YLLNtD_uy`|~s=bd2KndrY{bIyQikx2QwV~CkN+vT_At7N+y z5+1g*mEw1<5?pI<)c#l{;T0G}dizZ5T>keTyXXH?QJza5y_beuVGN+YKS7 z&d&nuVbn#Gw1kS2SH*jC?h;>-N=wXk6uTI^SedB$WWv0N*i(WzT4!|iyEqkD=lff+ zXGK%pZ*N^`_Y-tF<8S=AHRF6oCSStFgQH?AU&O6Uc4>CpAH6tm$@`U2`ezHX)cn5n z_DmYyt|u?fMl!9Oa5$TPH(BRn6yr#-NqECsySp>exi+PPcV6%xvuI)AabNe!wCUqc zir|?Ge|})+`^}T;Jx4>VkPoE-e7j2uQ#wR@6)h^gH=Cp6M@-B+>dEB~AADryJ7G8K zox>5oS}l$3Y&xeXrtj?Yqjv4F4q~K@hhK;8_011FosprmjVt6E5zdS(_0=JyE1Zmkz1fK;P6r>w&vXk!vpeTsu%7u<4Oc2>=bF%2jmP@ zAH*Td>%Dgqr|!0lr{DMPxI-%Ak;o;+=lm9I4c)ec@p!J|bEF&hj9$%ozU#+gm2`h55zY2di>yx@6i;jv|6YP0L)P%9aS*;}rO;USyI! z0xt(5ojW=nu5B)NnOiR3^^@j6@F|KHPDlju zSQfJo!vWLASvgF?O;>up-Eb6Rt8weE*|}nMmW_g?qfWf)aM*p@Yt!N+bhR@kgwtZK zQmc%NhjVi4`Q7y7MSX18gB0D{Jfr#W8z1cQjxj!V35x&b!As9+Phd- zjqy=!YSvJppn|N?9S+4+-`3g3D>AQce!voA=}bks?Q&o9th7tc4=VX^$31qwx^yOf z2w{wKetiD$NP&qy6PeaXMZ`mq!`yB2+TP1YcGuGyHy2|3daIES1zBeF)S7RMp4Rx# z;O=P=*wCc9taj~_^zdxAKiN+fqn3l>9Zn6cJp^%boHM!-RmM7!)8)1#QnpH>6)kSB zR3kH=QVRy8ZC=e@a^BB7Tu-t8{GDCyR9e1( zvcOA{xJxr@#{%13U%GeWB^yVatq*OiPSKYcOs<%DBdsi(!IJmG_V(&{&_!mA)QZ!3 zr+kO6dzPj~UshV{l(|6}h+tsk2%;_Bu&-qHZPBGDcGUHb3{EtQyYtMQe8PRDj ztOoh|EIm>EXjbw&Bp!$HODSd-cEsz6#B@G;KVIBcB~^MWXV~+%VDJk`4k2*Pg zFZJkIIs6r5gyq&-Eg^M>AVHawOUGV zyqa*m3A<#9ZO4x<&rgv~Y^=N#9N1v*te>)>BD%wjf9B+~$F~jmNGBpj4^9OPpB}Z4 znsSrRvd&A9IHy$WK<#g5YOyYB9pwOIPLQZG|9?K>|1UEq ze>Y18$+N!%i2SAQ{5@9!yl@bSYDxUhgYBKKpg`b-gGh)Z6AA=gIEaKywub_N7Y-sJ zlkK5EpwIyckz@jZph6xJqR4~-f%gU?A&N`@5EQr~|0q>}Uxy&50*L%Wdx-`JD&!&m zP_%%70-!ts@|Viw_wWPyjKGpS5+YcE0ui9{C=w!AfeqXrM8F2_k0D?K_vgG|1AmVe zz^?<%=KSuV{wF|)UfCyG#FZFMk18M7rZSilJ1JSF%w)nTqf#_A> zT7>9TU<3b_Igqw~*cSiR=Rou-0E?*89VBsugy>ZOK#-OL32EyG08vRpfJGQzD=-iS zbAUjIUIn^TK~&ucx>Sf>1vU^fbI@4C>~qinF*8R1RH8%vp-cgv0R%wxOXMGg;r|g3 zv(G_e5i@i6QL6&HN6gFt10hvmC;|9^2VF>27yv{mVxdcgRE1#!F*AoB^|=RF#LOH% zVA%sw6^1Sq4G{A=hac#y{+x&p3_SV3uLCo4_(0<}k&vn|;2D8I8Kf!<0D`KCNJv!} z00dSOAyr`j5LiuwRE42HUSKs5QWXXOfz?DvRTuz7eP5tlg;a$BK=A9p>~r?4flOki z4mu=euLHXH0d+m<{xLMrr{n|ZWKlrO8694b`1HR@Q2)f`d*!SDDtn&9 zjP8Bwepfr9{^)mK^zZVOAUBNiRTz1@?TCPFS8nO$JhHmRP<^}NSpB%Z4*R>W)El*~ zlQQMYkSd21q-^h$kz<`Mh_~i>lwl<-wOV@!B+hoSVm(f?Q9K_G(HfNiWVD z(rP*7(dkqNSkYM!Nk5j;t(I9j`XhmJVGg;|COR=D#9%QoZ=cYsf>3*+-Qh(>Z3x+;UdoRgz4?uQbC%JWLv{7^PdqXX{G%* zeIa9Tv3QAgDA~BZ1<_qYYD~(4=F8XKl&+#l{CvZZ%TYhwBSsTq)EJl4N@lz2 zq9z~X7`ms^-lH|b%bND6%Qqb`#(gkC8qq}Ra!>f25WchnTV#KVVzFl3`=?m6h&H!7 zLG8}0BL+$|K5X_g?3o&bWxaT0w#6AjH93R%d{2enZz(U@_M&De8}*VrkU!*%=X65c*>z4oDdFj~^jrfQ_W z@k^PI>9-!<*^8D$9j)WF*`k$nw8=DY7B7<4o)Hl)`!dcfdeF&Ew7R?9;KoJsc!m#R zNk>#TBu_@k_tzPWcn)`;@Y7n@$jVK+xj|^k!LnV$!}LD7Gt@(ls;gI%*T=tJEj5bK zyZ$i_aoF1^?FWfbi7Ls8q4|y65yG9Gi5Y75B(Lht-f7)wyH+au>g-dthJxgdlRmX# zZ3}Ush`TpVSyI31VvLpRD7Yg`CVd&%Y5X49UP>zaiaONOO*8qQh~|E zACKJc>5X3GAEY_~p&$UHK;SjGH(W!*!tqMLBbeZSK1b6`w+6UWt8b6R~|+ zO}b{POq;n(Q=SxQe<`7aY2CDY;~0+k;PEH6a}Kus_16op@5(cTe)g0bs3XaqNxT<`#;57vC*oF$*oP5Zx5J#+dj{`TQ!4gL?T5tlhhX z%Zb_?*N$ElN-(Wk3AKvylBaC=R5Dk5tJ+(szLQb$02NlW!EG7&nY)D8W-nHk$E4Ph zzrUw`BO>`))a8dr+*>#QpVU37Rq{%kqbu$b-h%xVfog#Y!Qyg95|+wOrDB`bA(u_bj4Cv0YN`XLpie+=PPb5 z8~A1_M>2#w`s=xSo^kr@_b(;9be_J99b8R@IN<;4G0qpJq*8A>-P0xc24o2pjqy?M zD$1=s^(~Fen~qbZ*vF))%Qh5?ERXRedoYjYeCj^>L+`E1Pj(Y~_BUD!?+(}*sS?r(j(37HPw}5)3qJl4YY(c1613s-HI+>;?;>$7rHMen*Q9Bcb(e# zNou)^rD`D}dxJC8k@ZckzA=Z(b?ID&ZwK#RUJ7~A$*^H{L;u*_QhUkyRH^L-m9p(8 zt6{r?gidQ|ZAwRb8HM>j1y5#61Ur6ga+Yc%JZN(9t{{C?3g?#_-((JMr-^3ZR_8Nj z8c36fr;tZ)=s8XYJt&u(ZP_}~b}5eM(90Kx9hyvUQwOSb)`h-L<;$_p09tgTV*;w9g7=Z_)q$yL5(ba*A^t4`H6E2)<+cAam)W`k&<$EWMh z>n$^+!<@y$Z}@yzz)79BcKzv9X{J%by?|@ z#N||m2MKdu;z}~x^Y>5|PiX7m=1K;h(Ox6Bo#9+Mq)>)yA9ad)NjGB$E9KSW?=(YU z9A7gf3^Qj{^j12PSW`GH5)XJB{hqeUZ54d+iH749HO7n5nJJd4+aAV2Q!dG|+Zx0^ zd~^7UKC>~~RuzG3B##AU9&$hS8eTnH z)89i2U#QRj?-9lSzVL<8BmLjXgi$Np7lEMuWH0rGsq}^VYx~ZTARy`#w*Tac`fK}+ zkpLj5f`$5P`%aMnAgI_Tz_ni^1^|LeUnt=i?bI@4C%p6`+qa(l~4Ca8BikUfRmWr7p5Nr_!a{!4p)KW2jtLdp*Zz_+z#=~?#|d5P{*p2P$OFh@O*UfI$EFN5=;$v-hu5BD&1pKVtM>nLSi5*#6AkABEuZ?IZi6}%bXKPlm^Z+lhGZnUo``c^bs9Gfvo$dLSVc}3fAn;YM;WN+9Ov`Nsf zPOM-tj!5OYR0W&%$%OQ?kt%R8oM^{y5~9jZDQTbo60RG*q=kT(w zQC;|nwJ%>NciTnZI*kb^tkP>aYW+B=#c}5Qpltp`;;wn^E8ReajnO>frOhlI<*+nl zd&tvedNpZ3vj@{hRmUw@<7U6MzMPccQ8LcqFSDS!-5)Di6778d<{k9rkOpS;9$Ldepj@{g(Iv?xnq#eb`K~C>%B~Vi>U-^C`j{LfvwnbCK zO48DSb?XzHE-se|D1RE%7@HMq5PPu@6WO1*E$AoJNK6pkJX=ikj&n`x!l;9L%W$rK zcmAqY1@{cZ)|b;VQIJW{U|Qj#m*-ZHkd{Ug+1*NYE&05y8U3q+A2Qp z6S(`mUm~W|bHy!F`evLxIey)n+4*mcL!57Hp4A4nIE<**P<|Ra;7mV*Tk>RJieT`? z#lgc*l|nCe#)-I{&a!-1a-CkZwxDi>>H@yWaNYTl@P>~+T1dUDB+oFkRcjFk60p9v zDk`lpCmy+5&{bC9cu0)6GiP)qK8K#_d;W}^Zsm8Jv(vQmx!DI(+lAP#_V!tKl~&r- zVU^$CNxJaT@e^*h+PR=3?+Zjp8Yl6SUC!=EYV_Zf>;8uuOqu5)vm_+Lan3OlLSza>hD;eV6H28J8A^uCGDe1E$P^hv2uUGR zM2L`tB6^PQ`}*BCdwb6L_I;k$@2~56)$85bdw_Mh@&Z!2oDyJ&eTQ8T^7e=X%=bhVE5o8=;Wl5tR6H~R(`vCvJa_DuA z^@G`+2^$dEvd@4pw%aD{Ktn3b)?8xkV6{)9XV~&S`)AOi+OnnoTBkLrd%!uV#^s=vAT=o{xJT4iM^-W0A7=N-| z{8tfMkldq&uPyd0n{}povDbfCvKnTIm#L2_;w5RxEf&~i=AXW@>`*42V0WCx#HNpN ztfP&<{S-x(TO6sQX-rL@fBok}L#W2YJC(#%EVb z+n(w9(ATqzp z9;B@MPEMR+q*t-a$!bM8;fY7#@dJtREo;wB-pw8%^kNg6p4(kPJEU?25y% zQ~8`iTH%^lhR*%PUyttVBsG<*RY&~PuXR;Kh*>VnN(CRFe6^Su>>zuAXk^W~@UBnV zDdKmxKO2VhDw^OQ$S$Yw3uCB#RmviJ+d`{*xykNhRdKm{Ny)vj9@-A_TG6uNVQmZb zv=mnjTlC4-Y3%*URvYFEN2G5t3^zCY?DmwgId!7EM9Rg_rkT`euW4z;OoqqN8iUPi z)luVWNveVOj>t~5E8QgdIvnFP#%~!bPy8vVSmfwUF9xLShm9#}hOd@CS|2Zkh2r%& zqGCQsiIGI@6N;2mlbpA*N6?UGL?y2MD2qUhQKspBHYJ>4;H005r$p57sM?N(n>;^S zmCZ0f^)`Cy@_Fy!(H9pRCK_HW)WdL9Z6>%3ayP++U`+b97Y5p2p|ZJ)le_M0mLv5+-Fn@BC!TdaZFK zfUEua%JH#s_n(GVQCAJSTq|PL#SK$NqoqFEwua|MCl(#~J zk@|8WNmNQAx7ou0QPE6MiSMWHB1q8V{4Yx_0`V>u8y;t1+Rt#-18wH=$s>hJmz1GR zgo{`8E;>+9jQKX%L{aKzDpJ{^GyEN`?UfRuM?KQLPfM5Vvzh;XHEH=a_u##Z6IsTY zui^r-iO*?eP8?XVYrCU7NfZ1Te}kaZZb)`q$MT8zGhgOqxnt%VZB~bojtaqqi1G9E z`}}_}cF?^uPp6djmzfEF&5`xBat{40%{%k9E)k{!f(|L^;{EU0wV#(g>ykFkBcHyO ze%Hw`mcUkegPO+_xg0~!q}9s6_<5C2LZim^bNXTlwPwaxNYta8)?lO-Yff679iD7# z=lY8@64`kL0qgr&hyBt_gsIs{P3d_ySNsil{r8$xAE9-?Z*7=**Flo|Q`+mnh32`# z9oh(?#iAeQ4rCW;Gbv0*X^g8{+4CRz*z}cl!2EtA&s)ChQfCOL$1`q{6vWO>N#oo0q)$UH7)ytZz+8}*Wm8@L?dCox>Gs_146&!PU##B2;EnA zO6MRzIN`BVItK${;tcTDu~Rw+148=SU{)0e&%uzO5Q{i?4wMbr*-!;KRM-x%v!MzM z2(u`%Q#c0$Vkkpkjv_mSa}Xfxk$berw)EN`8VNY&kdP8O3=sQiwTngUdyXhX%8Idw zeb3p&B6iQ&1&G~qkg%g%1SHGBRfQepA|P1~07W4RakL0XmV-d3=YV860E9aLNR|UY z_^N_rIS7P007#Ytz^z{f_EWUThzCa*P;jqz%BS-@b{tyRa8i=mBa4pKh~ z-lvdTRcoVdyu28`ru}+2lbc0Q?|!FUvID=};g{V{E02;BpPjkzO+UVu<5IC0rJ{bo z^y8rHb?U<4r=4|K^yF0b%Kq0p7U=ZPyYeMX{)j-2y}VEycH}_(*N9`|#zhvTLY(Iw zkvh#>D#@8)MH+dZS!TVe_mL$2TKeVR+6~KR@^w8oRtV;23Y@iO+336y1i5pC+zogO z%zhqJG8JPk);ZTy5W1}RzA04Cps|MUs115+|Px zI1CtA=YNs(jTwED5OMRlRE*oy8CwZ%! z+8i`q!#`7MQ(LVul_tigPpxybf@eWwIiAu_6J>nl965D(DSD}4;kEHY(yF{}GMy6B zZYx){z>gM1PtZzQPA8iJnl8pK>7^o@bbl>1%(p)P z(vy{rwIj8L-@E#sSymQYKS{0YG*rKDV=_EXIeDERS5os^$-vc#Pv-*db@s$ioC#uD zTFS9+CyU;Ho4ko8Urqc{Ma31jF{be81jpYeMTCTve({Y+d>&;XtSFv8&7(7AYjpiC zS5iDLRp; zy5Ox59i51`pV>n$==(Z-&($-jp@ha+XlBRE8|{@Ev0nxHS=yUYmZTnp%^D{%1W6gT zE&a;xKSq^cP>4SNa;Vr(Hc%!qh9o=7$n)-cL(hEK^^P<@`hA7AkK=n9nr#eRiTu;O z#uAPbcXBAWq@Es7*-t}v;Gvd-?Y=QZW4H zyH54mkF%Lxja=2wUxW&8Hk5TWRDZC>q4A^tDPm)XW*y;%yavCAc*JSB+AE(X8@i-o zLoLJu&ngIp+zYiCkYH$%UPkOd93d zRjHY*)qqha|9MY$o4u~zITJ>bFEnXSU!y!f`6+JWK)GekQsKq4J>gF|$z(eBgvJuK zOx&>HAkO*Kr>)j<1$ivvx2jCT<-Lj<@z1_G({cUCa{Wkob-dTzP^y1uRfE~ABuq3o zUZ7tvbuLX}PV9jrowhR1otJJ$&zLOJJy7JOG09UU8P%M0>7ZHa=DnGFDm4rUmm+zJ#Ief6-YnOAHreG2CMa%c^ zu50y0ogk1Wc>E)m4{dvRq+fv}l6J|q;L#iDBZJeT-{&Z-lBXI;wr!mpl7?>;$i zET&8*96%sH_0H#xMDUsvS!4YHhAXe{)t}lhN$spUz3_fs-ZCzS`l-go`}0QnDE=85 z!hFhpe&MAmf>ln3M-JN08P|KC*%gJeCJxX&d_8_v>zagPe5q;5;Hf*`J|KGf{FTth z@)TcZav4MEeDU$LN^YNTzd_K)C7BCcx(*iwDwFh3v>FH0+LXT%K{?ym$b_F^Vw(^m?QMWzx*onl{|a# z3z3!(KjKQd)SS=E9VN2PoBin_D67^zl=>`!%9Na^de+YR-~V-+?~=pO+!Pm~^hDPCbEApdDxb2hNr%A04{ z8;R)Re0L?Y+xuBp&&#JsUwL&s1i!{OADJVY9n$k@$|1|j)_NX-4^@H84)y#kq`@^o zk_`mJQfsAO3FLIBIMkbU{h77zUGn+L@V?toGll-yEkpE3X>-1+)Q?W zq{&6Lsn7nmX>uWDaSri6Y3x7ex{#8$hl;L;xrY^pv^24himnL9e-8csQkIGUf;5po z-BSKL^DPETw1{Btm)pZZ2oMwJfx#Ij!GIMAx41JngII*LaY19c$p5XyoxvHzB7CSj zZ-fvaOg$@tkqu*A97q>Q%p7;-FCi9T42B5iT7u0Y8uH#fS_BZJK`cTY0JCD)EW%w5 zBaFlfggO8qNCSt8hH14#Fn@^6;x0h!o`Z(G1&>)(?4E;$R4HSC*gXfT4if?NXgDtp z4xR%`(TMAoL`U=paL4X)W4%~A9JsJcEbpSw*1_5HOP7sUOJqLwZ2M7?m=fJPo z+mvTpnKp2!m`6Hb7O{KIE}+uYa{y?9W@n2N2oTmS8#6~7JO`Q-#P}h$eRUU$ z*gXgK+&NkV;Auh6fm__!;smldDCit_KFI?C?qU(U=j<{^?4BcvISN512Y6b@;=pv* zA^=Z|GZ4GyAmJ8q@Eqt70PwVsp+b)UfTx83p+^9~(?WnSiN{u%8`fV3OzJNJ@U#$% z&{f^}@CD3QVfuLyfTsm5ibCTY%npWaj-s$?FA;#Jg;<0oOt}>RdBnkU;GYBg zo+AnktpT1E94Z1I z9k@k+s0A&eF_|YI2tWWtEd&UwYDNG=Eer?=I}iX-3j$HF9T@=-wIC4oN(&kR@U%Ds zv2Qv^SZs{|cv^@>SX~kV;AugiD6Cc-0r0dS5CJZNV|Ig!- z>Vjs?0ekOpyc}YYqqa%lp~;(*Z@n4A8z0P_%gx%iu{?}_@?P=8TxK|xJ-8S*% z+c%<-`zd*4xn3OJ1&tIX`t?6aOKXW}s}%;>7k!c%SYJq=8biI3BQhW`v@xUJKe$IG zBS^#L6N?r(s}@ZG_wfwQJk25E@@XJ(DI8tq;*I zL?O5h!%2JP9$tPBM@s!*R4y(V|4TW+_^o+@-yx`c;R{#J(~|spKJqqHOWWf+U(&S~ z{amUF?!EKvO3Si$d)yA(Up0UC)~Nkd*~vId|y!;1ey~ryPRE6W>`0|GTg|~V0ca!)E_nF)|kn=3J zU(fehbxalcrLn%Ui%txzDD~&XF*aJKublI^)$SFVu$Ogkq1D2x=WY2cGcTI?2Md3P z_P86RW!!gS|Bo(bY21w{WXpNQ&pNy^edV6hBgFl6L60+%Pm4B}Ng+jV$()l`>$`zS z;t!9L(zFJ5mCks?byVe6#jhmIK6u3771$s5=CJl4%CV(Y^r5%(+@#mFvD@^Ane^Yz zMbJuzj`v?y@aI0_f1)>Y;*Df`=^6LRVdu7E^aZJI5r|g&5VHBC`|H$I*ZZhiU0Ac5 z+c%37NeR1k3y@jrSA?d%a3c>irt{=KLN_gEJ@waTHMkQq^78e=v%b%TnA=BbZWPIL zH*;6Nr>X2GRlRLFE0Ny)dSWJ+*6-y&N0yrtXIAp9(_FXAh4RP+z0A2@cD!9-J4@0- zKNXoQ5ZiD2*q^rk)H{MhIDxRn$+GL1vPedCiqmrj8>VZTccTXu2+FlvNRR6TfSJUs~jsGJlg{vYXsr zj=r9f@0$H7J0nxj=@Rp!Xy3JR+3;)2=hkl0ZU~tr{ETPqGBuUHzD&qR{Zr*fD4~$0 z%LBF)&3pD=PTRlWBD~?*uXB@e<%9ahuf!i$uBNRWdRQn%_e(1wuE&ulCh24Unm=c< z!^e|WqxytApD*7!B&q)~{*^4Ls+7!uOAVT)4;n8}NQk$5pFj{wQ|68xug!dy6K3Ig zy7Z}tdfRouX*YVCfx@MC#0xHTr7bpVt|Dz=r&Qw^k*DL*UiIJj9<3jBg&>T1Zv*Si zMLfHS6CU&jJLXrPTlhKMTCILd^?|gGT6y};@o*}mT#Itv79*|^N(mG4{ftxZ5| z<3e`3Jgny2mmve|k_~PtL>p+0;&$07{1pz`YN&xW*0YW2l zfcS&};TCc59GDOi0T7=!TilsSh5+Gn#KCjmbHu@O;B&<8IneqG1VDU(bKC`p-E-hT zfcV7KB0zkCKxqH3t!o#y-y`PI1-a7!#3yJGiAlwRmqDNr2LuSKq(f{Iw8D=76f8lH z0Ekb};x3;92hV{e=n;QzoBwEU;X~aekpxtzf@2kfl_wzp<`V*hiKw^k&)7U*7mL_k zM+_!SM*z$xWT;T!HuW{;R{!rb!fv-0H<}k~C-Pp9&vB zQ`gMu(-t!DPsb?DJQo{W$kEf!!ovR6-ZPw9=E~t^b!*>^>q;}9UwDN z47#3#D5)|`b%;?!3O+xS!`*Tu#Uh8dmG;trgwz6gXJSkF`wGBw*R`{ z?;yY5(o!ieR#)X@@n#t4_^u4ng?~y79qYAwc2R1r=%SFx`MzhfpFcQW$}6qXSLK;s z@cJHgoqxU9@#ShU(ctO0pIjY7T|o;;6oe;#H=q8_>hYWSE=QIPaWyh);d75oil!#* z!{~qm@5lwll|D%uG&VjSbDicp@cXKe#nP>{py(Q(AMb*nbC4?PoNty3dNS7DH!n-N zp?B4Y{JT4${@u%qiB%MO)WPN1y4mX^{YONFR{U?Q)G*u)YTAeoRMAj6Ke|w4ANt{u zZ6c>o;t1tho?x4)A4l(z-ua&Mh7T+0)GxbpO&{~Q;&z7nTds8cbKUo3LQX8ftTH7U z0v!gfKZvu>1vv$d47Z;+a8c+Z<4kq3msccdvVtPJGMf7{zyxNcP& zV`_R-X1a%5f;jq-$2`BObR~i|siUskLXSg9ZoI^Rw81v?5t_l3p4iD zMd5d+?6ZHOpqKK)+e-9XTYrli^+Vey(F~(0bT41u7iZ1YOZB_a%TfPLlcFi(W?QY{ zq2Zw47b|j!7`1dFKMOdJ^y%jLh)b#8-l*MEb%^IIo&U;EDe9Q^AXUQ^r>bWTg3&^D z*Ul@XDwsx-Mrwy>{+>GPNpJBZ;7H8KZ2i0e>DSKNg|GJ|*@-66qgaRqk2AQwJ`#?u znWRHpz4D%R%FW{wvg3LKIWtwSrsBn0HfKFcB=du=jjTGJ-ltPQT&ZTE7c4~7@%3t6 zZY)YBA(zO*l3C9&?6NiCp7!(lwz-8w!X4RkHQ$kkeFV{{~NZW|*` zC1mbB$>{sap4^33o01^$qqtLC`r9Mz-dBqf6WSNN#%)}g?>V39bTpuUJ=93t*hW=i zN1`#1>a0R?sern1%K2x^YZv(r(nT&J*O9jeMe*MU+EEqL%DJ^2IDcGm=t)>bHbTYm z4t=1@CD~2krxjD=P9fYT)1|^E>$p_TxQ?Qai&AATY)CBXTm>stZw;e*%af1ly1G(TW&L_0Q6qY%xnC;i1xrff zx9rNKlEN1xcgl&3 zdyC|RPZ@joU!xT^8FYFXPIpkXyR@RLynD{HFTO%7c8#5mM@G*6LSDwzL95!j^j4Sm zrg_zcoWGHOaZF_E*UYjL!cQx?Z{3!h8akm@O$8lE6qO+UV);01RoZk@#eVU!`XU~@g{d)EIci7z#-40Y(|C4HGW*OzRyP+hV_VWd`Q~AE- zNvXlE&9-;XwY=paTqFIEU{TqW^>gx-_2-8Jro;D}-#f)S2_Oxl-!h0Deq-Ru+Wc8B z!j-Gp=-tqM^`b*OM4?JC_q2K_d|C9}V!x`tkIQiU}S@tZROrU}l7QA2t6%3!MjzYKY0UR8l*(1pUAWrz zjQLbaSxxi#5QYmZUEJrxTc{~axbD&%WpX8&_+I&mXzC=>U6->RvR0$__C`Gx%4 zchHC4`pNCnU%CPpuW0zUi{9xAq#xzyW-QcRPwDarUMTc^nqX7roJY>z69{~Rnq zuubLjzfBPQ54v(}@y0tMkUzSi|CSg-yK*3arVI!W2or4~0I?4Q2o071u@3}@;Vr?a z7ZCe^KzNh|G-ZGQp`;6j!ojwxVlc4}0ucK^EW(Pw5rEhS0)&RkKvM<~h=%naMErSV zV_TdJH~<<}t%?A|J`jtr{;UW<>;nP9`m-W1xe08a0}bW*0I?6mBIH#X42KJ3g@DCD z!GzlgK1zN{`mQu6_{?OhtRwuu<&*E^MZ81m70SW1-QN>~o;{0>+vY^`DZ^JGf2{F*{M`h7R!LQmF3w9bc~wV7+S70)9xj=y5}ruc2v&8}s8UHcPF zA(}k<<7R@=`DTd4btAkItB;K1Wu(yTT@YCnlcwO7R;W{^7Bus0=R9&6=_ekR#{Kfu z=DCQAD#qd;Wf$Vc70oa6UywKZ+>_&EsC(fU52mcORqR9ndA<3xX#QgK>xuJ<&wF`F zowS8gp9>x2Mv~RNUlzl(YDzECPI#f+w zP1D{;#j`Mcb&6j2{VLvlw)vprd&4gbC64{Fm#jL)e2v68l`rO<{zk^TGqNq_0tbgX zWk}cp1&SHlS>*&V!Eg2c>AY9TvowS$CEdP};%>vI)D2O21z#%j?Du5bC2C$u&{|et zHnDnUGm(*SJ=??L@>SzQtIKZe;tvffRGW&u%PP|Go}BdSt~n}E60z(2|1HxW9$5;fCuYg79I}xIQrVgAVGz=95 zG<6UlOj9QcXzD;9?4@(GD4?l>05QZDaCV6TnmPy&mhKe=G<6^l4Xely1vGUKAgm%o z6p++GfUrnQ6p++`KopEA5(Ol65Fm^x5(Ol65Fq4jb+jlTse=GvkD-VHk~$Cwd&d!D z5eN!_Uk4JCp9MV!kkmmeLY*9t)IoqS0kA00`UC>RI0Tm`ES$n_%b{Q&m&)EeCTtsoT2vCK%0)fi}u0ZUbv&$T@pH{m7 z|JHLrc><1e1my|10{_-?FuGT4M>%|PKzRbLL;YLN0p$s}TKrqj0qU}G{UHN&*|-8h zc><1e1my|10{_-?KzRbL7XNn7!Dtr#&R2oDY@9#G-+B%xPr!9?{&vp+!;6ug1bKpb8;k1GSaqt}Y9C0|U;B&<8IbxW+ z8W@s+>IC3(>;eSRrnmyJ?>W0z#C}@s0>th)y8y9!&en>;mKD3}?1sedJG&vVI}en} z5Cz#9@KeI1EutV>0{~I547e!B)&M~0GvHe9s{p87a2;^Tl4Fa8p2AU$WcD?!Twa$vzj=qDzhB*WX76<~1!p48!H#H$M3>nE%nx<> z-+j$3KU(QdQfx5PBscmpQuOY^^#n`b<->OyOp|ZY=~jr)=-vAyB|P@7=&nR4`58-7 z!u4c`* zQb(HO%QXHrPqmpD1E-L04_GK}#)>ZzQ!6bvloW(2l9(??`bKAw`ZstOI*aX%A|&ai z6^=Og;^{%%F}-ZclWe2|(l_XRKYf}MIx=MP)KM}q$L8b7(9TM;=qGW+fhtGlHp+Mx zkEXZh&8Aw-@Ez8>kZd++Ejg)hnc6Jx_)QffhAd02Nb4huoYP%7+;*PnZ@l?!1WTBG zht6&$`q-tM@lzRzzrJxhLgyW`ulXqEQ728d1M{C_#}uYEXnf43PflJ+{kkA{%b)$Q zxXx_U(fe$CQvQ3)?EK7U6sP82_AQu)U8m9A9~^G@Jel z@i`I)e-p7o%3(ao&Rik)m^iZSLXt!+t4p104Ta+`NZN}Ca_gSfWu3ibK=CS6e41JM ze6($Iv2AUOvWhOnuV~+dD=uf&X|nYz{H`~aRDbsoex@WgGv^hS=`iq?Wuwd2S4 zS1u!_*PnN`7u(A;Fw9nTTu`8+{LE78M?zR(d5V1qaWn48MO%xi&V26}#SQ)qH<6UC zM*fMwCCxFX?CvT5$L{&ZSw)C)ug~UhJhmOkI%G^xpm~$w5zmxf{i5pig+9SEH(f0U zU9?wdRX#uArh7)x+#Z@ZdpeVtzv`C_?Yw2UsgeiPp~sKK*z~kA*7D8SS_U61Wv7pu z3$2}ui?&w4J2z>yX=S7G=49LbncRpxu9NMzWUnR{%%^_;aO7FS`9${IXKum!Y1NOBA_vD(}1eQ_;0#4`0_?V7lzT}|6 zzmR+t&8!q7QP|1yLzB2U+u(?QPHJ*^NM@R0rrbI1^)$syy||~&i+yEwvle&CY|n=W zliHA{s1KkHRt+L;f{$PJ% zu~yM<4jNruvKC;l;fVX8Qh&Dpkc|Jzdi{e{?;JvzO?9;n-%R6`Vz;UM<-!bqj%ToN$@rF67<^3n-98w;v>2D5lg!;%k!Yo7HKWSGM{_1Fy5MXhkGR3%{xd_W^Ky;y zL}dlk`+MC>7=v`|--h0$-Z-(!L+QV-bS_qYk1=|a_LcZ@URl($ybJR>q7M>96Nol@ z0@ZG@oGGG8j2KYWbL<*TUcB1l8T=RNCbY_}vyBYIL9RR1^Hw?_24-kS?cfL*X#`7+T3#S!MOBWDoth5f=(wWTj zzh<5s%riLtQNc5kbDiOXvyDth5~(=8YsiMV&8n04!F}`(mAY#_T`X*x`g)}X;dZr;y4^L_nzX=r*(TJt`H_V;V0m ziysf;Mp1FNkQ3gx7Z;%PN+gi)WW5wA64|`kG5vAr{;ZQ|+*RS3Jp`gxCe6Y`n^|ZP z%H!+7*2LCP@*`PqZBwWB448h)*o>pxT$+w=UTp~OBo5sWh+t}Y6N*r{bdo91SL>Ta z&5x7Li?T$)icN9osUgv(%SPU;k{ddViIpku!tT->uH$l{xgJH^CmLL)P_uOS$L9Wc zZa+pcN1c1mjj}nk)I6igfA8xiy_L;t8>X_}Ba6B{uN@GusIkI3eEr70aS06q%>Ueu zJigGkKe~`T^@gGL;VaQja>u(vmUAmQjx+Qh+Vl0a*3)dGa5KYYVFRgt-@PGW)OMrw zTxOeoC)&;KCJaSV8&Ouad6eE#y-52iuUN`V@AH%aL!3vc`j9D;aMT?)4r zBk(O{n{JT1e%*RN{GiU89tejdx=q9Jzvqzt(>n0~g-^o#6^aAX1$ry8{2%og6b1Aj zTP?;h|Hyw;1wq-Rt(P9R$7v8CG=C20KR_UyBm(pwAP~xDi30i$5C~_N0R0C92u-{L z`VSBYXO{r|2Ly=81%bCbK>h&%LR0-fvlj>u_6UF|p#A`XXw0Y}L&fenXebW?s6QYU zp`Qa#e?WlHYd)a<0D&kBKMT$gX!ZgDLKkP7&SR^50Rn_|2oT*yUGD;fuIitA**`*+ zU4XC-0ipmg4H+ubR{>%g0)%z!5Cw>72oS>uK|aUM?XVRAooP3mfjhHqI0OIIa{yu*XN!O9 zIRG(@t3`mA#u@mxp0lkg41RXNU2a=fm;Vv)Z#`#QTbloo#lQ6&Oern4oqU(Y`CHEc zh-q9M03fDu2L7$*0K_!T7XNn70qW*)w)nSu4$v?hVi86XApv3<0)&x7NPw7z0HGEE zVj2R3S_Ft`2oM%pBY}qDI0JDwt>AOS!E@kq#J=Z1*(D@EOoMaW1&Dpm*#(Gw&)Eft zeb0dd0b&}Q<5sK+30MJU8h0f2T?fj8ApvR{G`R~9yYE1Y{I{Nn!*&Q@+GfI52m7vr zhTY4N+g^BvA9N_py%xMc0G0FL;-D}&7zhx%@1QUYBnSkkX^2JGGX+SXa2^80G~I_- z#O^sLSQp+cVJ5ckh=SD+A-C0m?D9EaJ*tu0O5}F|Lbt1J#e{Gm5|f{REDrWv2MJT} zB7rJG@N+;pTO`0sLx8Yau&sX$+vh;SfjdPFI9tT-IZ%2Tu`Ly}RS5L2>Z)A}f&Mtm zzxp8}|5PAuD+Jnlb@o3q|EF^T5+Q~|B~Z2gu=60A(znmlHC*p>(XT86Gm6JXgnYg4 zP7N*BA0X(8Fd-}Yq^ef3cVpa(`>;`)iYTcPO@E9xd-eJ->*W^nsA_SEsX{wy^26Mu zjEsVHl6x;jyt-+UrH3XO=HLN)Ge%9E;;#> zE9cW2`pYx!@9FbavINZx-wEgCX*?ft3Vn9-L+)&%<4v93h@PG)m$O&-5{n1+W@u)| zE_t;MO4!S(;#D_3|NY{U-6%bG2U&Gc(_#O-6SFM&#f2KL5x-rMlT;edAdT@FZ;1`5 zDQXBm@c;cp>_EKw5$WXL!M}`E_ICQ=ZHk8nW$3JIQhS(JIhcCxb08&)y;8@HR2-HK zy0p|!&_={Rq0MVS$S}57Q|JvZfBFTUV|2Xt(imTT;Fu{%l>8L-B`?dHi9_l~2gmqZ z<$}uzqB;-5>T(BsHhs+wly9cxJ~1=VH!_$vlU%?3-NZ=0kMVc((PF;DvPWr;?`>T0 z>~-WIXZEnZC#F=A+kP#y-iMU=VNT(V?ircKgLk969=&Ffc#eL>g6eI^=@fB^kWSu^ z4L&Q_+hKJHX9e zlzLg>EJ8h?OKI+?=DFf9ia>$!^@BYbCvWkTyH>hOQ3utCxqi;AxcBBuNZ!jD@_S>F zTrBMO9P+G;=Ft(BrfKUD9wkSO4(dF?)We+fPF`T0>v(^&IR^#fr+D=wbz!~*bj zFTQU$a|T(MXGj!X8==7dIDuk4{rvSjYXfp(iqodYJ{=6F`f;F->O=ArLp}K~l4k=J zRqTCHSDz-l?z2SIe@A9oW{uBi+qn+YZZs?St|rGH`FN9lXt{QXt8TiaE@iZo=o_K5 zn}F@!kgDSWPfX1vCFH8|l!%l{ZA9PB)bU9)9c0d>PB{JW!P!sTDEmihFC&y>6@Dy6 zQyEOQ+-x!+r1vEf`259p?v{1>#|ZzzMUI8_3}W=koibnRc$Iw@~zx6L<^&VF@z#r=CT+uUZ< zvSsY|;Hj^KYjKS-^z(%g8u7tl8N*)si;{_Qr-)W4L!UioS;(vDmcR2{dSJ-JH$J1y z-d{!NtVpkG#W1&)=s4q{hRpa&A)`zQc83mAJ>a_E^+DY-?ts{JdFpwIr^vX-;eBo{ zU)EU6$Jn9`68fXlESt;GBMGfR=cemK16k8MWR7*YGuUFd#eMI(SsZ0_czQIOPZ~3| zrk+q|Z$r)BDquHm*yJyH!{oc++`y`Cw1JYci!j7p10;S zSd(X`ax^l_5*F{7f+JHvKvZFO(x1@ClngSAyo5;lDA7WQ+`Fn@IRi>-|}6JyB2gB!m_ z4p10*vvs@RNxl9m@p@B%zqGf@I+ZCarJkVm=g%dYFINZFM4~=k_Kn0lTt12)%|4mQ zK8cK~Y45^YLOlA~C%!SvUiS21fAu-iN>|rZ67wsj*Z5MIc}I2aFU1|mGUutdyK(fD z!t9JCE;d3Omt5*u$;V?tixT|10dFkTNEZB!d1^qx(PN z5|IFxh{TOcL;_qQ2!t|wNPtTOfzV2|tv5RUv&>sD5(tEnkX!K&HXxKsL;_qQXi*&2 zr5Xuvi4Y*HX%`aU5<#FCtTo|Q^%Ax@LhHJ>`g&sp!n*ixbt}UPga*k#B{?|9tvDAF z>;hyWh=f+XBLOlI1VRJBe+6^@5N()1@ZXW=u7TjctH8G^!ndT7J6dRMh4BAbvwteW zg>mVN?VzWjJBXG-Hx5WWH*_)ZVhcK0O{s9Qa!(GP!QA8O&<3gGthzLV%f!Hh#^{nqqZRx?R=7v`ZrN_jW9i=KnJ3KS|Bkr|zEz!iy znhd|ox^*uHuRO}&R!xXs_Q!!l+PIB28kaj>wW%u64QyO74_)?CXmJWW=WadTOpRva zI+U?UPhR0tcUhdHMalefyv6AgUpHD8)M{6}UA^dOg{Il1ep4zZncs>v=;^OE)csu0 zc+hFkQGe3`$vOXY7+-p2nfhDV>|9y;iTxA4s}^ka0qskr^H(fqa~)p$(%pU`=Bcam z>OJRlRD1Sbm0FJP$0F{pZIpNth|J~xnkbxn%5(j_U^sK7Xq(vMX-!$~T=l`(vW218 zbCt{XXt^70EWA`DdfYEL8@@UIesC#U4>IuONZ;JS<{l9n z|KCQDtg%%3o2Po z$$#`9AH%Z!7h(VT0K?<69@TN8HuPQMj-9JfnLdsbCk=ak3(cQYtWU8?J(i9WYh)6h(t zsFmaLA|b*d2K*v|Tse16oOER{t!CGZk^cFp{X{WxFQ51+I#rq~3(teiceN`hxIbGR z(7lKjb|fVxT$d2-r}Jj4e#0{=GHrw#EE&zx>x0vz5i! zcGpC0W555oYf9iEjHcCWCvFg?tX|N6Rpb}W?Rd~#@3oFRFWNhYEwkBBgkI;O?}zV& zjfGO-pKNXK-)GU#VmWsECg-PpY9!vLCml0<+R#Ukw+tRu@P%|fM zcIudozI$r&fzM0_SQJ)|ei^4d^zoBq6VW12bzY1H^CV|l0##z60RA2XLZc@Xz~6&FX!L{v_u}=iLHli#zWY zI0LbJ&Mrg6Vb6iJmOuf0?{OU}z~AEx{O@yrE7rgnh<(q2FAl)p<81M7JqO_Lakluk zo&)grI9vQ%&jI**TrC3pJz=Pj7Tm0KS2jK5}l3;|*U zl;90w8&waF%FwW^HfkFQvTN0%I zcwA&$@Y0xO34&p_;7mu&V*;KoBuC0Vyei8Oh#}!xXLO;;&X9>(Z{CBqsW}4K^+PB;=0l$+*>Q^_W=M#lv)jo8hp1ts36Y=zBRzPO|D7nUy!SgsW@{)t`3O z^OMZt9V7A2o+uDCz7!a0TR{|PWcMhz*`3I=R_*148`qm}gdZ#C(Ju5}qo!0JPiylL z`W}!hc~G9cL4YPFi(MmPNBKKz)d_u&JTChEq4>yKrJ}}A@&|mHhtm=A_|a30GoL%N zlOi04D|#5SpO=s#G#!KZdy*m~t?=lV(WAd_N>m5P&&7TnokevXS?=v4=|jdHzFDU+ zJA35S^)|Y5XEbJ|pQ1h%WR-8ITKMWXQ%HDqH0Te~NBuZ^Av&vJ-=zK5o^qMURgp9a zE`Riedj~I$=}pCzX-dZrb-oBT9r0pZR_pX2GLW`b=JB7M%h=CXyl`gy6zQhizUSkY zyN;+nyqAn(%1|HB#=CIxn;GRNb&pg7?#ux*7Dd`axqT0LEvvm8Zoj;zYQG}eBf@?{ z{v0R8V(lf3**vA&zV4D|i?jFhb^9(P4C|7d5q*=*C#2`~ChY1@%PT&v*Jb#0^Bcl# zYE8p_-q&>`%uBt(DB&--R39HSdCbNdFX6F~-iJ(NfxPvtle02?Y*WVsF48*8(5Fuv zQJaqQVd|rO60C?P>ztfY+aed^kKjibf;plQ@6!m(1f@2hWaQ>ro`Jj^n@FQ_GARmI*GG zgjNSHvZh=4w`Pr+;Hy5c&N2%lin1=#J~4Xi@tx=sc)>6514(pv8#D~=1rP?r1iFj} zarjv-GXyk6anliBlfS6Jp`1V4)#E*9u6p3&5=Xmx^|D`>s{jpsCVoeEpZ^87_X{G6 zfzl)H{SBxGx6;n4T`H>AY0uXapmh{h;keXTbGv(Z<&nO2o=AA@G(K8dk_!*Pwf}B) zYt9?#=#4POWW&R^HBaxA6^&2y`-)WAvpJVIM7BlRhgj@C-{M-ZsOMl~Q+wE$`2ul> zm4V^HRONxML{vIDf)w}6uj56ipZ39Hnrxv=)J+TL*!V@1PAApRbVMcaqcjmEdlHd( z=y(Jv^Wyoyao%<2hqTW0Utagq;-4W1ts-*fG&QGbm@^Udi{YjIHN;&UMdz;%Du@FWO&npp5-(M=4_2rbEvg zqW5V(MO8V`t53E2NcS|{;I4-yg|~6RSd+pCjz7-;Vw7FVNRB_j^Va|VpH#7s^8de; zz9@i4M*X3rvFDco9vK3JRr;a;9vK9})5HLe3M~LBsBCgfSe5#!ydS9J7sF+XV>Ig`$9(RB(&Az z2MU&#L~kRpb^*eY?^`-^tc!yoA%Hn|TKeIW52<90+n+xB{{7IlEZI?m4>vv3t%gK;XuX>m0Fr z&Mp?Qd(JLE?0e2Gz`ykzkki6(aWDr8wjVNls350>tHr*9c%7Oq46Th9SGEnF@Bt>=K8 z7Oocm)^k8k3rC9}rv(CG!dEmmC4&~l;XoWb2M)yH zw1O`VcF);mad0@T;1;oa&Mp?QN62EB%PIIBK~4+!j=KP{?>V~wv7c7E0I|n8y8y9! z&MrXgp0l;0uw}*WI=dmU`_67i?9KzNW<`Uv7T^OYSR*AgNNWK=Xkr?@jn?`nF^#F$ z!=W-SUw_zr5KW4y%)6=bjG^RL*4ru>q}{+NA;*#zM(jhzT}7WxBpkbyO@m!p!Y5LuoR8!U(ZRLbK+k3(9ns`;ko0-sJ;`4n=Rp}j5b6K5Zj%ON`Oon?) zmL;Ds|9Ecb*efyrjY&#M%CG&GPdDwE1AaE19x|6xk~Fo~s!r7VNUt*&TqHDlEq&?h z-mJcT^ueWk-s9%Usn$q?!}_OPY3TIjU6n$7<|;_qPNmkaq0Ns>r6@-^zZ7(wh~;vq zO9>k-G@ebaBt2%(HK0FCAaX*Pzw7?IBjC{hk z;*%ZDi*fxt++-D`aIk5#MqT;j0WT)A-;2D)T?B<)GtzArCrgT7F}N1z=UtL^GC?`E zXb;6SF+65PltuKPjR@$KX}qNSRGRvT*RXkalcK-m?T-O2ArtBT9HVh6UE#V;+)C%Z zujU&E)a5K$m)NJ-ni(-TCdR%#dt4l!NHQ+70dH$)|X71Z6y9d8yA0=^0O{ZtgrI+8EvBbHGP9 z>$cxme&K}CoiskyW41iOjrJ{>U+8Z)X5Z!XkFK~uI&!)G#BGzX&9{Wv5>x4#`V84e zY@T!}+M44pMkHm~(Z5ts<5Q4Uof&@g9@YF}sJ;!g(#xwhThymqSU{yqFiU7c=3`BN zBJ7hk`r1CW{@`>;8J)f7c9dVf8Czqe(HU6vKXsj_?{&R>f8X2h{om{6b=^D8=kq$Qa~zN3 zIL;GkMzo!>)z@!F9OWcW{^;VDRYp2jtJ+{pJm`mWD>OWrFlSsezn0(q>VZ_js>3zc z`oGeGzsu+UDWlpsZG#|GRgeJE96%5%4oCo93Lqd%9FPE# z96%5%4oCn=4iFF~4oCn=4iFF~4oCn=4j>2>2PA+b2M7og2PA+b2M7og2X<~1{yP>0 z0b$~R1W=y}f>3cl0;o@gfG}}D0!VUzfG}}D;;$qJxRZ-R#eu&x$z4EbH2U`@_y7E} z5{HTdfUXF6MyNOd=!y^!Dh>d;A_&680YF!TfKYJ&&=nydR2%?wMFRX|q+L3o4@ z&=oNTQO~{@gQ#a;j6u}1FUBD1*%xCF_3Vo=h30;2aEc%U8u2#err-Hk-=JJ1k60#Ft~ zlh6=90#Ft~5E|ka`|E=Ho&E~{YRs@}e}#XyZQ1FsfcULS{?%Ur@t5BCKZ=0}Mv8&O zzZ8S)nnT0)jJLA?tr!%{J$qxiFgVv~h&0HMVlz2C> zi1c1-E&pnNDpGi%cdUn=|d9uFY^ydI!`CsRS)dfB7N06GnW;Y*)ladJ4+-G zTwrV3M@y7q%4r%!8ne;d`_0K!T6xZy8sGWy!lhoih9gsR^G^_^`FddKSf73-XA*> zCD;{p_bW?~u*cv+k&Hkk-E)l_SzJ@M1HyXqzI(gf?8=Bx7BB9oCt_z)(!2TGx$Lu2 z91qR%t%gZ0sxk-qm0Z3U-5SvBV`T=3vPfP1A zPI(I3kL7SqA;APT&)gxsRw}*Q_NVtnoMY-ZeX7@IooxsvBJiM%5V$G&!34JL) zR9<|%dX5~F#2BlT!O3Oo$hLeyaNW4 z?vcbQzNJ!?0-vwyI?wmnh6rgdw9ZR}RSca?S2*;Vq0Ws>nx?VNZ;dB%@OtlXk0VtS zHE*KRkn`oT6v4Y+-dKfuRDV_V*JGHaA#Jo3SAW{}{)$}jB?HmV5yvTN+LTi{YqxaC z7CAd^MISRhAJ6*WfT42H*#LJ5@4=ywgPhT{D%Jm6uzzdbiSu~gZ!)SR@W*^+!w>iq@0L;jg`Ivj6I+9LGRl z1z*78b&)z-d5_CS59hSrv24-OOFfvinCq}4QNRDPP%>?)N1m|wqeHjtA~}z&$@AX8 zQUBg1yg!1PnCD|My{7+%`q@q|qJ#{(V-n^msVPZSUi5mTrUBuzM_QL_==3zGmWdCN zoF>0*9THUH_mpq7kB4vO-GxKOw?63wn4VOvI~#{jo5M7dmFh!iB-L=KX4?6Q@sBCZo_xsKHP1m(78W|km`}R$C6*uC3(uO*DeZ_1MPtv@)?O=6*E&QPP{!D(xGUB zV7+U6h&U)%KnKkD6v6^Rw{%d*5$a8p@<=mm(sQb_*W^#TNNS2yB! zbvD{!0t8S}4FO@r1PEj(`0rgb2tvdD2%w}I1fdEALJW0l3WB@rXn_0^%t_Q^4M;+F zCjZ)9{1d)K?o9r@quG6Dvh#5N>N1S@pJqk2muzni^>O-6=O_D4u`Sr|QvA<7 zINIOk|1Rf|mnRWa_HTp?%F`BcMyb%Z#!xg%C8U@D*RUnWYvHcq2e3judJFKK?4H9ln}&U0xTSac7EFV zBf4kY`MK@<_RUHD{2l=j6&&hLFaQFg9@iisObI~%A}R<%J3k=+5fuU=^JpPMMLn!R zKx8-&0;2bvoq8b<1VmKO;!bTh1O(<%z*lz~JU~FygFeI}@|O$&(R&WG2?qiYQ9+Bl zfapDE7ZClNvkM5U!@yhJ1w`*TyMX9D2Mz)vDyDB05K%D((R|jwB$WVmMSupg0p_aF3oN35ci|Tim1PNCF}%#3C#^NfKx{3ISp5 zNhARg6#~L7V&FM&ix|u~uy#t4fQSkX725bm5@_&-) zoILxK{kjkS;^$jm;JVH>n!hlwzr+*m6YgE|RMj*zaO8Dteqd$w&^Ozj_cwiBRSc&U zUFIle@9(Ey9G34tK3r1sSXb?Ix6nDwUm4{=^7Iay7dSr>cyFHw67!>1b4;m4cz&<7 zq~(3!7jqzi=#X2WxjQRPEW67mTzUbQWIM5yS~nMkO>U0Zva1{dH`8T}6n#Ytd|4YN z>fVaUedZ#{;%%ge!jaM0r_-x6Vj8fT_H;y~fPq8!5rkeZRT3-lhQXLwA%ES?P$_+(8OJ6yfMCqrh; zDa=Uoyr`M$#k1qR{Wr3|(Yfb5e(O^o*Ohu?ltoUS`ZBg*pwzVxKjt4AEf0>*hRQ~S zJ0)elj;I(|xM)4pHKcyp!+D7I?EOTJwhTXYPoh-PkG?`4pWLWS+3wz3{Gk^zXW~$CDqo0IkoeT?Z5vDye$3O4fUs@(lIB!yxF*oE& zpP6gRecQc_cHkYZ#yD7%#~i ztX&YPzoc+^W!c8))L69B{kMjJFK%EbQeQmd)R|4u zaU&_E3Madndvs1{?aBnrWcFp@$19mt;#u!a^@_HIAAWv-{oq{6a_1|)N`*ViEhme= z;od3@O!FEX;GKQZN)ir6=8ZCPwR%*21mVi`YFkzb!M3nikYEZb@HKd7y8$ zDBvtd#AhocDO#REYGxSVR@TF`J=?B!LgjMnxh|0~j;6XYeTFg(R<(e_at-EuWA+D+ zdpKVDs*yHDyHAHIOp!2;wQPJ7_{^6oxbvmMU3TA zGZas1c6#re%QCnP3Cl_Tm5qTmEcbuWduMOm|0RLk4awhWAZTNHlE6L15D*q1mjr6U zK@b|6lLYQ520>^PQ4**L2SI2|PZG%8fPk==-cB;$-=R4WgvRtFftqj#2#e|MT*-sh zA}ppSDT=yDhFFBf^mfi%=qy5GdXmU{E75|mEOkjBbpsr#1T3Z}3EWc*g5t>YDY)wZ zGB-dFdiInAGB+>=(RW1G=sjl_5WVN@0-}a=Fn&3xJ7kPO z)Z-PVAfU-%4DQu)e#>hANOC(d1dJ{2)pP#Rs{SL3d-WWk&oRc&xL3~sq$`Xq?$vVu z=?YVefOLg1xYs!ckghPcxYs!csQ<&*;$G(*K)S-%;$G(*p#Be2i-2^6F^IvO0}WdN z^?wkH(6ALy{|5o#7BTP~xJ3-+9B7~nsQ-fu6%JxB=fIy41J8j!Bl;lCtD);p?v?Wy!xJt$zZ2ViK5KIPPWS zv~%J3R=&k$)*N=bAKE#iCJ0`=C&{tpGd`IN`x8CH-p3qnUj4bSbKSUWBKuvf<+G`k zV@qvT$uF

    a-f>WYSP$5E3%;X~%yjaE8lysyXiuNz?JOW7}Sz z6vCQuKZQJ^NpsCZvc7(%N@)@c7)vtX!+pMl%)fFPRV5u=Y+CUg5EI zbG>l`|LVNyKy)uTL;IDVSGL$+q-6YD5AvaK_~k4cOAyB9X!r4ute5Rh&+QsM(jh$a zm3vyQta3(OZd9`LBX0cr#A|PmZxx(9Y$Khz?7oFqc4NkuB&GiTV8dtJpoK+9k!UF0 zdziTJ^2PFE0}9UDm#`iW@f(W^GU}?FVh=ngd~=b3&SfJ`MVE@}u!Hi$8oYYTE%M_E zGVgfpZ7js+uMiCp%lHvzOSrc8gxu#t_TKItS-BO66LwR#_oQ)eFWvfr{nfXpPtAU` zTVrIr>SXRzct9_|sp6uE(N%&s&Bvw)u1zH+|r0>Tk23COvdM~U z2Rio&pTEw^LKH0%lF;uZTKCe*XXgB;gsj}63h~3ggqiX6uhyP;Vf%u|q|85i!FovP zE!jP;!TG!?)gk}uEqJ7+>M~7hu@x6vhYz$L)E;cPC3>5@!B3Uf$uNm=jTWc8CQO&^ zun+smobJrQmle+U*4~DYwx7zn)+u`;j!z}OqfeE4f4eQknBDbTh}S%i+m_Fc8M?da zG9Bo5kK>?I36>+cVG+wvuSVRrZC-vVc?i}h%dMNk6 zslbu3STj0Zb5eeJ*y)Jr0()t#vSEMzfKlAJkd>y)M@%y!CND>5brOrz)dyabrmOR+ z>sCJgo^w8ROn1!WK<6YolcyNTO|}Qg3aUqf`sO%>qD&2|s!L<5LYTU9>p!1Dh;?@= zPdv&M=5FStPuH1RO^y93CNaZ)=X%Jzs<|?2t0L3!XPZ&yDk*u6hjkjtioU5Y4E>7D z$Fe_hkhXPUiSnsg{g?}LRRRsU?8`UolHvoz4cR9dwt^U6-zAWKUb($AH(n`sTvTqr zBR?^1rnLX!&lU<@>R>)A!u!kK_AaEB(JCay2k5o3ee>zqVidoar8TbWy`9>8bm`Vq zLa6|KO4&8JOWJjasexYRN#iOO%MS&MT&`)PSBb1|guF?sG}nkFPmCFOVQXViZ#J0k zd0BYcv5D%22^+JAZTVqO>4kx%^DGim@55a*6bE=)Qx)3h^GAoZryM()63bql4VSS{ z5$!@yToh%eJ~Nsnnq2j+)=l6BY5T}gjqr}ui6L{smtrau)N(E7Hq43(pP1oR=FYi> zMaMncYAy-3vvg${J=WY4)Xa#yl$<7!pTk|ci@-U3asSJ^IDwK!?{Iwhj;mfi^|qU` zqY`)g<9GilfBch?BzLOFlEt=kN#wqjongq~CQH5Xa#gKWQbO0w+A|v!dXL`KI4lGW~5T zRz0l}r7{9fk=#Y6I`wtmf0~@%)V8s6echw^1FYLhk1)`y+FH3lD zoP1r9CS|95@>a3joaop9E~$j77kkH%&6_nG@#!8l-3x(7Br=pJj4L*Ml=(f@L@yGA zeIB8S81-AUBAubympx6huitl#LNBM}<_CgyJVSyFmHAuxUcSkK-rGIClXQnS9*z^8 zJ@(^=+0P$lqF)-f6M>B7bQ$nDkXu=(~`gQB+!Rnf#e1dga<=^R|5UB>i#}^gBGE2TOhdsvusc1ZK$Q^$VQsslK$Q^$ zp>Gvb839lf{&LWJjwq~cmlUWnf)=5Z6{s=-;LcY8`##VwSCYu~RgfQ34r? z104XA83Bts?c^XJde7NueFym8CWu80Haf^u9SDei z&VkIJPTNZ^=jONqf z&~@GGA;rGOM;)Q~#@COQ+KeylTbHafld7Qh5h8}pjQtK$_!+g53m z{AK6vkv3i;MtwG>N9W93_Nvy`PnkTQo*bc@uqj!##a{@XXm6ni=zg^1;Epx5C6V`x zgRn!-uSeRDo6d|(KEy(`(c0kF0d>#N28E2f&s+$Dx#&h}dI`y&H^t#4c@ze}6+dt! z`dFFP{Bt; z1i`e9{9$3@a6(WeC%%y9zCXh&Z@*AdIpsd8Y?)w|V-r86 zJ)|;D1b2o7vxG()v^6bAr$4dH&sJ^;^pE~R>Kv)C_Txxm&u8+*GMkc4_B?f)e%vLW zr|V2&WEUQ&x3N5PwR4ZWM1FsPgYlVhdQ+$3^W<3~F;VHcNoOCMR!S~n@k6QOL`#n| zR4C1D!@13bo@|)Q$vCFt&N3T*xj*AbqB-qABHPvGIHWT@d_>4tEMzd|NUL$DzAv8R zF)AJVm|_DfXAvCr*u@p0&N1K>Y}De(hWa zSCpJ`Wn4k%Ppe7(C8o&>@v`;5E{%4%SmZ5;vqZYt)t`28ru%h7s<771;kA2bA5HoC zp~%iqJ8P?}{7;0s22X$g-ukW}hcs4S<}{BX+VjAeha;Kz$>*UW6L?K5Ao8YSO|y;f=> zxY;r#o-in}KKDiQKPglnaqwd$qPZ2XIfLtYk>OdRPe#HNty;(0iT!_wGb#&R^QLJsq|j{_>3EM<=Z7T8)`z& zu*R-YsmqD%M2)UuPW~Bs+*uv}wW{v6I{u@b@95}KzgtbCtd4)~3;tOh z_s~*9Hlcxu*1&}4?!L3RggHg0L+-q5Tu5Z`VDOkKVmf-%gH~0BQkC$WWmCD21Ws*N zXkQH75E;{&3c=XGu}7y4^r>Ds*eG%6*JEu-ny7fSP|YZjQ6s%1e`@19lOt8;D_eMh zPOOC#-UkInDuq72S5O#Hj+IbP(Yfq0dg;qg`u86mHxJMi7YW}~#Zf+T>rGYLqF<7r zX!PSwG9$h7#L4G9g9}2()oC~J8L;k+i*rC7@z0G8T1VVVOARTYf?Mi$M$Mo8A_5J? z0d25B5UT%30d25B5ZY2h3aH=)L8$&C1!Td1AXNX60xGy6AoLs#B*B0nH2q!*sNjZx z&_Fei1Oow~2Y8@@8v?@8@1_1qci9Qzf*>^gUg|G_bQcgB{{KC#{Id(+1%!SbfXE3M zDpbY+L{10@Rbv2=69l2H8l?b{69Phm6oAMH0im%5K;(pg5SK%C!A8C65&}Y84hjCb zUckRcSQb4JM2-D{7Iy(rBRCj?s1Y2DLDX|N#vrf^0~7Kt&xjhq!Pw%T>+OH^b^N(J z{U;zGa$-7Epn@A?aIc<&j9va+D}=Gdy?PEZRi9u+^gpR<=dEA1Vm1Z z!M)BofXIol#l3nCAaY`Caj%{Oh@2Q(-0PeJh@2Q(-0PeJh@6;O1Vm1Z!M)BofXE54 z2oX7vmpx!G=RiX0NDu?hfm_62&VgIRz;htNA#$h~%sFrn1J8jzBcO3Yz7FU!0vaa> z!V>-wLVvZphA*tUfavF(T|o4nvkQpcb9MpIdk!>EfqXmYU1v8Ez3=QsqIVuxRRi)i z6!e?qT|o2;mIOq}Ls~>X?ZEPYkRW>J*#$)JJmQc9BBVw1&LfVjo7?$L{%jzL1kpPW zOvpikfBMcpu_YlXDGZdCKbx`4B9#{`?|Zh5);h_LC3=|lv+fUyiNAk>Hgk4-^eXn< zXYU8UzM}}Lxmo$XG0@!A*ls~!LGs8@$nkxQxC8;Dg?F$lY$*oL#8+)t`W*ieK}-1t z-vNJ6>4nNS>h0ER-#t6B#wX9a9cNBp2fj3LNcKJKuZtA4NC$(-X_6wV6 zr8$G7@AaE!eGlXNEm~5?X9i?R_LAqtzNtQ`w5rkWu4W`_qtW2~ao8F^kDES0cKZ;1 zoR7EVC1{<;bnNRuHMzstwf?ZEHG>no!-6krC_N>tI*6jK{_A#d3QbE#p6wTVH8ZAYI+f)Z{zrTD@zxz_&wM|0}{Svjd z2-V@Kq8mw93LQ=rI!fP-WaUXaekrUx>yExqWbxfF@4?eI*Z6OW5Ngzq9IH5A#T=TVw)(|jwL?}|d46}X~ZqqcFX^TTcXcDrnVK(L%ckz)y3;D>;`wxQga|r_RT*ba9hiFfC zV-71ikv#n`aS{A2E zX7n!&ILguzjtn?6_7l9geU7oTFR(-un}uCTqh*3L(}GozQ~w={;?Gs#H`09HsBB)z z-*%`!`zz$$A=S&wcy}oj{fq0Te92T-6$3hT*XT8P*BFyNNs8ec5+s^aoi(Vg;Wmq2 zlvg6XS3ug;B#MVm8&FMlT!C!x7;o)u@&msPRdKx(i9CwbEn`0HcU)`~85Yw?>&))k z;wqEYrkvgO8)2P!MCNhf8%0jb4Z5r{vM1xC$R;gjSOVw4KreDy`g37I8|eMNXwvfk zLCpX{2sIr7`Inu=3SG_Z)FZ4jvLj?>XX- zlyM}8-gCr|ab?I`MejLckYF=H=yyo+k4QYk0mLA|W+aH-bHt#*W>mQeW)T`}MwOc& zAUvLmDmP&cqW7F#ETZ?ET|o4nvkQpcb9MpIdk!2#m76ertEh4l<{-*>2y+nqoU_X_ zqMvhi0r%=TsB#nL&$w65L6w^@x41{o5k{4pFtsR*DmP&c?$L9Ekhv5Cd`MrSIp!b z=$!`|Y!?2jdFMaDW(jdj8Ukg~54rY8ev`9EQ@wVWs-o2{_2DB;2IFT=K7j#NN}r>J z)RRh*W41O^4mEoum6En~v&XoHb!>7gULxo`YeDR)zYp(B6!zgHWA>m{PTrN;^NX(1 zo*q^~Y(2efhlo1vcD<1l8OB-L_bXLkaq5zb7Jh%T+O($Q&r7l|^jx_^IR#tl%dR8~ zP9Kktx|hxw^{kwCYRKvA>2d2Ksf%vq6C%SD=Lxqf^4*Z!5aH(D2)-%2p*=XNFEmKeIWDI0&Yk@TfAcUl{j{4&;0 zBbySYNJH8J*^g;YZSW7oM#kPF5Y(%nx(>XttN@@ftrU6NAGUiR-4}B zy;pZhDkCpfIOZnjsZa+qlD_W*x?=kJ=H?5}k35`Gb4lI0s|Exl}RSSL@x{eq-$ zW^Jp*UNP0*&P=RAQ9D^r{m!Kv1K*aQ>}6ZY{CjM(zo=-<_SbfQ%y%J3xl;e~?82qj zBR3EamDM+e<3x_Ul*5&=d~Upd;#n2x2i>RleVXn>1QOl5Y@DI>fZF{sPb<^cv4*JS z*vn-{V_1SR;^bzCnxmW2uL*SI3*4B*woDM8wDRpM{$w=SYeh4V_I0sxw2l6;kHXNM z1>9^Lolgm#=W&XfnJ3M@(Y-U`D$K&2xqLhN^kMfn$IDa{!#6&gw?4OC;JBZ+SetaD zZdpH4U7BnS`+`c5X(ipp%Oq>pRl{Uwq0NOw`8r)}!MLN(z(r66E4v#$Gdw zox$%eNLkmqY^LJ%*#_r$%VeVMl>=kJSA$&7y=@cQsz0_;*yls8^i@}ZsKMz%#avo0 z?#B64!M?m3ADXyv`&zMZ6^ul=>Vu+Qs;BHf>g0J$2~T8oQ+^@pl%`CV?P5?;!n}Dl6mO(05w#OR>2( zS!~;yEy@h|h61`0(rkP5hq}&P!Ep|uU;ZGpPv2tZB$4;BxW)dVmka7WhR;XWZ4ft( zwHsw6%6-|$;*jf|zoro_THR&Qs~n%<^)}mzTbecU`P*8-($$cgCql7F-jbAtlBe;yz3#fQ3o#W8#KO9rSxrf^ThXyQxUcu_BRrg@>Ce;liVood=ru^ zFVei=os`M@oPg)L4{@y0+!vbHx3J|zg?K#N^NXUxlWvQYk?^t~F)#>Ojj{1+b?|KU z&-@f}=z%pkk0a%kOne#gWD3jBW_j#(}47EpbrSb>puQVR^lynfux=#~>?Y%J4*Pmeq&jkmogOWM#yu z*ER&^4vRsvh0Jv)sc$mNy2{;`xlJ!7Z%8X|xNluuA(CkUtM*mh(Ap>5R>`|UIQ8+0 ziL1^ANu3q5tMLa(spMTd#tvl%`dm&+`=)-|O5mA5?!(U4{1kpt*S9UO39x4U2h<_b zgz#V45C84bgfMF61VZ?C5(ZjnLin#+Wp^UeAP5x-gi))*As{TB9r-cT9S~>{s%Z!# z)q}qeB_IfuCWKKFCm=(Wgh>;^sMX;R5GqXwqgIE*K$tWkjG8t917XsHFlu!;1cXWx z!l-EzFc2n92&1M=z(8a(5Fq+4jM{`6212^YBZN`YCLo|Vq>dR0qW2teq?15~in@0O zT@Ka-1!)m=?+gJU%}kIW>cI;H#gK&r;80Pk!yzw63{tC&5Js&Ihk=mZGDr~h*aZ$1 z9wh?gP>ey;BRj?*`Z;Hpp`!PkT|o4nvkQpcb9MpIdk!1~KLFQGsucB7pVGizf&Oxod!`$LtJqM6OG5tCKITT}XubzWieTVVOL9M=nfzXgP zYV{oqgod;MITZ48pwV~K>N}W4_)syJbKpb8z;j?j6#?W>44-l5FoJfLgFT}NYV{rL z<-nd%1o=mF7NJ3O5!4o=5R1Ej==V9hfaq7NT|o46&MqK&&)Ee;?>X>jzX+g*g08b0 ziQad1BhgPgP@zBsP(;DO?gFA;u*8u^FyI6s0w|)OMOX_Zgb1LBLO|qaAQsU(4^&|g z`AZS~M`1uF>0^@4Ze;4#BTad;v*2xp7dKL3n3PpK87Jh@WA?49V|Ix^Ue(P?6Jl2x&t+HcCMPLM8&!fNmveVG1@3365f0U^{P@`Xo?3Bo zNKwf}hFyhbtVtGk+Vp#3!P4larQ)b&mMB+jx7zX(<68rUUn`_(c^Rkh#O z6GiVM5jIQrquOiY;w0zPB(Qp23R&B3Pf9xoR83NgUu_YYDWS)^b&Pwa?O1S&tKA{%#?-01y-$nP`E>%G zA0mngICSV#R9gYNUXMN%v3B^ic=@NehK+Gi_bmlZwP(cj$bOD~vT{6As_LG9nxpRh zUf+;xyv1^ZmYXYi2VL8)1_pmn?ta_56&=ZUcw*kAl5kx60{b&sy*#CY9#`|(Fxro6 z!dMPprotW2ijC3+Vued}=^D5!5^U^6J?@l-PMp@%L<5wGrsjJ>k;5$*;$W_xoF`!7?9kb7U~+02?HG(Apx`KYCUfqG_s+1oKWnz*rLD^k3% z-1;*fe8P6zSrfwM3#Fre0^<8U5(^2*`C>JKtIvPDo*iW|U3$y`Pri5E`g)LUdoN=v zeeeO>Tjxd(Q7LWA-f_69BK)}PxbWAm)A_1?`Nq4AgVNncQ5i50C8QaYYo zu{QCa^;2gyoBJ|#{RXZ4ux4ORr~AX}kKYs3J?RZsQIlvce0ZzB?c=5QDS;(8l1J+J z%3T;#3^T4Q>Uuw(9VI1p)B9DLeJmPMT3}$wp3n#;(_iU%8?=nl7MFiJhjG`~Iq_`{@Z~lApEh_~x%Ks^z~#1>k|EySw022AJRupe!&`H)}EMNk+0u+ zu1Q*cRZIdm3U~jTD8ako!<(eiBZ2QYd(@P&edmrF{mKY!&aD1CUCj9uH}GMHfXsXk znLt!=nXpvmFD>}o68el`}HmQF@~RK7L7J?uPGB~Q#SYH)m&ebE zDI|VA%xr2IcJNrZN4mmhc^P5&a}SD!n+po>l@%Ay9||~`mDbmcmzu@eguUSG<@@YS zEpC_Si^HDB#zb{KiFUf&nXuxNO2H1;4!HU$Je+0>%K|S~^0~U_s3L>RwRyLf0%yJ- zi|eKEXTkogKu`kGL>M6gBh9%$Q1<0p`sq1ARwfb5E4Z1 zIbtv=Oyn;)bSLr(u?VX}5J4>lhk=k*LI@E+(gZDvBBOfXsREERK@b{v69FVmj6w9C zvx`O4V>iYYQBO`7gXleHm!YEf95@I_nwSn1kTfv{(a$-%3>E#HvkQoN=Eiuad-WVZ z(!|)}UOfkpG%>cgSI+??O-wBUk|xIBUOfkpG%>cgSI+?|JTbPo*Et8M@Wj~SUgsR3 z!V^=AfTW2rh#Kg_7~HGp0Foxg7We8ofTRhr2n~b-6`l|f8VCm@O$Z3xuL2dG5D-38 z4CWl@PytC3Vi7u2K+?n*#K3c)&j?7G5R33oEMM8DO6%L{<22@ZA_5dBt19CE=ILIhAXL5pI@ zR|p;i096wL!cw9{fFe&2gzFN2^%VWLE`e0@Brwn=l90N@P$~7z{(_oA*Ho15%EZfy zhb8M~X_=XZvW{y9-e-%Kqt1ymNcy!gkMmHC&tuvxAqW2){f)*lQkBvuqlHV10}?bU zBqHi+N@8PgY?9u2Jd1yMM)Rz>gmDdoI&PwI_>x)37y&F4L5lc z()rYtL}|B+JQZG;`Fy`hqdnrc{L0e8VOb4NOZ>-!Bim|C*V7F&Ld0wouzOsJ5ht(<)_h$v=f(jZVN)^JB{7 zZ7R>=&Y}LL&w{cMA;;_e4=iS|Tvv5&wv*#Zv4kKbcMRYA<>%|l5 zuNw@#?iYrJvlZO?)%cKcs{x3fgOSbDk`E?epLRnl2A>~}{f_(U3Od4#S$^NgV}g;JPooZyF)Scbu84zKD> zl=XFfMcj(J?rONfOdsZg=N5G1+Sga?RCM~!OMG8QmueM}U-uJc;}9&fa&9ok(KDLi zz0aZOVn|HNaF96QEcsg%rRD=1qBN2*)#(P*$w7Jv8mq^3O*k4)y$jLm#F?U*?9Y37 zB(G1@tF+n`tBsTBE1x|vA-M|yN#lbgAs32=VW;QvN%`j|u&f_hbO;Ix*6}?|Xx09t zo!!;w_tfpgBW;Fv=eED5Z}tJnitc&rCpmzn)Rfn}g;Sr#`%wxENjahKf^7 z@r8!PsSRSRh+2tPAzx%w&KzWwJ!Y_PxRmtl=WAZGj2k2FA7-^!8Kb&G2#JCPT7Ru{ zggv2(Rv%!GK28@sp}~4Hx!_X9w^yS-atRSXRPzOf>#>P#L)uAVUJA1N2p8N}4ZqS= zTH13u^ntC*c6-lyYFDPwL}Vz=^0G3vTWYn<>9x1czPi;hY3eWZVx+%)kk}TyU2s$# zd#tab$#iBWE;5`l<7bh>Y&4rm{v3gn+X=+NVswZgXXi(5*YYc$n}?d7x7|0KY+Ap4 z_+s!eMkRc6Z~w>yzLaW8&W!=T?C6EPYm3&rdd2VSflspHdJ3tN0<9a?J=f>jYx9HK5x*=mj^OS~P{S3rwr(|6>WSXW zb38elbXI#~&azYHRa-^kov69@9W+?KQb&^aubZ<}9lUalvr-_OoG#Jwi(lTj^A>-> z@c^7-EAsL^DWir`2%(?$-USLJD)z$pIs*c-?=&#CYL9F*bd3!V9w%L8Mkb}I$q)>q3|I|X;Yt)psDz4 zY%P|V#7%l7$<@5l=M{cJS06J{bTNu2RY~gc(jWSMAcygVQ~G%~m4(|=T^hRa)AHdi zxJ~wzxoaLt>b)7Y+bPW~QkEz7-wk7SO|o2lF@^K4JVG7e^`X?zsrE$Nw@>0%5M1$#7cz9dJU=Y4`0n=8_nTN_-d<~72dYT%RvfMkVE?2zHk&T}uD3-(%P?xR)!I+a zRRaslX`g7to5S5N@x)~F35HiI1G_~k1`R(V+Uoj+1CjDiBw4S*#!Zi0wl2_8*Y6Sq)2A|H^Ar_E0re9gV3!sj4yr(m+MLbKwH_^(HNb51piMm68f z^UNxyq*4v`3B{AZi>2x*XXglD()e<>sL+>*yO&Bxh~Qw2WwCnt8{U8=RT9Lt3v&nU z+?SRHOG+3+WR0&JJE>nomC1EPom%zI!HmVDYNEu)n?H_Z8Ss1AvMc^L&Z6CLdr_e? zh1ZKGY5WM)n7xV(89Tibo_mvag#nMh&b{|dcSoec{JF1lvb5Qp-6FGhnjijRrfFqk zcT>BE&QS=`H;1l-(UXiw1!Ec4`B4d~Gn8#?%+9;ka50oMF~<-W z+qfEf-MR7gi$IB<(l;#9w3ah{^o9*Zk1bzj8+WD=Y*ATaMZNCUf(T2Zf2BG7w+l<6 zK#G*;?`$iy!<(D<^n;eup|m}jDUd1 zSSPT56-Dlb(OHCDz=#k9I!1sN5wIw~D3Boq0bz=iC~AXpSH@OBCoB0a_GC3NsLk z=siag4x*lZL5pzkw~+j2XDp0C)QB6#AbQW)WvHk}UyLoH_nciUqW7F#K=huo3y2yw z!T1^X>N$WWjj2UIlg1d_tLFfkG{zS9>N$WWjj_eOdJdpTV{CD+o&#vo7+c)yoC9dm zm|6rhX^g?W&N+Z4jj_eO&N)DtEyfo2I_CgowisL7>zo6W*@cn;hm26GPFA_krVw}`=<1Gk9Ya}db-9dLsLl-Yt`2OI=6 zX^cVitJN+R(a$+M&j)!(K$Hf@x*Lh!cc2B+qJSz5nuJBp|9v0gKl?}IU5fv{gmJgK z6#uzh7LiBgf;y>?FME>bdkNT%TKujEyn}+>Q54$BwQr?;>c(2+OWT@`rQ~fAt zNPV(<^4#dKUk@SKGp$A+3YXy#i(7dV7hP_+b>MN%%{wcU90`27zBYEdu5?8*`lGNV z+Y28_+P8epV^tQ{ofXtR7Jl&Lb#NuoCDJ8pC3#Wy{Z-rQ*!a_?p1Ktd$^s5*m!ZkZ zXGYgJ(l zCVa#zXpvP8JN)r4{%3g9+LUikyry|lEyVMZM}v*r`mwB-mf+gRYZDfe);mRJ4y|Ly z^cXg^q8NWw}a2)q{;Y{}`W zNP&MKG?3xxjqo8 zhsxRg1l0jrLk1CwX?@j}Ssmx^h2v|D_6e$%Ry z(=oK7<5IC+RWN14*AoBitWzEjdh6NVTX9L&R^-^%8{o}77_XEtnNW2Ki&~hg9F?kh zHve-i&O%aKhAhTffW)BZOq518zw@*_{{=&`Wp26#xq~?SoMd&(TpbulV=Fd(tPFD= zxvkN0^s@5eX9vGB+y1=1a1Nzff0AI8E2j`ut*<{mzpdF@O-iE-Xmrop~5`(AAZbmGum zrMcH3KR!_OJm!$@f-T>sGG=_!YUSM2htX7V_Ua>7Ma* zBNw;oDOO-l)O(k7*jryDm8#K9T=~ZVJUx4pg?@!u8Mh{#Rv9}Tf_H6JWizr<7S6}aDJ}!)aeVh*Gvt?6E+ibsN5SqdGm`_ zeo4@BmUDU_r+CnCW}1~Mq5WZf*YmnGM+&F-)%l0=r!O#X3a_7A`ns~hsIwt^a9LfG zUDsSKD$9CtLJI%7R8>gi{b$m%hL6sVRftjb74RQkv`H>;atu-8jk2q&9vxDyZk>KQ zO>lJ0N$$kWMC{NZ)5H6^@XoYKG3+ z2Jb!!imI=F=Qu7DEXc&$RqsrGpi*H@Bg3gp^aNF>nNC%a|Gea)U9#K@ys;1|96fv1 zlT|KvY&>HI7P#aM%{}Q3+ZQjfR4H6GI$Zf|wY5EKdxeg966iN=WgEIx66vuo*ns6|I=&ofuA5W^w0+xMtLF2U0{Oi(YavD{pZaf>lxhIq^x488i?nZ1K(LT=MlCF^+ zclWK?MJh^87ZyA`;-U~nD*}Os9B+JalOy#KzC~7cdOj+y3kjCg7CWjQ`R*-){r4UN z^$fNXuLO%LJF~PM?!F45?4L60K9&($8xrG?>yvgvK|w5=$f2cid5Y@Z*YXwq4d z^fi^Dzhq3m)G1MFq*je4JVaN1QV_P+NOWU&}|U@LoD<%34#sjHcH2XaC}o9m4v*F zBJ2?%`d-Ogee1x5v1kHHQkBbR5%t$uD6Qnl9$BgwdCSbI1$bn$A9%xaZ_M$Tu<$mC z5EcucxHc?q`d2sk|Ce!7aW-M$-vaZ0a!)Zr6v#{x{hj3WXP^vr?<^7o_75NkOEMM( zde4Iv;Q>z|GYJIYv00$^JP5)gr9fs91VkP)!R;K7nFIl$p*bKk2?8Qxn-Gh@&J*}L zBw>wuM1f`tphX1oQd`g>keLJlVV4hx0+~q=5V>E1SOj*Sz%wGCAyyzW31Sg>K808W z_MMP^aoh13_#e93 zC~E7wdgc2buVAD5^$ed&kx|XxOhSj}1R*T~}A(jd{g}BT)1M zw>n%*R`G=OKI^y=esl_t+tJGfI^NJNQ7aRYRq;S3y{DbaTI6`O#;il*0{! zY!b|oSaB9iJh`0J2VM9Ud-9q39!}f~u=yq~^-zg1w<|(o`=OG4{hGlOx`=mMJ}q~ z@*lrmj%uyVnY4__RW^^?n01)Hs{VC>bT(pr)ZtodDdl^bPxGu zOl3SLuAL^mB%|YZ!KiXtc%0A0O)a=0r!>BC^YwfDCPezuw5d=WbGJk8Wqbc`#OwGZ z&V7W8B?N8(Ka$cIe07ewYd%uZJJD4<^=XS7Z{=mrq2;AxZ#*0S7j$(Hgp{;^5(afUGd^BNL`^E$uB?(9&QVfFN?Cqd#(#9zwy|9-rQ5@5yt zXsM{5kOJy|2=M<&a{n*Ime10>ZR$1fY$BAhgXB0chh85T!@}i#7t##vvfA=MDjA;}8(#xDbo~ zenUY}1lEv<0A`0kKv<}V0A`0kK$Ln0xzvBZp%4%j(jfkt9kLg=fuJxfXN>r(*Lxoj zbzBp8sem>Pv54Bjv!0$SV$ME|`Kf$ksyT?Di!jG7t@xm5JNBaHfwLqPPt0|xDAqI&+8WZ#B{ExzxKgrZTn#$kF z)W1&Z{ZEmLkN_s#rsX=yuHA|h_4B%Gmj=Q^yrM&n#WdU>i&cH$M93Iqi^r21dJ7{y}p;@Q}fafd0Ta5=JmfV|6V#tZ)MA~ zBE)cJH2TNy;`4X9Mrfiw7jCsQPvNG*ILD5UQ467Pd@iVWOD2C_r&)rj;fj)+ZsLg_)&9< zmN4Bv&u3;%_42bv4qH5yJi}%&_?LY7u4*Kw>ln|@4Kk1aIKio?7Kt6%W=CzXI=4u) zQ(YNSVtIi-Kl+S0^V?8br#D22mrYdiF8J`hBe?aH{g`JLeP6QAp~cAFZQGO)AI=H~ zCT@?B3;7R>X~W#9qfJvyN&UF-nHb|QX3?#ws1aG$Gf=cM5T_%BT`t_y(=2YROO|N~Nwz@XQt+-3Bo+=7&e<4?ecJTl5o=~foImx;)tOQ8F+SCD zf{{`aSH`E$59o9XzZF$#nX^Ej$J&;hYe*g?NS{iB zC>K9E<9O5Ncfoq+p=>VM%Nu9E3(NINb?Q1T&}8-Gdh{jEpYx_$crH`8Yn{U?Q*~Sc zZ}S$VtmL3c_4D&AYnF`PGRuF9UolcX?$6eKZQNXI(RJ6up6HD9bg+PDFPuBmVH zE54hzCmDUq?E7A>w13Fam8gElo0VVYpUvBmJv6DY*;w}a zsUo+mt1A+6jBKk*1ShM;xOmUENy?96zs3oC{Hk1vf?JM^qaco(nLmWn)2#dv_u<6b zuUU)N*{~M#dn~IYqqFMq54MO;u^LO7=6np-Ow7Dh^+H|~b7t8bN&jsBhAZNhGeYQGd8PgqKE(0msBWI-wbW>{+2|X`|+z(t)S8PEMYZ)zsy#Y-bR#OSInx| zliy^-JEsaezkDxfqj=UZn*ECRM8Rt|jVJDUJYOuUnvPH6oH!gy*BwIDVZ$9rxvd_6 zjkTaUFagW;|26*Ne?QkR$}0LNV*Zor-!JcvQUMNDX@U?1!oNQU3!qm4fYSgFAPB28 zL7>VO{@HN=AUv55$kU)jxb6VR(;x^}0RVX#0-`1mf!5c~m`Dhv&9|C*ceC$$cOu(Y%AUv08* zaPJ+0*DCy%68*nM0^uKUu_CZ7pfKu>=zkxup!%Q07?|X6M-qEgm zA?CSrdn?*r?1}o*|Ljr!eM*UlCO;lyXSwX&irgk2icF2Tl+|wBV7VU1igk;1iE{0=!}8QGdeepXP4v(8Je#P) zSneRmgYHEvj@guXx+W2tUayES8g)A}ruZtiznr!1_LrPjFUQBamTx*%6K6_9rmD|x z^O-M6-obHx_U`8JyHb{N8V{uipJz8}>J9W?TyF#%FxpwrU3{>r7BtFc=)Abh$ zn>j;&Syvwmnc2;9ElTp6Di(LIq|VUhYyV<5jP#&9%pLXa#gBV0wd+VN zrmG7l=4Q*cE?=!J|9JiKqqOOhX9{12n&;h<8Do5A+@mdAW1-;jSeR#-)k=6vvrFen zDE9YGuCsT!Ji_CU)aO&W>80+~Pq%&xpWdotwEd~$yOP8?*Rkd;I@p>vpcmEs=uAY8 z*s@dpeSF{O)DtzqsZZkFAC9Tgw4aK|;(i@BX>w00dC0=osc@8>z~S3y_|F%cVImQY zk=#^{3f|&WmOvDURSM@ zi@AlncX^dB_IB*blU^w_@xE)mT>bWzeoXVb*0P%637T(DnVA^t&hcmK)z-zf|D5|6 zt26ug_q15@>v+|NpKb1mPFZ<>7VLg%@Bd0q`s6RvBac^MOc3~SdH7S^bA_#pcahc@00hV>nru2tAHJ z2-m&&ri#9umCsn{2iZH4B)#C22iP%c8d$Lu6#Y*WDl&Bsg)&k%er*+C_!h+K6<0ha z%uzipmQa*#i_|L*RWK0V&&>McwxZ}n&-@mlo{_2E3s9)4AQ{OISv+Z#cq z5pS!Qwwbz=}I_~ z$InQz8sHSZ!qsW@dN+_57jiUhZaU)YJ+Fc#&FTXE8hwqr(EHk_b?9y%l|05LOjE5m z-No{Bs)y0fiHzx;gzTlAfu^ifLO~X-0l8rc9xUo!K^5Aa+=lqg#CWe&lCd@y@BHo~ zuM@7%k3^D0hS#M9z5BwmBrN#MCYJZXB#!ciTSV!sZm7ttYxN-3d#2ZIdE$D7j}KiY z$clg?%&3<;$Oy&!(E3La(b@NEDdg6txuaomp@VaN6F20kqO(L;6 zgd5&7mhui?WP4z1n2wX9ydXqV&4=;p`{)(@*TNbR#uvkQKHbZdlp>G_uM@=e>Wpe! zW^f+rn>6`EXmp1nlV{69`|a%@Ke7;4_X-osV;=bDeMGOlisCANrhP=dqa61GKjTWZ#GnJ2owjHO7as2+Wbiq1H zo-9u{YHa>`XvOYD2ii%cBd&|VL%3g$%vT5E-blGQEKobc)=sW-kC?LFfUd{-{zkya zC7bSvaX$JhW3?qxHWXSXRimyJCPf-Z6`9)M>7V21o7m9f7`=bb#*UCzc2MrbI$@o^ zx+J9o8JP+)Z^s-dCjI2&j+D@NFvaHV>4#O*@r!4&7!SF1Ar!oJj!z`ua<#_XrI9&H z^_ni)Q#t1D{RtAaRL5hC#g@7fo(5ahLRe1?sEHM?$s4W)8*4C;X-vsoU?RWISCNTKb_LVjX z3JS~a`GIVr4)sbi((fOh&nCVpR3GBQk-ip1Z)bX1ZzsP4R7zeKsvhY0j7|On^_Vp8p~+=K0#Q?`FH%GGhA)Rt zuXa|~Z+gxP?qYu&3?H3Z_NmzZ$TPUcbk(^yxFOKSD#(((;nvAP?4oO_KBXa%uT(|} z&X86bJilS#Dd^H0xFg`yk~GEFb>?-Sz4>%;Z&&#ur6&~&p5i5zJE_YvP65_g`nS^= zi|#q4yzV=qNwLGc^6}Q$GfKK=r0(iHOiTPgWyn$*{hc$v=}PFQE#2S8M>0Gjy3JVw zI3KFu;@v*Z%5YKp!o6ccBN4%8)`cq=n)^q;IMDf@9&1&-92*jLr>-H2>S>{oUG)aX z*{VF(lIC5l7oiiItP=G6n_L>);nLi%>cxM`B1vUF$kjc_pxZSXy8duvr{7|w+Bo_$ zGEAOf!y(SK_IDwN(?*2~cA(qIddth7YriB-nBm&viu9)++YoE~B~FMpD(U%~1$A`p zE6YgN1=|AqWVYGa?L>3qe3wGlMX2yaNbAE1QIY;~gL%N)-fl!@|Jv4iFI4 z`VImAl|mpO>UJRD|GanE+qOYK)RqSV{wsxmAOcpsB@7(z00B{LuMmsq*Bk`uglP!) zZyp7-2(5?_2Fisn2LBacF$VwL3u6rayBEe7MDIEKJRJ0%vk!>gbM^uM&A?%NkLW#T zABzX|9H3kX(@OwVsOJEqG%&V!P|pF%g)p{wP|pF%g)p@UlnY@D z9(2tC%7rktc+fQmC>O%m;z8FOpj-%Jiw9kEfN~*>Egp2u0m_9iwFn&V00CjeJHkM@ z5Cnu~Zh>+k2nY>3Q3nm7+joe;s}-bM^tzf35Zb(XToCfapDE9}vCg?1h|=xD)821|REwBzoW3k3{c0&|)fKppP27 zSU3pO3xS|8s^$(1%Yk|!2ncJc5Jqh)&@BSOu(n;{zjPn?W(QhmBn+(#5*87_K;cQy z)ps2fq4+b0{xhZd5Nr37R~Ig%RXUB&yBOy5-l8VLZiftp&#M*f7hI zmP!J;)FJhb-&J2H>eHR#;)zZ~9yxPI6*sr^t<8#!fLN_yQ2c9~IEo>)J38kLOSuBJ znEfJXb|uyBHP(LKQ3yADgy&`aIAfJV`GH(wY<}tS)w~x)I`XmF!kc;ycwbEe&NHkivPT{Ex^OS!h`xIH z-7xKYG}Ic02?pOr^%;4!2X`AioYG;qn))+!)YP3k-1Pmq5TpJ8hwwK*Dz_OUYq4 z;Jrih_g2drT;Ip&Cz#ahS=BeGFE=E<~PBHBf&} zXi1mAM$&qgJeZ7mMHu%@s>G<(b4e?AADuN@L!w4`ohRD$j;yjKO$JwZPFMB0DO~U6 zJt-xed`zw94&5Q0x`sZ6t37udRXe;9Jwj}m^3$Tln?m^2Q!6cliM z{zM};PNj_W=0iKBLke+g8rq)=*}id6#AgOo9TG~zzA#R8(r~=6!?EzfRN$oZM+ddH zS1UQT7kS!y8}NcyHRVhMqI~Agw0fr&EE=CDl0M&dQwO_cpyY=}EUnggr-+qH{TU8Yw+;Chi|4_di#J|&$u8059hfYkZ`tGsOHuugs-1POc3vE;9 zD`#Uz4sVqon%l`nxLk~yu~=$0U7ddyL6{g$6C^m^!kCiw(r?nUKxt8~qT=Ieq8cN>;URgId&0Tq*Hcp0U z8KptU=+j5PqkH~&^SFxMs5FDIk$`IQqMd+XiwnMd5B77hZ?y&|Ew4V*TlcezePy5- zUwfK2qJY`jUN$Py-vDX9xLO?@ON{i**#3U$+j^lY9d!!(H;dXUZ9F)5Uq?Jt<+@bf zUMQ#RHOsxBT*)@%ce^LYSaOz9fj+bRrmgd)aQjcGr{||9>v_5h3Ln|-6pMe#ueA?h zvPjb=XVb<0H2IlKX`nH&Jjpx6+UYrOqgxfl)FUi<8D37gWxk)>WoZ!=Mfo(54;E!# z-@T~hE&b%7p~*s}K6aA2$Us$VNyT=ENR3bU?{|4FN+VLNeFe9+t}0KgA7MEBoP6sM zxzqIFzDv(L|*dCM{H(%5^;sD&22G{#9K1y2K2oGBY{;dqL%L$ zIX+!|K{T49-6j|2#jM{*Rj?bI7}hw5L%?{dhMHg{o8ou!&tc}ZF_Zg&oDF&m#H%%2 zYSl|^ZsBjVuG}q(wKj9JOT_9PW#6e3xO*Z@VO>4E(#ir)zySM%r`V`yFDnT%xuf3$ zCwsd?o!7#Y<)xwz$B9#6x4C+;&rab`y`_1M6X$S_y(FgGTl!-M0lOKU8{GxeUs$`s znN*$Q19|yd4nMpgPN|M$UTl2#^vms%!EO2*mnbAs?%3So!P!h4tzBX%Z4RNy@JEy^ zopn`VCSumucoVnr=A(+m)P-ALkD6^%`X}7nChWS$pn7`ElViE)l+!qCu<@43ME^|Y z`lG>0Ryv$G&U3vxBxl6xM;lspN%*ne<%K}g{Qp;#LkR=rP{Kgf26XVwUYYYhUvChE zl|u;wHr^{=uIR75H`Rh3XIx*cgEzYM2)U1U5z>C=97i6Zxy`X`f4lIl0JRdgeYL z%;iLY=?0Le3Y&^A0?L)ZJwgu#76Ii-5QIKeP_6_)=)(c!N)Uuec_OGJ1iGKq-q179 z0YJGDun5hLi-2+^rXcz?XCI3|G6qwN=+~TmETaEf?E?bI7z{5Jlq)d>fn*G(AbQV% z-yy)rW;^v@t~dq%9R*;4k%Y*3LbRL0p&_eEgp2u0p&{2 zA}o=Qn!|?ni!2IDBA{XknA`_M?>x|!aS>3l1T5|YqIVu>uek^?-~fCf!my!ZB7Y6}hwsZ! zBmW^T@Yj%NI0)5MME>+^|EGcbKONUdL5w=C=|8)4D=rzEc0~))lDp20M#bQ&P_}a5 zGgDnvF5$e(HAs9yERgq{U#%^>;SI8f75AF&&(`{Q*ZAPR&zvs$fct(_b(&XqXZ6V#$biF-Gx%Z3c=&C2cz@{2;K zCC%>HxFxzofB7njgpugR~gEOu1Smk&##b-0$0COq*>cG)uRqa9gb5_@0ijm?ehhO7EXWslQjtFJFeCwqoG=_Zc9X8x>gqoJ2tcLd?7 z5&c?5`Cd){XSY}I?-$#}1dhGG2}_O<$8w6RBuj6Z<7q0sanGPDo83Iyu@&fwFeooS z5_L_f=KPz+-QxNzejNvf8e%Md~b0Mlabjmo8P>3#w$YnV2u!uVXSif1hB;Ye>`(G3nCFQ*?j6 zIM1Qr)V=QBw#;8Qu9RA;w!heV^j=>fm%HZED}IloKkc=J9~+Bk^g0Rgaj^CAJat^i zK0T%9fG^upR_ahN=#2k3JllP0IF!lM>b`qD76WO!!sD`tOe3{t+pcL;m+Qn!Hrnmh zPe)z36v;35ETu`Qvikb>Q(Q)$jrgu#R%Hzu z)~dC4ZhI1YP@z{}?PO^4hm48M>im}!CwtZS((5&yaxN0w%X_Fm^hPxHSw88Qw~Y}g z|I0$Fe6+Nq3Z)$&g_G>@9aEjpGv&X}6ueUAn)sE^jDj=^PS*pK!{ntzbWrjWpy;7UQ zfMFS{Mk8%6dme`(LVi% zzrrUT_bFQ*gU2O=0aF9o`JaD?h%}qKmDA6(hgjh{%(ypbJr_C-acMT3uOe>XR`!Ikpk zSFmrblj;s#<7jPJ=X?@Mkfl2=Q#h8i{hdrdAY;H{ATDNg&G_ALB7;?Um3^7{c$3~y z?`OKzdOfNQ#uc}&`dl_sf4F(8LbuSM{7#RxedtKpvXI;CATcM=`LFrr;{CW+3M1$| zLhHiMk53G0F?~GK6pqhJK702r>N`@~Q1UdjuILtC=SI!-=hdpp4vBG9hb9Y%=xD3` zl%*0LceB2HW&Muo<6WIB^{)}}@81mml#%XM709?v9ukf9p7+NHtCxwKdr!qod&DWk z*Fu-<`_?fN$4MKBi=^XRncrFQtGF^L3mv(CK39(lKf^ihg!CigSPJRahq!~uFQaBxRg>jsq-HwBW$^F1PJqQ4d2techeea6Ps#;UE>_Vqp0SLRT5aZa4pW^%ZW=yW#tz>F8Z+BF-aEnvJcl^ut0q2pG8W_ zIja+9b8>Q7_|*jqdEah+-f~m!G`EuWp%BXucD+8=ROYM?#n~f-LR+d|-6w*Fum#Mh zvS&CHhMnXkuXBklKWuoJ`AfiEw`_1prHu-Qn~e3M8uv*3b26pf{$Krd&Qjymn;y(* zF*(R5_-!~PX$+n>_Rd+zNj~xb(&HiWR|(Gl;U16w|3tNW;V^iq(7q87KvRW)sN4XU!Ur@}2#8AZLBM}AMi3CX3kNh+2#69-K#PE; z3W5lf&<6q0uQ_`&Iw0V`TQ`VB)Q=qkqW2sGtW!k<&{QE7QAq`e#eetx5D?b?gF4;~ zt*;_b$y$g-^lJ_R);J^rXsVD)h5b5^fTjw9La6^ZaH&W@Q-y%A8EQyCQ-y%2@CsrP zz31#5L=YqbxEe$CkjJgDaYEua{`j)Qs*>Jx_UQx3mJpam4uO9fg$ zF$NFnIl#ntj4dA2a{x^hV~Yp%96(dW*y2Gw2hdb8wFqdc7=s60b5QfO4(8;5ri$^U z9@KLHO%-E{7Uj~;5pEH1TX z!}csllp=)gjR?aA@gV_O6}(nq)F%e~Nuq}Ap|yybhyel7JI~&HHwcK{c@VHOZjeA1 zD0q+1DG5kGRfT}CYCa?&szN~2{|CHBpbHcN!rHO+j^so4IuLLWz2_ic$CDxfO%-yf zu^@X9;4tMwY+TfA`#BGpCjCzsYOfgG)tBh)c+?DHF&2)V`S1q~q3f5vgJ_ z?`=}WRG9)cHM0ttMcUsVrq(Ii9C(qk{H}69%3rU&Wc~rO`EEan8X@t15mbw>Iodo1oi*WE9J2!2$!bl~g zUtPv={5lmMAWzZ4&3-meHQ>=DOD3c3*5}bi)vdJh>E}a^(bwT_3T;bMQ6+q%LmY9OR!br6OY6>CI6Y@7t4}~nA%^1WR`uwwTfNF*64Bv8f zzM+8ZB`oM zYb-gLRuyRK7In9uxbA+cC9Kc>M%~qsjrE4$)k{pDOK@Ht*9y7myxJL|R`g_=vp$Tw zK<;F})i|qW(s-fkoT$?|`Ur*y+mF+9jfDYkmb$`kYSew-cJaMxO2K_TchIBw-Y7%e zHwMkui%q$mhHt8nkM2)h99m>b$`(2zAo{u8jKEKhrB(XkS?c!7ruZAHiDo4hzWg5v zy8Fbxb$T;$IK1Hg7H&dHUv6Szw$1#BmCSX!||-UnP0Y9oY+G+?=*YXu>_wm zV&q27ago(JoPPUhH+Wl0R_8O;W)X|@-A{b7XXvYFvO3$_PO2O`dr?tnQJ1l{%DVC^ zKku7Qp1#{N?CSn;_tQU7Zm~~_KGU}G-iVzrI;R#uRifLGI#ub|GO~8W=gp)uSx(;* z$6SXCKY#Ga%w#EzGv7Kw%lMYc)IM6h!qk?A1SzI)wryo{(zuIR)y{~+uOq^9>rQUM zk&dUo8fRxFWc)(XeiSft&@7b<32zP$H1V&*R0PFY2#!Y=#gcMzP~YOFR*O>+3bx%r zs3m`_8pw$aKSI1z#Uen%&Ow=sYv6gJ5P^RO`-mkKOY`}n6Sx5NF2Ww`X)8Wb3vN*#dj75O}og@(z3p9AAZry#WHc% znp5+NjU4$X7m0kOP@ol3qUv-(gFF6cMXBCJn!~!8ND6jhmB`@Cr75{Zm50 zb?U^KQ#l1T6}B&>#Wt&*46BYL)2jK7L(4Qy$@4jX?F#`<3Eej9P>T%BhU%eDPYA;f z^)bI4yUy2K5&tVn_iVaiO@Yj5+?H)7=3g@kTbv1q=Gn2gWw_siJ6dr_agNsvcO6Of zqdsXz%CIwRO7QX&PS!A2ip$G0!wbsTj*oebUs&jz{phYjQq?di8?_Sg_Kp*IgGrCL z(K%P+vsr};4=*sx3DnGQ>7vGbck-4@L!?dQA8GJEa>)O_w28_B!jJSk@Mv!&ATA>R z=#*&XOe7#KLO@tA8xjx~K@h4+A^~v`1fc>d5)cP&|Wqq zATB~cm@0_`#6<`QtD8jv;vxt_RY@cuE|9E82B-9oGIo!t}lP9t5GS6G)(86Jrp)=j>zg-#rY*7XMA1U7+d@|=XsWMTkY{r2^t21cY8H(69*s;g^cR z*9s0|;5qPn#K3dl_lSPYf%ZU&qErfW?{OaxeVnroh~9JHARsP+?{OaxeVnroh!w!Q5`T04>mCQZksU5krS>hYm>TxmZ9wPlCg9|}(S7Gk zBC*VH@Fbi+yhz%tHqM`fY9wNi@LMRYq(@oRKP zXDoMmG7*Q_GF_dgtDQyq`Ry8B69RhtT~7Y-_uhH(sT`M>I4Muh^XOb$J2`ch=RVmA zaiPPg@@cmOM{+zjVMT#$ts6^Rk2mL9b&8AL)~}Owo*e z*O><{U(9vtXgD9I6ely~RNky3PLS9Ld#reIi2rdGB zjqJ7mF7_kM_hV+XK+TkE710HjQJOk!9ly=FDG|LCZ?z-&CQCJGcZ2!UgX=<1+bDl?jj|=J zHHLVzuKjA*?4vv(KiwT5I)!r~cFjW!SJQ%dtSb8P#}lr$$=DaKavR?KCZW(GG1!xT zXu|S6R&&s3KXV0B0`EfQCRKN7_C(!}p!)~k0th-cl96VR&<;qCMsR^hLqcY;tv|^<^wT>lSU7sBN-b<hIH~VU0m)nA^$BRVF?Kx62E+_SKbEQzU&IJ(^M6q+8QXf?? zzh$72a+rqvoWC1YTFJ){?q6~`3Y4$8^N(hvi*>ZTi%#;=?n&d^&=S2ObR|WWEPqzYvvjvqfh&orj|8-vugrZMp8&6&wTF1 zujkimD{PJMaytU(s|H*Pk9a5|C*oO-0fP?)<@yFdS5k2xq~RiPlnIra@Q8lJnpeHKPoij zxBJ^QC?$)F{<=ksuGAzy@&|X>>7UJ1Jd>_RPsJ%)Tg$dDuJ}{))6pjM-+0#c;*>A5 zP?Z9Uu)4LO3igF!o?bFpqM;X!myeh##(q_$@tmLVaLj5Itb8U^sY>zwNl<*FwqDN# z)+@<$v+B1dI(_aW5XF-T|E{pB%`uAO8|bs-c=9at0?t<@)e__9(}^0yuBV^a=2_)@ zI7Zw@IF+J3HOaqzX@qH@L)mftb*Yhm77>g5(e>hx@)@CXy^)-Hj2r&ii-B|5)7>`* zGalDl@rdWH+Ill%kM5R#2dr@JD5*2=7!EZpx#%1---6^`>a%&nujEb{`_^Q8=sV}6`-1kok>RC9Z)}gUCxl(C zi6YB(9+Nwj@YrQc$7NS+G0&+@)m@kRVYR-z4$upHwue!~+B{qNz< z>^}nVcYW9iCPqEq5i$8ph&IhBJL0@|&W)?zteT(8k)|zq)-wI+7tI;pX8-5&%voRF z+PwTcW=N7`u`bipvazs<@WxgA*0oYfu*MS5FJI?4XWdodj`LDG^xoA88Valkd~p|f z^=z+TJ5RlItv=hLSCNqu%<0H>t2KFt8U{P^#yfv`Ywneja=Njw^OewpT*VpBoT!A@hfHB4 zL}wHq9e1#kQAp(~M^H|i2XbA%dYpZfkv^t@;lcUe&H;>~M+yI%i@I(1Fx zx);~H6ZwPH_lqYbE>cxSAQifsqpgV_#KrqO5X_3r8tnWX6B;jYVrz9GB`35g_5+Uj z&il4V>WR3!cgVC(5`^fo6c#ytmSk!XWZDGal`ih5@VN0np5>Qj92u@;cQOvw;2u+ z1Kn5qn^9v8$-l+1M&g{)!u@IN`9W|YgJWIeQeep8Uk08?XO;8-GP43+@20x=Vij}z z@SqOXW`EU@(}WRgVmfxd<95;yZQigc*gX%eUHB%TM#*?c(7YLM{fISBo0GQR>j$$> zjRF$Q&Nd$p_v$DMAYm&juCq<}z()R?&J4?j{nI8y6B7Mv=KTM@CiMU9(XXOFrIP5M zq9?TakSI{81cFetMiiJ=4}#EkH&N82w!ilO-PHr4j^$%{mkXDwQB0ELkrK%&Uihuvv$qK&280LT4R{0+mV-5CN$h z5(O%iARw$SM--U;0D{o2HBn&t0|bPfb|eZ^DnUS4x0C2!l}d2W5r%a;iT));?*l>~ z4$$xlUMjQzP!wo*g@CX+K2boEhJdhoOi@6ShJdIHGPo@SG-(hNLdnk%5dE41)rUj@ zO&YYg5BTra7gG?>q%j8n&6{8h{+lk9AMZX28hz2$^A(5tImET zdgp=417d(I4PNX%AbRH!LRFywQJEOZqtLxdXqI2>FIoCOeE=e&nDhYz=?=P}`T%ZD z>yA>Y@CIRhpS{d@>Zli0i~Fak#x^%Q;<)5Tiiz3BeK=UY7@t;`= z7^{sT!9(*bw|a_|fS6Oajo4QDqt9D)Du=vmANbn$ZAYB&T}<|4+gD%Xj~~lj`sG*2 zSW((ugVbphKdG?wRWaSP?98>^8lSh`6x@$?O7JOL>2exvNRqT@uc+HCXx9|&oe*ER)!l3C1ErI7u$zljX$4v{@H1zU2h(mIY>i0FLHl!JEu##MT^kQ zM6I+`PuH8s_Iq1E(N~oMec|Ni5v!+$r&LSkrQdv9HdS^Erz1oB@Z4=*I`VC|DryY)9LI#>FTCCQG~Q zmGSZ2=$g%(zMitK4$M)%Elrinl#&@Xt`qe2oyJ3N;!dlWrBVeuf;leHyFvHK)9xnE_mgsfq^l1P2Q>=!q>|7nLhnI!DzQ_BG*rVlzhzcXlBs#ZJ0_B{oAYy(MHre$oV>|l@k^;oL~K3txcyRl*{65!&;P+GjcdW-;aO(rhG_5FnE`FLI4_ zKHPNjS$Fn65u~J1(UNb)N+H;qNwK{d&(!?s#Em-FZi?tAB_4UJgil2JoMMB~ndh?9 zSX}G2ABV0ysQJi85j_&I{y>TT1tZe^$)M@Pz`AGrLxqR@M_NQWU%wTo`#LIGD7Sm0 z@6qbgd19~LS)O@(Woaalt}+$B!0?dC$1E-q-lfE-usWMx1;?HBtcnx6o-DfRU5_JI z$f#%uGIuHu^t(B~f!|VQ7RT#vHqn-M#&B?}V@P?!#`@x%I1la>L{XAXhvq}WIg2xM zTlQ252^vpC4$F;qpS+l=MP>8M+?1OI|Kd*-u}ShD^#(TW@yCLV`tQ?ZN+TPXZiVKdOAxhn`EBXa;Qt_~pj-Yh zt|a-C2HQFnAFEF>l=+3&*tx>{{USuiDLpAvu>EP|X~N&j;o|#}o2eEvC~0a2vRqly z;=*!`RDaA$n4ajV@my-af>^eor06_5Th;CCuGi}WlF>AbMZ}WKOf33+@55*#nxq>@ zoURQ}w6ZBAbxfWjP*S?{n}2b<^TrG(W%#jJ{-wKfIYJ*pQN11#18?e5e!gXV@gYRa z?m@M`na(SLb3=MFG9!mWQlJ)@AVW1 zfA`^&udC~NM@XJ>T0E7_N?`Vtrlk#$_KmqiGrJZPH@jROQ02~3=o4K1ctAqqG--XKptbb~t{`@2A->n_2 z_98l@7%=ET>`z%2+HABKP>TftVfENzKrI#sLX*m3z**lQ2+efqP0b$u_F<{UG2tu>bVyN=|zhg-V z2phg81`K+DfUs6=F`yO;1fgvXV!)sW2ncI)5CaB1KtPm}fPpqpiv29 zeqIO&jdOs0UI++HV*~xXAPCP!1O2=hgXleHFXDiN9Y8}bXmURiz3=QtqW@sQv(Z3H zFL<$V5RgwnPzZL)q!=Kdf*?GN{Z~uxf6~~fq9Y8>Y>%WzrLj?iR7^{vt&XwbdVco` zr#@*J9iMmCd&SK5ECTPM3b*FTT(0!l77uz7&CH<*M%&|u`nU0FNr;Y3Pu50LiKLx) zgxf;EVv)=GmZp3_UtCvtdUEgx`{_P4eB2IJVm2kSYPp5OzfI}ZT;0AIb6Yy*G@T|K zUhj*D4)oTDy`^hk!s}za7OK-cm=i2NczwXPvfG|u*FLXV?Syt*bzdLfsG2`-ZE0>J zz5c{alM&I?lcoOCTvak(O_wC@d?gH2wDcrj&$y{4b}2!Yilf+7L)bv8<3g{5ReNHW zQS8)3iimzYzQEtlkJ(O>AxoF7-W>;(_Tz8xE;wXgn8*-|rS znqZ*iC3BRLv_?flxiNNxSyxA`9fF0T)d-6cQ7?OC#eJYyR)99$e0 zU?Ulr{2b7jlw_>5E(y-# zcCm1$Bt0t=dsCmqjbO8;Z?SCY4s{<}xuK=*RBbczNwgb%k?k|eV)JK|P4}0#8lOE# zPr@&gO>BKwq>x7NUFv=CGgP`^ZAko770M5cwT!Yha!tpAXVX_!N)|XTsi|K|pSinTma1s~hF|1~_fGNJ>63e%m%3}uOkyp)^Cqwb=*qIy7_Em z(%N3=j63;uor8UcK1o-Aj%l(UVrC+x>uOH6Vz=2^+Tfx0W08=d-<7!RNLE{iB;l96Y56kS;$hPjm*51Wl6>9{ z{Qx`1MCun0GL$|CStII7N#FY1yHWFE7_W<7Yx%Xb|D$myubIyN56UjLPI`Ts&*}N_ zadqroR@qxa_O>l0-^#lqR}h&91ve?KY^~!pg^!FBFIP4ewA$w?sZy!;+sfKl&i(#v z?u_(z{pCITmC485T|9%sF?<-w(Cyn!>iV11y5oAmxSiO`2YhwxE{i`8e{VKdH*V$G zdK>R)YGTayEHnQ2gMs(}AlINLb&&6c$YLKn_V~xkqs1Z5;yl832Xr%WdB!ew2 zJj47E8;v0OF6r2h4=bA3lm;9TeV1ng@9a9x;;Q0CYpf++Io>+QMR(DP^a76KG)s_% z!n;Oco~32nWx?CH{=0{tgl|Mu{60C)s(6^_u2cz|W_*=OPBE4(vp8e>OIq*U=69oh zc2|F;9qE>Tq}e3LJ={#LT2#vtsp>fUajc<*7J1a$H!l4wH&c3L%!F@2L;E;2wOLD_ z`$%+Z=Wyh8g~%W(A8Qi4ZfBVm+MLPW1g{fPMMs%}BVV}uc9W}BQOU@X?K^z-(rZZ} zvlz$k$z9`{FG{zRe!iwF?tXw%T3c zMEDMih)ah)WKh4bR>wRra#48Z!EWI4p|3Hnec5DhOXb$@P_KsXvU1wi61gE=N4wsajiBSF(6= zJTS-1nNI&m$cHy7zWz7MaE!TpGZ=7nG{dx61P=2?MA5!6maji{Y+;iMZ#W>H>rR%2 zBA$4e+6gUOp6NMGf)<18B!px$cF1qt$(@IlXtp?A%EYo-B(W(nJmUjV>z({pqL6Di z+a!;UUt}e+xZ``>PT+omIcu7_#^wB5hktP_bqJ{3mByX9&)&eC#HuQ7Y?0%eDHHNi zOjr5pjV^2DFseWy)m!mS*BR()(?c%5C^=R2c>TBn)_97-jP8Q{#~?yWPh~8(F{wkO zSVmfohhZuAzp9e{FH5-t^-W@b#&@7kxdSsdKoFh)2kM(Z5Ly5(1{{(Og792DFwh?a zVJUai$c=vs4Im&YN&aub4Fv)_O5mv?QTam%2;@IO5H*$o0s=cq02D#}1p)$DED%KP zb0HwGF91PQmjDC=vREJpnU0Ejs=%%Q1R;&6C=l2b03ht>c@zljC_xZavk%@QP~QZ4 z4y=w_lpmP60fMkYL{K1l&w*vmQ6PHHL7;>H$UUO>93jZDB`AyNJx3H(RRpn!-gEW= z(RFYrU&$KpXf z2h@2nwRlj^0d-ysErL2Prr<$62h@2nwRlj^0d-zXEgp2u0d-zXEgp2u0d-ysErL2P zrr<%>98l-Q)Z#(c98l-Q)Z#(c98l-Q)FK9J4kW8A$`1_O0KXkbR8kiFu!1@-aH)IK z`9KiVc>xf15WFb=UjsM($h80nzf@4?1wr_wqW7GA?h%7E2l_gI9$8FZ2hbx60U@n* zsHckFbM}VTLf#|#HD@0X{nrW(f;unw9-)^C^vGiRa6p|Gu(R%1n7?VjKLDd+odwS1^Q{m<pNTqx2r&#qiUM7I$3aCZzrLcU7kG-3 z&waKExamYCRvdf!Ma7M2wxg%*a!(4##WKf*w`|W>=GAh?mPTF=Xn$0nS6f&_=F+b+ z;#V_We1(&dIGNup#tEB)y0ibS_llo@mrvq<&D3Gqo2i5IKh4xB>Png)^x>{2;vFs3 zcZldXQ+w0$D{7Wb#h+O^pL2r!dnWyCp9q36w9VG` zn2n(6=xPSXA%~bv5B69hiNMHi-1>&TFGmYF44#-J1P5;xS! zu9mvSIOA9*)99FUHsXxb7qJk>qN<;LqOR*st(~VMTQq-Oz3{aA%=Zyh`Y*GGjv3xj zmV6lPuyjSb?xlP?@o#)8)jFTkB(+;2hu2N{wZiTMGoBlZig4`2EhN|dP~3Y(#HOxB z`lpn+aMc>|sjrS5*oG7=?=q5=l8NK_;V0+K{P zK_r8M`#oiO`~ouUr)Rh=*#uWzh%MO|^OU3*L-%y&liQaobDnAQ zE$o#@w>C&L6Q@4oapGxfC_ZD#%gfjK(yWE@M%mPeD)$a+=!JhgHkx1*`mW+ZUq8(v z(tiOzcC_$G(6Ie2mVko@H`Ax~MY5UJv`9Huz}Dzu0n!-zwO(_yjlNBe)Q zbWXXoOYIdll-^tOc#QnuXVwgHDSxH+w2IY}6G=yJUmBL}DHIw|V!SF^@j|?OnZfz> zyr&%UjA_kq`gOCGt1L9AhUl`Jc4`u>!7i<_@w5YMZ+7GRCTYM-+LC#Cq&4^hUCrh4XeB=dZ=?XExG#J zH9S(e-s$-Ow)U1+;ylLk-CMNdPWjL2zI5$bsi1WZdga$qS0-V>5wQ|0wkj*}!|BlP zLbb!TGYq=j_ViqnzNd1ZNu2u7GG4X!-SIn<3`rxo-p`Bk?l)<6gh&~Dy4fJ$#Y?on zAw^bMY^nNzx++F_UxCAUo$=@Qa=#06$2I4P&6GT@_3kSfZ{{OeUAgdUoXv#R$E%Nq zSJl3+kGH^pr9g4hoBqn@iSDP%m+r{wdKRTx)jrM-`?=bk-dB^(BUPADlFwjxhAUQ! z^t4luk<7ioJjX-{nS2)aY-X)V_UIJ6BjfSbqdKC2qT$?`_S~7?!t(E`CtP_aWS;wF z*2>O2G@xk00{9C4SIBabkQIc-0!t^FtM4cy0bYM7Sq#h zjRe+n{TAo>aUp)6wRw+&jVKUasCNh%t5BASqHcOUG3qJgS--?1| z?_`-D9*006FU7@rm(fcC*>2YI>M zx4o3>N!bNHI~NQ6S|ryxvXjDSmBQDu* zO}g>eaM$Z9+5AGTxu5AgfbVBsle&KGe2VGoV?UNp8!{Kz8JXa*y&sY(a9YBX)5u7B z=_@pRCc_+6!+5mRCD=KZ9DN?p`38MPrHz%FvVzp9dzD@@#?oyCcI}TXV2r+hxllkM z)<>MVGmJsoA>5C*ENlNGPVuJn>u1l@Rn6E3Ik~QKrcPzUNnyohHj| z!H*sJej1c(Zz((Qm$Rf)?rR|8zRJ9;`$}?lJ*sIFUtYX7qe_sLMa0rZ4oT)E%nhXuH6Cm(F=pSAgF-TCw2T|Z)SR)0^+&t%u<@eFvVEz|cgUXzLFwX;;=meLDj zC}oSg&O@a4o7!kkO_lSE9oUxn-`n2*97cfNGXJ|Qu+x_L zUt8>dZ<+s9d)RJ|`=2fIUt0XXx6J7CCvey?M`)`#p}W&xE$MuZQI-zeLv+(Jo3PPY zZ1H2BwNqcf)P7beDlMNfHf>>{9}5HQ%zEbsQ0Xz5pVkW8>X4VT3s9S9A^qZep_*k7kKh1`Su6U;BEctipGE4Qo(B_HCO@5|c%t-v-n^=-l4G6+ zZ=EQ6EAZ2ddFY_YHre1E}>@KF%$QSTS zur4-mIaorxuZqs0a?bpR#e*f&Y_T>;tH!&YBpk^i`{;i}Ns07Lbh7%qFjDp)I~2{x zCu5lWo-wH9%|H z8x<-0$ulLzjs1zS^RF_O!k5+!av&o@d^xBTrH}^a1KxxgI-c)Q{gTz zBEwu5y0teeCrj%-&Ru-ejo)A^aOT(3`HY$#k?zlPwZ=bBlFUT36yyYTu9EcM_<1&X z1rc7?VUZ#J+I9Fr-Yb!-t9%7Aq-^e5qTlTn&t6((uSK!)lTfxX4_?;oZ;fa)E_|kN z>>FR-9<$D^h3=NErq0&oM7~;-2BLMXz>w)4ZObE0wIyHrs{O~P474I28pw3NR|__w zvsrrbYoBvxLgj;Ed!xOy#6xc!DJq#(eTT>_E(bIav6vo{72yiZ=>4i=`E9l9VO>On zFiV!O->}mPUNP63;d?`>@5`=Rmn+s6&(@_5S*#tickjD*zN?_eU&!pST;gzdo;#im zL0LodsOOl*dFAD@slHAkHEN+UfdoZ+A>?fLrxgZjqEl>aZ2JQTcs7ELD<2I@3OG>p zfUahGT-x^#|DI6i1|Qgh?=P3k|C0sZZo6?s_%Z!9=)d_>=40m5y>$7{1dMLug?;@o z{WcH~x)>9{^xHr{m^Da50Ml;+0io+g0dzAd#u68JM(FZS0A29M3PQSZ(L)8+8$d)@ zH!d0kR;nNf>BdEazFuW5Lj=3Afy`?4Fc;80EBhpqCsH20fLZjToD0GzYQb- zP_Xre0H)st212gCMMuP*IY?M#UjWl@1Br-4x4i>PBLQ@$Ew+?Hpt~3#Aok2bz*ge| z=nI3fMue@#1OJ z?VN+@x4}6grr!p4aJO^LpM&K8QyhVR=HR)Cc_uyXL)~rWVES!f5h4A*A_ACx8yE<) z1_@xENe=@d{lDmlIAjiVsF;2mSVZVhG5t0$5IR&$zYPq84;6BnD`Z%6w1@zv z+Xgb!9YE|~s~te>bIuMR_OI0rAok3G*GB~~4_1J@ot;SR-z!*CwTJ+yAORn`9YE~4 z1Fz-&smK0jy3#~@n*ODA?NH18ckTCIwOoNe>eFBLCxO3orT^HU_yusWKSj_Qpo_VS zcVC+@Wu)DrV6KjO_c`D@7rp!YwDybrgq)*C}LHmP5lk*%Sn7Zm;!FD@V>oyTd3pUjeXPmP39JU zd&o$%*NAVrJ3G-fwVzkfMWi-95K5i>NatoKY~S@^lSAT|YFBN(v%6T*Cw&fjyIv(ug#eXru#o_@R%H$>5#KrKwlzuT98rhRRyv0 z>9GMlUzW7X^0Gv|505I+r>r7JP9*5do8GXHT(_Yu*bsBl$*erBFh#-lZsADIU`CDI z?N-&m?@z9`>Rro`8YP?X=Ono%t|D`>U7En01F;$4^KRlJ{oCP;rf03JH;`l0RNDQ> zMm2@Jx263J#iwe?p4Ehh&4iZ-MNYC3p1pEpwXHZc%k4pbezJlUFQugG%E3W>cU6b$ z$H))mag@>NOi@=Ty`w}n%tYq-3~A;DDpXqZHr7!R=+hMDtqv-T(wGa!rOfaLh9^gS z5-z$a9-GtB`JKBzwJpO#uUze1`CM?Sp54cA8ItZ~CnRNx`$0B90&T!mWL?KI(kE6x>6a8iUx${GoXSJb zTJ;}^U8I*wT-pfu)SWaUOLfWNy!kQDIi;&%uL!umC5a4(y49%vt55*tr1w}j)pUP*RMtK!l3Tg1 zA=l@73O~{59XxJ$5bYC6*5RqmttmKZqg!H^ZG4tjgLl2ws==yR1l{o6+9t?*%tHUG zzQ5w2McRnN7OB^RY6dhClgjgj?$u-@t@kX-ZK%QcDeYRe^k=hOx*8& zZidm*96tL^-Ljhr5!G4CLk@@E*lL`9X}>wySlh7IzaXzveEe?i<;M3a%OMFo2BNIw zE+ih_EdwJzW6afDzbY%p2xVqZbZmZ>l$<5}@S|zp*{1JrJ>DAL?~OFi@XxuED0y(- z(}y`{X4Y0KznO-Ae3auOs5UFKuk`VcN4n(=Vs{%1aelX$$osYIdrI@kEVUVUI6+drq3 zY?oH5W$4zGLD*$a)-ZxN_K|#_rN@07 zd;22O)JHvwyegfR_}mPkABkxVaULPoO&5O8#NR$8LQh6W&(Y9C5zOj2eP8%2uS5o$ zenczVosl!5GL;5PTcGEJtO+XWIsa7(Pn}q9 zjuo4{Q7)5M_2YO(Efg`emkf0e_>ez{LzuhC9l#r+Mw~Ofo+iJ3MRd z{^GJ%vBsXfOi{Hi#PjTopATjH9Bw);s&bn}eU{~jz8XU2d4GUm`h3$0Jv;Mx{thku zkQ>sSt1NFUkW9ri;T4viIu>G)$#Sxz;6zkDtj{ z-XuSG#&0x2-1yq#Uo zRr4TIW|_B#H>WR>$R{A|AjS7@!hWQF?2E*El@qvM-eec75hXXP)(^TtNc2m%OkeBD z)Y6SkqQ;&i#T$t;2VJ5qdJ)tEN7gQ~ynag6f9n2R=3Duin^j>{mVw4ib+LZ$Th|QV6_Pe2xG2DAt1~q zBmnqQKoBnX0KOCugey~kF9ihQIuYi1P3WcV_R9aCFGmDs6B58YuL%aC>+@ih81SWl z5rxr@0|7DjG9b9aG9NIe;7(%hWb=k_^ zgqk_&Zf9q@p==3NgGlY3&dp8woT*+HOK;M&(|5)19CFkenVbs=#E*SFR4!Is@N{_jU7Bzu&~Rh#i8lp5a{``$8Dmiu|Mf;gGWGPY|~bbYqbW8HD& z)yq~bf#7nZk8?Wp`QAc|LN!*@4=gRd6|8j)pPoH@H)v_NOv3Jp&=V`?q_!w!Hlxux zLjD+Pi_D-)PtNhYZ#_MFyicVr`VJpc7~Uv{MpE3*qZ^OopTrTXcrPi*^^=+=m9TE4 ztZSTFpT9lVb;SBuCw(X0U}o2eQ{nIIJyvX22fM5jI_75d2M4xpCw+XcuWNpYwl%Kl zIkLs1`2JEnJh_dLt!tt;U1~k$6)0 z=9WO=>-(H^cj;6oPEG_he&&x@CJ&)`XDk_S(qM8oOdv^xaXOFhZ4?!KhJ=N@o;gC7 zJIR3?75-#1;M~BAI^AH^qh|tg=NcdJ@g-H4oQ^9q8of~%)+O`lL@X_H%<{6ULA3B* zf;}r&`@h1z6Mr53^?&(0fn-LjXn!WB{||?Dqkkzdi!K6x&O`a<3fMt+Aa`+ zAbc|Z%Txge;WmLkPxqhSeK-jJZU9yYaH#O7)^!c<~GzzPA0h%Q)x5dkX%1VncMLO@K300P3S5Q2ae0s=yF z6|h1;K(r$OGE_{700KgD6|h2pAXLo|1gsD^gTT@VNPrz8V$U2n2v{L-9V%djz!}7z zIXes$v&@TgMC@Oy9U@}SoE<=5S&ie*5%Y=($oFxFh`Y@k%qu2vjtE#GaQ$%rRtTKI z-DVEv(IdD&<8Ctt^XL)WBkneH04oH}Z*{ks16Uz&jR;sFa0aojbKt)nfE5Dgh`XJ0 z04oH}5qCT109FW`BVx*uIDZ`Z> z8#u$q++tt4%}CZ>jiHhp+n2L9wdU%r&%NuZ@4mJhIKKIE@2;UWQu|`fIiXE`XP=${ z1ml(7!)d}R&RTgFtE`6CJlvlze$zF>7Zp8PbL(|(-_t7rL$}`8YFV~Civ8Bu)IWB^ ze`=8J;Fpo%-^}4I_Jk>uBwy;f74|OG_Z<_At2rUn_0=p{U%o}HSRcQI`ck@8qd~!y zecq|lfv-f>Ie#;k7RMNbRYyhn4{??C)H&0CG1GS8^W0k)74d<-RFvW|eadyb6_d`5 z>&<8Ho&Yn_U-((?T|?JXxopp97d{;x^V)hcHNsd!Biu53lP85-IB_M1 z&E|OK!1^U*ci)d6_t_~tE;Vf(*G@Ra?|Qu3`g-V#sru$v8QGpr!*LIiRI}4ElNYbKIr)&2H=_@wZ!aQdIo?*IB17gdNkBm; zOMF+(emTSOJ_jvo@d|mEpv73^&&L<^Y31?@YLikQmUndD)U6&OR81#DDZe@N%|mK& zB|SMTT%T0oVF`Ygjph%Lq9j7`JTHm*#>-B@8D)o;4-LAgAz3X-_|{@RWzlYCUfFzw z7-)(oJvtaa*g_c-P&1_zp{aAs5^wJ8vAeQA zN7Gtlcu*3)OSzWC7x##p-R>sz)28b~8F~7+ul8~?Hc>B-eC5d%DY7DWtMU%u_PlVf zxlnrMsf?V7#<>_L*LD$lYrbu&eiKZN|Fm)1Wc)vqQi`q7wg*9+NsZZMw&M$J6^R z-_6xxEjViub272p3-Jh%hV~Eyo;a<0haS;PE$DLYR97KSpiy(?Xb!*Xu$aZ&9#wL= z(V*(Xk*v3F6lWwq3MD<&mV6-0p-4;M@v%3;e)N;i3#*e!8T{hK+QTJd{Lk)XS8wdV zvx*2^I~Zx4Mr8FkCNk4m@Zm*SaU)APUEksl#zE2pv3O3`a~?fe4LhA`E-z5b-=fxV zHH9^_e6xJ{6kGB>i^Gi>?$lqFqMKXB)-U;Uny4~g>gutsY-1=Sq`Ae-w<6wB@Wr@u zU!7^NneJSHF6(UMfp7*Mdo{c<&O<~m=c^RzYTQ)n)+9G+pNJgls3*RtSuPcSaHDjH zR9CCGYq?$E*dUu!6GQaP4064$5ZhZ5doM`|KA!ItiIX_yW!rG~mTE>zxQHd|Mnp6D zu{9;50u8F0EcdS#Qc`{m{EbJqr@ioJH>6rF_}2jj|Cg)f{LKHliyUnMlSfz1(0yHh z&PLe&uiX{W1%bm41pm0;uoltmcsD3zunAI?xLCkF_&LCz@17{F(TZ%J?xh=&R#N3wR3}S9eaRzspIYK}KG_DZ= z)emQIx0!>UrT-S1agMm#%mGwCoFncwa{$#3=ZL$_9H0Rj=ZL$Va{$#3*NA}Xhcmd_ z%mEspagMm#IR|Kf#yR3{=Nv%w!#N@ja}KNmF9fK5kchB$Dh<(o40mPm;JAl~dob5U&SPT`yyjdQy58R2wKI`m20>U5U z#iDDiK;>2ly^h89-GP?wg#h6Xj0mlV3t`?Z4|zR1fY_&<9YE~K1FgUd0m2{T8DSN8 zAEfk*HoajL-gpYK;B^X}DI9_1?Ja7v69 zJ`i|Z4rRk%`666PuQnl6t7J@ZUu>?|mP@;01B&g=VPO@a0dWg;!x($YS0d%n_FeK6 zG-O0%Ly!CsIvw$yVrPyi@Lds&=1*5R-Qhygb5qUurS!L>TMQcC+P!bQd34_4fok4i zPfrh@jBeRW_=K&?qYrqp2W+)!rz`xHvZ}1Yx}VxyUVnJyOP=xvWhWPpGyZGi0}l*7 zP#;L|U$0wNyCEjO#2Mo+?6%IS-%Bzpwe+q=uWW#1Q9%q}?X1Z&JF2vhS6P_?0VH&!jsVybc$*uD^`u>rK zsCmK3Qni!#dcC$kie8`B2)HS`h)|3iRV_F}L!96&5U?-rwF~>ZR1f@Tj@rV88I~E3 zhf1tzg|$xyRO-@SJZabRC9Ch_cMWp|Gf`WbX_A38ar21r6Zp%$_T^dA?Bi$2-z!~r zxhjzI&h>lN?QC;B)aT)_G9Si}-((kBDcfGiuX?;~QHYQ~bsm-bEH9@b;fvtK_Bv}} z8;hou2ZucC^>t5HFg(c#CZ|bUDQ3Q2t6s^Q9Uj_~mv(DrI^VNmTbA)n{}?QK5*Hg^H6(6ctbTh*fNV?qf{-p>IQJRXb2Uvr zHmfyh=#<@4vJ}acNUj_i2@w^xagc22xFky$sQF1Kj_Bys{1CZNkJmeNvNL1g*Wd%R%>^m0Mlj@anN%dB`PSw$o(4No6 zT-W#g^#0`1YNFg`V>m1LyorBR&)|+|a>X6)xgImEEiMgN0s<9Ixy6CK{(Wi`U4!cm z(nj6yP)Fe*_X?`E>gUremy?IJjtfWy*Y11mZ(Ne4r7%C$U6Xa8sU~BJGfLus z&F$0&)``C=bn9pRhaUzk)EsF0!f*_KQ>kJ6Y}iWvpx47A9S84gBpa_tnNSCntb9&4 zO#9^>q2MT2w0~2X=eEgZw`99PquTW1Y{4AO{)vw0j{@-)pT%|k`95wH)EE!)L_PcT z;PL9Isn3fMRQy9Pn@M+y{lw@jF;gmJbHGl9G>JdrCi$^n z$g_-6Oq6!4f1X+eK4NrK%Mh0D<>#r>nK5V1N5T z|7ace;mH*KEOviAV`8GKml8blGRf9QpN!m~X^kc@ds#F`qIBX>#`Ofn>8r{=LypvR zL=_ycdh+w+I9tULY9Vx0o_sCr^wDg2fxu*Q4l}_v`|$E$mX*V@PqwS_L>}Y!gzmMs ze4W1($Z29URFak1Jt6MIHY-Op@#+KV@w&}~5E?pGy+40Ij_eKe{Uq%-SM0YK82xss zUDQ*A-EMXM)0}sM!Lb9DUIaXkCzw@E|BRaFyg;?MoWG|rNi6hbj3+a`%u-2~d;h^! z@#p?GI)>(qC4I&A^v8=HdLrFEY5YZgmA;!zkn+6HUq+1o_VTu=vv{`cAn1fk`5A;7}{0b%8NA>cd<5QLWJg#ZT!1ca45g#ZT!1ca66 zg@E%cARw&dDFiq;KoDA<7eb$|i0#J#J$_dRIL`tS5mufTLSb$`ARt=p0$1gKZh-k` zAsGab=$bJE#9Ti@K(xLA0Wr585D<28snA~zj_qPO1VkqZ81XMTaR(5+IE8?i+g3g#bwqjEI2sE1(@PSaTJD*5V*T#XjdCVE4!h0g@m% zRHz^z1W1Cof`BB5Gl;oo#Tmq&Iq+u$Bte`bV$Yl%B4X}YagK;Rb9RV`J#%&dvCla> zfY|38I0#6BxV{`f62uwYZRP-yAkGnYn>m0ah;zi|d+x zeo1*k_%cNbJ)Nw62c;R6%gCJAl}e2L)RsBLGzpjEF+d+rU~Efq4x% zdLG?=>VNL&k+9<~5P&KO0nzJWNJQ+(vwf{61jL>^NZ3*A2tXBt3>AH!C>Rk?1tB18 z(~1zno;lDH)e%51AQ%xUK_LE81^-8aKndU=K}1|s(LzfQRnt1l4)H^w6PYoN`)$sv z$5i`2KRt9o`6I=-<^)|O$;G)+PkF%vrJ_Ulu~|%AKUcJ>4dy2XUfirik!ebkN#PYn z8)*@#F;dku9d~o03Aiao{z!6+DuTe&xKM6ZbW1Pi>!6l>-Pw11`FHe{S%=FmdGl0y zb&DfTr*$9JN39sd*_OI@)ef#q7^enPT4|x~G1X}Q(k(GFkgC@TXgs4GMD(PVFMO@W z#&2p6pW@5t_+X6On|Zu2P64l*seI%)`%Nhd`f5><=neo%eb1H`rTS-D*e_kzerIa& zYR`u4>^_&X;?%<FG(x2+=fbxlSQ>3A6W1yd!=;!i9|UG(TA@`$@%FY8DY&fPGym_a00KRb=`ou2Ra zBdd|MpDw2{rYJlUL1!~%bC^D7I=EkrQMoQ%@#Xa%O~eXK$&ZrjKGHfe7i&I^Yv-Sz zy|7CA-0DkS%3@U=|1Xjwwq?aeZx!bBJ6F;k5c+ezRqEppUe*mC;;1QeFDji1s`i?R z`8iU)!dGii{FP7k_3Lwe?A&_Y+KD;_)32v$B}6sUb#pjN%_d0=rAOPJUuTP7z37gg z>T!phCjI@F$!CsFE~Kp$l}eCaeXi!NbNIu{17`0I=A;Y8r-%pD7JMnNd3AlUL|F8i z$G78i7Y8*{{6}lQo5pHiD;vD}%-S3SC#PiY z@Zf2*j(zL)<>&0WZ%IY)$G_ki2XOs_mDk*6&w}S<@SI|XZ3Vq{$k0sX6iTj-l7EN`9ZgNL{_Ja zdJrE+94jUoPq@nMS+QQZ`6}7^BSpx)?8kHV++}`LCCN6~DHZx%P*16j z`YYndQ!DOVk%$NCgl_ZYSG5OzK6uaRy?CllM*q=7j2aQ091RPCcFjHR=aaXrH>zdz z%V=5{n_3GA!y0|L9G|$zsh6)JfBTgeUMZ^b4Vq7Jtka$y02Ejyl>lZP+-ve^scLz0F2eUvz)z4bO7mKwLF>S~}xg zP|U|WOLlbuqd&?CNt<3<7Cjv(ORFaKTn@`_3?F3`c`cH@+QaslgV4Cv<(tz&=;f)m zA%WAst?EzT&L_>Ap z>ki`n@t3uE%R?3K$~wi8V^OO~r)1he3CcSM zI3142sWuGPXQe28u2IdQijzI(MmFqMtHfqFS$7UfvBCl3#h59hb|sP}gCWWQJyDPxolr7Q2849;lxi2 zhX)yEm>!)Es5wQe%2wWR|84xmAMKjwzI8OTX@wtpp|yy|cHipM#bTONMTcM;S#&D_ z-)n`}`r3!{NC|T4qqBXG^2_vhSXEtDq*#9u3%|?DnH?(P3=jPJ&1mh`)Ne|J$Vt1mN#L{OJ(?=duHOL=OUJ>xO_Z1!DV-j=#4_AP7|; z5P-V_1fgOH0&sVLAXK_Q0PYS52$L=lKwCEmLZu4?di8_t0bw`!ApTMl;UE%Sg#p){f0p3?lrF%C zNZ5gS2tW~pfN0GC5)pIb0Rhqa76ineIY{)Ihk%&%4oF1wECB&AcdZZ*x<>*OK?n%l zBLRva2qMs812|Mb5d=Ya-3m|yaRxCr9yo)Tx(?1D_RQJg8L?;14j}f-*#X3!IXi%u zTUMN34(65>R}fGHaRxD~9XNx#%^aXf5a)=y%^W}x#5v+_GY4oA#5v+_GY3!vag7Kl zf;fY_%^aXf5a)=yopXRDL7XG*cFqBs1aXeI+c^hl62v*;Zs#085yUkjpa|j&;xOkx z^#DK-ghYhu0YH-=1cX-S0YwmJ5QjMj9ua%yz>Dm_(V*Z^cL1?x4irQJiXe^=k-*WQ zAh^A%0+&`uKoZ2A#6Iin6chUg3tHAk0-_)|*d0LZ$%BI31&RbjK`jf@u#Pzs~s*0o2GCiPL2sKytax){syW9?gdj7^K5 zP1#Ac?dp8!&ETP#;G=s<)tK)GG6&I7u+*4SOdZ!H;OrVg-zb5nnkYpe+g5GKPm^_R z%%^(rTiP!%!ctX52Knb%d4M9>wtIO&Ye8B$f78++5Q>tmC|*IXUfoPIzA9 zMPJ^b_#%2y38ot7g$pRN7k)_=94}LSh}*Ir|B_)IX1((3aJ%-t_WN!r)tqIo!i~x4 z;{3a5diJKslRg`2(93%@{{9kgljAdrbdhQN4%eS#1>sFkh_eTy4e9upO*s9S==a7t zWO@YCR}{4MyWW))tC#0;s-8p04BWndnK$*p-NMb6+~&jA5|inEHj_}h9TR`O{5^(7 zpGAkQJn$Qy!|T4DjF3;J-BfeO%taebL-0z|uN}z}cvhQK^R$^Zy6!>Wm;5lzBde+- z+OKY!8RQxp_XXv;KEE!i-YHe9HO6L}B<=B7&OXirPyAEAhe|=!0^Okd^`Fwrhgl|hWf4{9 zdot-$by7<{7DxI%OZfGCWh|ckOa-5w?>djsFEKkp=}Q)ObPAqMoHicjZZ#F)vruN2 ze^1w6U&*Zk6r_x02)P;)BnNu=16YVY)6y1iu%2${?tI_oe!46sqRUQVMv6P76Z;6*Y{aq!rdOd^LJeIteda$TI z@S;i(P2UAQj#?_Elb_~ZFtHR+P&_=%J8*3+?a0#=ZTm&q+aK{BMqbtVH9g>&LRM)S zqwJ8edh+My-taJFDdC?#bS`{3u;yrlUq!k1N0aovc5zb6GTk}L_3xIyS?(MmzW%xF z<48TaR3GsxcYWW{X48#1KMR^f&+IXJMaizPJWIK3vyUuCASgAUAm<8{3EY?s z0bwQj?R5pVw+b!MBhgiKtRSpJj|8kC;82BOC3+-a4S|5L5IhJYZnM2|!p$FV&l z3Ra>=0uAGkh_Dhp60nAVAbgz+SVJHn%i2ZA|!!u(4TI~StHgkXuL!6&+x0wUzi8x2xZRP-a zBCZhuJrQScx0wTU7~&jpx0wTU7~&jpw{s5AVTg0Y-Of4aGp?~Mkl?>ofS!o!P=O9Z zoWb2@4xlIE9C5dq1L%p6h%gxf33M1jK$r}H1oT7*2onX6K!+jDAP$)WjR@$8U_`hm z0314sGl)HNc8G}mYqbN2J#)6#agfCvaOx=dkUNpsXPuo$>>n(+ngB?O;9z$Eu_q6_ zU=B!%V8k6j?2F_bKU_=D^1wugVsRNfG z{%SJ(j|_pHjBscYNYk;^`Yb~HE8Q?7N~zM}Ck^K}VXop#ne1cV4J`8d%ZVy4y=}c- z%f7cS_1AiwjfGN4$2V$%5n81L#-`YlLnqu8CZwyiX%9SR9;&W6KxD<6aVo&sUWD|@ zOBAzF6^Y~hnPU`(l@2bQI&U~U=%w^~7JVZAX58~b?6iV0_8q+W&#LrSOKpkrQ)$}z zCZ3)u=`WfYiZXYqJoQjF%iYaUFkophZ|Z3lLE9o;qH|uX=3BN6F<;ta?dP^qC2K{+ zw(Qu>5zI;zzN_|JUrw$&p%_bi{w}&#pxd&5h&OGb&x$v9A{L=>$GE|o@1{@xGiEXG zqpiQ?tz$$SYfg##IQp|%C?B2(vTP~&YUn|5R!;u$RoN)Vj2VlLoL+-Ze6=kPcxXGd z6s%a2FPORza-RIYN1fo}Hxbi2f}z9$MKxOoh9xR(S!?Q2vv>?Ie|1@P3c*)l=oV{s z`zR|GsHT;3|K_yG^D54UEQ6W~+meD)rD~hhVI;1VQ%`wy>}i!4Xj1#%A4(9d`L#a( z_4O>rwpjc7dbxc?O9yFl4Gj{1E>`Hb z=JeaptsAktZeU;Vm6FksrD&18CHKlPAXTmK)qdb#)69WA|J@6m*f z;SYrQ?;~DrlxfEr6D(dlN2c~YHo|mvA)7=YN8r?VhLR<1o6N=&7FN9Syh-b1a}U>; zJr~37CK?Q0R0&I;9jBUJz%PwKSGH?RK5*$qU-#@^xqjnY>GZxOm%>k8k>1M!rM^Wj zhO}vlW!&L;QB8iRkVH9g#iv`SFs(38hNRejT#1KH|T%i3pVAIl520_{U=Y`!7%A zz6$x`weJmhH^G`3Vlw0Q_V`v|TK5r`MicYW%bhxGS4(J8GDEd*Yf$%pv(qF$uIgQ( z`lc#9v~5vJgXC*WA5VbGB&8W|N0^C|*v(2hF=Id1TU-g0B*mqdyEeAy85zHj)e&EL zb>^eCSYhQS38G91r!O?lrGAxXn%i#-552t>S9pV|Gu3cyr1fF?+X5f6 z`{&P=jeH*&YahK8tIy97>SZ4kPv0dwHAU{VNf17NE<-Txwj(E%*+OW}G0I@~=oNe! zU%&Dp61^vjbjEzvvF)^-Lv%S7UZjU|B-bw7%d*@m=20)ycAKm4mkUw9ThkxV)ypK2 z#?)F}=`lu^udw(^h4=O9c)t7CLglddgXf$zqp|D50&P}&co(x?awXnXiR5CONge=)Y0f!&0gOq=1W^f zDS!8PFktrU+&2;Ndh;~FALc^)Q|^9p+-uo#*A7AU z2vuHv(@a^a)U+kd6fSiq1`}5%PWdOA?{C-Q-#&D(Qq|aUuO6Pq!N-Uu{?QntTjO1s z+%l2)8PiABlAfz(+$oDQQXe($VmSDs+#O)bS(MH%)I_V_Q*AY!;K=*$C>a6 zJVqqYjR_B?qR+xqkH73F|Lv;Bc9SH=*ZfbPJXX~M1z1_Ot=)e&QbNTG6yRh5L8y3v zLhmfF4Hc@hpnzL$!H7`RW7}$iEusia^*{l)+=3CIss{@2u|PnW>VX1$ED#W;dZ2*j zb_fVlJy3v;1q7k02MX}9KtNc19)(`VV*7ERV5$cS@Uegqp{fV!FCWXFx-O99NSNw@ z`b+xU0fea@D4@9=GE|uAvE3$(?d3pK4-_b0g3kymv!g)y5(HtY2MUxgK@g^Tpg{Q& z0HLbKc2;0}IS82Qfdb`AFd|I#K!Nfl2*Okk6ewSUAbM25E(rz7mjDQ@m7zfS5?2r? z>){Fl`)eFQP`<Fn?U1;>9N>UdoWb4BIiP%r>&w|~=791g7!js=pn!Hr$jgDL z9w<=01S7&~vnWu$#1+ILbKnuN@2#Lx0tz@F6?{26fY>u<2N3(5vjd1dbGE;YkPjpZ z`{!yW68o&P0|_daKn_5~3lyke;tFC<9;gO^0u@Xk;tnA857rJK_T+)89w<=31cwR} zFHoR@i7SXbcc7{V3h0Ogf3u*f2kI{c^M6zi5!`NPuyyJZAw#Pkg-o^~x4xYG_d)=Bdy6dTdp6! z?@vTXBCl9b9$1o=!?F3iDZG~e@7=|?W0t3bFUVLMmq>k6*%H?4@O6z_QdFemJU^+d zFc+oX7N6E~q4r8;+0zZj&cR~#m)9?gSf#da(pM6u}^l*$&X3&zno4;D;YvO}m#F3ic zZi~?emE4nle%aYfB8oRGFRf8yCNuKt`I#wCD&tuKd&Fbq{A8{cwi9fR37+p~8yd@s z#E;5L&GY((z9hMth5VhzlS^p}k3-$@rn7XV_v*aya8?LYs|?icw=vSXp0awBih&CG z`GbM;r9_po$jsYeD{AyMEx9v8Qa3bR!b>VCQ5+cL4ek&z4}SB<(V zaDSQ7CD-`kT=Rxd8s$X0_}+W3p85oiT?oG)ysmF7dE(RqIjuFb0oP^rhvwrJo5q)t z#vV-lbfcB#yRuAL|4PEgVc_J!eNFi{ddDB6@R&7c-YYv`qBmeNLpRSfej>rDxb~=a z=Maj<3i0+-qU|UA;BeHtyk;Kffh9xXXJYOX22 zE=NNiIr=t1b^HhO1?S;CEoSt$JA}Xd^!d1wuDx;mB9p|OtL1d+a#DyhiC4w6>hgRJ zG3N3k#Xj1!XpiJ@p0J=9c$IBb&vK=y`j7aLfEGUvZHpgt(*vTj#~Z(L2E2KE*GQ_o zRjqfkQ%*PU(7TUsJbs=c>TKNF=xqMIF(g~#eCdX_G+qVs6{;1ZCkOYtI%^g&y*y%T za0{(|P=>v=vd>@b@|0RNo@XpMMMKH-D*XNUF-w~8)K$(Q?=s&05sOcu7Wd6278_65 zim9ue39O5vhAeoPyHFL86<9Dd(QQm0z*Qbp53~UU5W6m zQBqIBn$(C-!68?EpAGMg5{xna*(`bKtfqsb%X06qz7j889NXDw7PAIK=!OU0;Ojau zj}MREJxct1L{87^DoPO*kEO+9o$%4qjTT7jwgR(mCa4R zh^j{A4X@i~cP8O%cT?ldyGy!54DKPv3_iPjW%?!I7~fs;!zlRHIpQSZYX`Y(rh-f# z{Y(D%<+`S-+e?q?Rd(|2YRnyeTzbx=pVF3Zsa?5o;cUrpd{gfbQxiysp7Qz;41OOO;OpT8SV;b7v}#_B=$QC~qYGVTKxwbJDpSN}1QjDlRMAPy?V zBZsn!tb(|26Z2%tIs4Na}; zu4~cbG)&jp-ZDh{XK^Mz;M8fRc6#ghUMj$MUAQlbG~g){`L9<$fHS&Lf13NTs~HH z`T<*k>Rh>{L$_V4s12XxRZQ?*Z5Lf*=wtT0%g~4HE=J|Gq;& z%r!3rM4$Nt0Wr5r5D-@U76xQY5JbR^brl9=Ob7^5c!U8N69U58xP<{369S@z4&dbo z12QHAgf+(t12QHE3c+p$5e8&T2nf3wL>Q1UAt3y%V$YoI>ti4<2YcphUt0%)fQ$(l zDol+O24qYK2-D4k0T~knp%rCeK*q!w#N21&3}WuHaRxDqG&qCU=bRm04))C10mPm; za1f9&aeYQW#>5%KtkB>LVwU%C26vk|fQ*TA#NB2NAY>NbD;esN5n92$^8W9YE|Wo$YHR!4)eYWP%ZQ0I}ze5X_S# z3<#N!h;R^l?(7f|d+zK2V$U6@Y9jDg?Lm`SMBtC&bN(RTd1gKIv!VMtw{Ph{|Hc~} zM(GEF%0z1U-N7>>oey_BqDrzxroB{ab_);qqLi3GmYiWwbry-@YP;y zf4KDKr`wMo90%v5>EB<{IeQ`CM6gWu*V2-Q?FN-IVHFn*G=AH-246n)lD~DJrG?$# znVq)bi^KRnyoJXmV{XVL@mA%X6`|z`@tq4?-rMX;s1)D9D(92Xp|G)ty#IpLOx`N( z{7f|>{d?L`Q+Jz_q%X!<^wQ-+?^#acFTB1!U8bWG-aU7p=6!UyWS8k%`rDtQ9&{Se zR(*Ig-yn$RbXAs(b2(aNpx}|q^KaeulV50GRp`CUI+2jf^hV2NKj*+VB7-ZpUuGDu z3@H(i@GZ++zPtZ-d+>FZeXfJ6Q;`j`2P7ra=e7P1bMGBUb^rhWM@9B5H0+(t;hZv3 zWJ^Z&$STTAMwG1Vne3DXLiQdB*)v5}LPC-3i1?nm-q-J4=k+|l^ZNLH|M>iMU01nX zkJszCpXYeoACKqrOo@S|&#(Y~ysKS7x-Vnmv#E|UH|GYbhZS@o@K0YSq8>d{)I=xCvYks7k+}e4P(W1=uN7~8Q zIco>kHRCGE-k%qq^M4Z}9(2<&ChKE;C2*J9%pvj4{=n1cvTxLCmDmzwpWo{cTO((B zcckJSlS4G^kH_z`a}yH$)<4sKZb|NmmEU;SZ*kkr&SvIjlVu$9Rd;StUG~wNhy_Wv zP21tj5;9Ng1r>(;mqI1U0WC&N?x`MWOoX2mwO7yT6_}if>lZF|6;r-eb~PD~d&Qc; ze06<$dDW=wz)#5n-__P6dGQrLqc8)7gSVfE7d;ZLe0#^QP&P5?Y;#o3yZc}8A~+>a zFGbvpUr!s_qm zxT+hw{1v>brw+bh$eUBRq$^=N)}d!$NBN1z`UgpFuEMj!jnCA{gHv6S+znU#L{Mcv zh(_nNi;gj74rx4Ap5YraWPQ(()s}<|Wl71hzWmwhs#8<|*@psT*u9Q(5f|Sj&JsPj znAEvc_T}^F;l7njMqJiFq-Jq-Ix0LAd(|xv8Zxx+duDAnAN0A{)*f%m z8uWE#F{dl7yE~s~=FUwJd+licXj@WjO0Zh`3t9YE%ll-@SUW@>Xfd|Oh4#MWdHEp4 zRq{~Ww4=iSK~c_?#|eFB&$L)4^6M1TT^qmfZo%|w@BY^(pV^pdymbwtn%4H_R(aYl zB`^}v|NP4{8^W5Ri*i}U-(;>0YgZue;Aa-5cEoyxHMujE;8koMHLK}5+(ByF@q~}O zM&wPsGo1px2w6jKi-@ANJz~YSOkaIq6IENM{Vw->P+t#S31W`1Z-Sy~*uYr;;kYr= z#&cEPLi18gN$<_zZieJ&u6aqja0R`oAI*=ONFO)u6ZIqFq-bO%jX(tH7KhV+`}#fo z{a1sow+iAg-#nwKX_Rs{kF^?mF5e(<$TnSee-tPP?9xdWK9@?*(gUNu;i;p zu4+9Cr+LBDVdpu2Z}GM-ok+ggbzXI~dronY=>&q>RuvCU#fNRlsT@e0;FgNql9X1S zjtcsfj7mBH|~=t z#JOyK{^6z6UlPpHzi*>=Ussa7*N3fdoT`%RsVmvX?XT?QiP05(AzX>k=47X-KDJ)b z$R$BdBG~HplI(6k{8Yh_wg9fX+|Fl4gYzlPSWaJJr#1;P!V3{ne(g;7<0#vGtD{Ao zMBf9OtiP(=mXknbD2!6EzI@Q7_n?oGFQV>w_-h9uK{5^Do*Oq#bDn<3BcSqemcT>~ z-;0|ecn`B#$CjSC=IPU{bT&sT^MyJm-X07kHMD<(610&xWbncDDYBlT z0MgXd?lsG;>cEQ8O`57(Nt%aQTJTJ~i8R`rEKp@{9c_E!ytQt8yUY^#igb@m07Xy= zDXS^l5h03w+YKI76O%D(c9b)$ezNJeSb4~(mQrR_&3dLA*>F%fT1AJ3zLA9376E{N zt~`IWo@38PA}}@%#6R!Qq!k^*q5!_@MDe{S5kZ*o`9YFqKvteU~(u&WRk8`2VI4O6L|A0Nh zlb5lj`sIfYH2WzOw?k%?2y_+QQ|s8SKG>%%H+xjoc1%&jzzY?%nrRf?_LTm~$A(9> zBTMYTKR$0gcgbMcseSnghalq|difIvQvbfRfERhqolluEPubtq0Q;hIht2v|p zacjq=2H8>c`Qd8*$|iA~+NR%NOWDPWhtk>$&%Y*BHXihLzR0wZwt`oZ>SZV&vn}D; zAhc6UwRLVyMp(<9ls?Rur11?YV%Qxi-a~zrCl$}0>;k*F{LypW2U)or1!DX!5jZKS zdS%JI>XeQWv^c4`V;Cte`Nk}EGBKRY?sHX1UAz+Ey^{t%N~ceXXR2PywItW_p*Yfz z)^3$U)3-xywx@x)su?ts{8gv$e?OC;{bga{-=`}4-t7v?ySpf8xmfG#T%QoI0-hy}#7gJ6T0iCNenW>OzEh?$s$ z3qpz)V1u}o1O8MY#S5?_VkTx`gS%A@qz4;z#N8?f(t`~<;%=1#>A{8@5mLMW8{DmO zAU)WyBkoo?kREK<5g}VB$nXgMc0hWtVMpBUn1ik`!ZjmfdDPvGIgsK7xbFx#LK-%R zd$t0-Z!9h+8~ZK-}U1rkIfE?Am{4fqz^6goNR^ z&0#uf*M`L@YnFBAsOJ0+ypUb*SEY`WRGJ-9c8%{%7b%8xG=_%#U zCF4%b4>!&a6Y>SBE&ceB+K{e>)Q%D|Gb`USyHCujP<^!H?z?YfjtO}*grjq(j`tjz z@|1H}@P4skI&CQ{-DUsnsXL{?%kEBR!|R_4Pa-&~^Ny6;eNoez`)>87e)@*nP`nLi z4qMUS@$%z4IYU{}dmgKQyz=P@r&0Ne)2+MJ$rkIgaxcm3w-2)PzGPtUB=hobWb@N` zbe;Ty{s31(lIdK`W^dXEX zCc6{I?pRpSaJMPdQ1%_p8rgTEm-NF6yk+$k%{`l@u^d(hBs#9$akMu#TJ=l6^4VNt z@@lB&Jp*Rx&6+PaSeIs=WS&Re@L){6u1|KL(^!sAv9C$vYpH?TCtm5fw(AmeW8NHf zb~ntNW@HC4Iqpc)J|3?4QmQ2(T&bj)x7l-@R}6J&;ALTO-nKw;c^Tu|FEd}g5^?bbXun$^|Lze*K&fZSDzi) z;J)OnTWYMuU-M)ryPf`VQmf!T%I z_ze*xp(EXozr!NgXfr@A$nTjZxw!WePxN=^Ti@RmN^Z*#-zm)L9z-(UJMNLh&`eLo zO*uqh#CfoCHH|esK#g(Ho~md{rm)dbZY=VhXf3b*%0+lQDB7@%;Zgn|LD}+5 z3UB96b_2@CTG{*RPF&698@GwzG*7i|C1byE`*p~~!{NZ|xxySNhaGMyBS$&OQ7fHo zuO%g?n;Q1YDJoTX`I2_i1X+d*5m~yYo+>s!r{o`~bZC8!Ea*GKjr#f@^>-Ss7LT78 z*gkc{|Yvq6chcL6S(C>A?@Bi9eIuQw}fI=dEt)FqG6OoXk z8~`9F4fW64{F&Q{Vw1n4_LoJs%)D%V6pZnlPk z;EncQo+S8-j0MD8@xqSy=Zg1#6cM*_uskYeiU#(h;vRFbM8w=H!Ho#9qF{rVdnMQ) zjM)lw_8byoMZu1^TjfBkDA*Bqs~m_G1v}zyl>@P&U`9kitSH#vE|r6V^wGkOh`Gy# z4enAoD2NpWJK`>tgM#$Y!j8C0<)9$P0mF?5v7%suyHyUvih><+x5|MW2MmY^N|~Y{ zRuljPrA$$fU;%N@R#-sX%K5b% zgRaR?5HAY)lGsSxD;;bk?qLU*GDSgJX`v5`1;i~L;DK%^NGmNgA{d0YQ2-DqSBM)0 z8^kRh;MsF1h#LhvBJK$bm=;F;Q>u za9S$3yB_THX1*_!s^O>*{2=V;UE!ykcLFbWi+}q-q3!jBJFNqcN+huK zf$XnC;A#&zEae)><+Z*Ew$wZp;~8IHe{9T!{a&ibg*YYlplH%5F8c80O>t_;>MNB? zHOrG-$BvrU?g;e*|hIjwe|=eG3y-ZV?mxWj(YY5s@rT6CDku?G_x z2X=@iL?(s2YWC}rw#W$MZ(mUit)sP3R1)T=Z0)gO)9mxGp3%=MIXv?CzGsk7f6CJq z*Ogg;OZfvns@H}nh}j1!UzyQA{q#z#)xGlWh(vzXrEp&?xAhGx%sw$&~AR%cyOxL)>(2tzt+1ujEX(Q4P^T3rzIK&<0rzF%-*@~ z@EUsv)L(R$TZmg~D?z;LHvekVBC_?7JNw|=s|iO(^i?_ZBBmsrIZkavP3ziaH)R@S zLoXaSDQ0p!HOtl|DLiZG(xcum4sI3m))VA0LarmC@{LI649UFv!u{8z#HOfgq8y|n z>h!~+ulXz&3B}P6uh5mUc|E9=?QA&7not)=p-`1}yeWd&Ta?zX!z20K`=$WK=0(3t zUe01NNp*u|kMGJR#|CFm)!{8De!Q4OSj)dn%C({^6Gg{zSi~>efsRX*UiGAgrAD2k zu1{h5u}fn+x);rTye|6lUJYoNdB1;F_t^9ty_@+Q|cCf zYNW16`zbY64jp~dY8!VthDfINUeE;lN6A3d}FqzUy-uMFzaj$|S!a?{&&6KFcP=^wnZ$+E@ySE*}Hiv*I3G%c&e! zJDF0W%ZKd>go5+m_GV5;G#pq~Ao_HuQqJsQl#tcXfr(qkI5`Uq?quexm7wpZVdYj` zJF-l7AjkjJJt=o@~rBQo- z{foKShvVY{ga%{x_XM3g97Fit1Ha+kO~QsEzn|e6*8E?W%#h@>`)XoeQ^Xz5LVBC)3wU4&~-uMJbn~#+kO?)i3jp=*7|JQ;RY$ht@7jA7nL>?=QEC z^vdObb5!4%Q(oMfcspq567ktjzb>djY@8ei)C8mcI_~{{d#)b^DN{iGu6V$i>qkM( zSb&1S%sUEFrT_(jrNbylnF169ngl4w84FMlnCnMD$`k+~DA$jIoUs4}fw_JZI&b*5 zEdc;QxqcMnj0FG)%JrilRSEzQlgogS%A@ z#9xFRakt9(UGV*9!3XS!yHyUP)eUyU-6{vt>IOF=#9xFB?p8UFRyWuYcRS`lTHRnr z-0heHX?24gakpa*q}2@&5$IIaom4V-6M&w{m{1;~>vQGxo8mgu!9A}tsDfPc>o;|w{k!ynWI76$`L~su|ag=u%+7JK|Oj$U;LW2>(>hzZM$$&R-bEZG`EnXbme; zzL?craf%&is8(nT-=`SON<`A-{8GEErSP$_p*aU$Ywd^Bz_9&Fu4)&%FIRe2*4_$f zIDvBaZoT-P<0!8py^xU6?auwhR)xx=T`_(%dyiUYvlF_d_+5C&-%+Yq)3l>M{(|xD zm8Hd%EzOXM9(?lQ3B$g4dG8N#j=jZ>qvEr!1@GhR2))IVH|-MD13Ftjf8Hn17MPWt zbeO&qA$_6Zt-ng@Xooa=vncJ3G}Qv0;0}q>6CZ^rG0_F8TnBnSn&+bVZS~@^eIw>~ zjR-n*dW_sV1Kx}%p9z1L>bH62UFN#JEm_44Isr-K_=$X{sf`6LxBN-%wz{jn;&yaD zlU>&0EzB(Z%insgOp?ksWIZ_b`zj@_ve4X=jOg!;R&57(Ctuv&!`JZ>U!Cv*6*8k& z(}z^c(r=Jz=-RCh=_%1w>Bm3FC9_hKrl$;@La2zIO^T%&5DVqt8+$E`_)2}jt|-8+ z!fuGEMRWl0BDF5%IaralMewc71`X zdlU!wf4nSYBdhceOitHB?yFaF(>>H@q^xyzbeO5zoi5qdm?Gm7@4=)TA!FV$cf|@$ zD>FSl^!-WKqo0M3WETp)*l*ANEPhmCv`iR(UOcq^!4cW4N{4#hk%&;WyTpD|8=a~5 z%9n4`6TUGXrggvm#$4I( z4Oz+gR%zn36n9K=kW6mX?bnKqXN_+LcVzN)2Zj1NC6HvHn1Zqd6wh$)WlyQzV=2gu zPoO@cZEO3LTxW^#?#p4}0Y#78$H4;33TKJiL{OQxm5S?q@!XlVMg|iCUiZ1AT-FMB zc2~-3SblKTPN!UNb~Nnb`}VI5hsphrNPEMa+R^hLLVGiK#N?eK1Ii8R7Q2gsb4;Q; zrnLxSL_5w~Av#YJUsE0|VjumKN}HsN{>P?~-nJxxw)9KC+H0B;KXdWbEN*nXnZ9gh zB%FK_`Q*)BybZ6w#r3L*1`WH!^Yhu#DECjiN3@T%xXn$e~8ZYFx|Y|MKmPrlif|HKob=A^)nTsM-Wjy zmt6WvTAItAc4O)D%A2|MiLD8DSFe>mm1|+v{ubIl2CIc_3t||uY6#uPf2ipv-LGl1 z=|(hlTI1Wh8DxZ99IPAi+bB;7YmYm5ZTX^ZX_^RsG~Voonmq5B-VDzDre8MgovL_l zJ|gw8KK6=p`Xa&0XlGmJrXZouZ>K^I9F-`Ejyd4Qx`@|6sL6fx%)Af#2-%a~FJVH0 zdYZZAk>@N_i;0^RN{%pP2rQH|3%3`Jd~88kbX?L>e}CP+C)~b*{Jw=PgYJ{Y7tJN( z{nwdw;{u!RP;peOu%(W>ONm&&sGV52sTOv2|2|nYyL3MBFQkz{9)};uoHE}}|D3yP z&9Jtsxc$4@(wdI1gn7<*fgs-Sduh>#k-Gf;reYr6;b|G1c z-|-uH)TqtO%jMbE3|-%QA|Aj4_S(jej!AEfBHE$2Wcu_zaUMO}Z;9L?Cw zGHLMedmR7Wx1RkxJtB-al|uGzsBzE3`0aycdqTSvtfA%{dcOH{!STP`oI^h?#$pBi zC%@J`xXd~9k6|oUKp>zI2mNCh%Ul2m^4QQnhOt-yfapI0EFCZ#tN|d%oJ0Q@#$p8o z0?aw|k6|oU03gVmL;cfO^+(bQ1Om)CH2A0L(AD%H5Ma)sL5yWC2n3jOXb`t@K;|48 z#H}1qBMutGtsHUS<^j{W1iT~2oI^*%SmuI2fH{W-aVrM_Fz3)9Zsi~V<{Y}R`Ojr8 zSO6e%4h`Z~4gz4#p+VfrK>*A-G>BU{2!J_<25~C~0o=_0)m;t#a6sl9IwI~dM+|U2 z6B@*=95KMz@MsXXa>UR>G<1W6>0AP|3i2&bLYU4ad=R&CutdbI94sJi>61H+MolE%OZk2=C83{k)Zk2=C83{k)Zk2=C z83{k)Zk2=ST*8is>0H7GcRS`_c1FUFxZ5%3uai5$lMeW6h3Q_1_4Mgkrc z3y51eSU}vf6%Z80bS?pp`fF7MU0MlaT9^L=61R4+#l$`A0If3g;T(V0cLE+33y51h zK&wm`^O8|OM4(kBjM*Fs0zp=pFs6420zp=pFlKWk2n1PW!kEq_2n1PW!kEq_2n1PW z!szemUv;`55VYGB#&j;>gSeFgw914rn;~sXffVhVp zG4yf>vM&?HbS?q!2sEyQ|8g$>KjTVR43=@F|I4_#^*wK<`FwwBhm_`MM>H*uX8sr- zMZ%Cs=^WC^Fo&Ia6H zSMBpzFB6$Kloy|JIbWy_YS@0c(APVp^P{+ez=MLKA{L`h=Von<=T0qjaJt>?_IpDl zy<_umOq6&hVtS}f^m6Knb^J0n{6l-MXe~4~xZcisZ7y0Kk(8WSL7a5FcjQX5agPc9 z&$#2-Tat?r?~i6PFZ@)nVN0gD9~U5CE<{dffVOCV$5>)Qh%;V$;Xu9kheMyvWs+2*UX-(+V(-oG ztIS$1^w7DUCtf(HWYXEbdL~?W>)y4nPi=b%o+I*djwlb7`(`LA<+0pW-8tB57qS@o z?tG)6^R(&fGPmfVYN}ILEZHd4cJ3s+Ia}aSkiBed{93)poZ;N;nnCvKpOq1_{U@Cy zve{G=4qp!rOg5Khqj;74h2=qBhCx;Jc+Jj+uUn~pp6ge;7pk#VDyj(x{#0rkeMScB zQ29h%od#|3k@o6T@6Zv&LPP%n|5FlnN+LNAq8LGh6T4EcG5~a~v0S z4&;>TVWFcq(D*@z#XsaVPkb6(K9@*tUfI-qyv~8DH!q0sd=@`!5!PD@Td9Sg8xuTz zHd8H)J6tu#Xy36dqLi7>>O%bs4-el|R#_Lhd~j`iOlS2-nX(J_`OW@>8bee4`zHj7 z&KN8zyWZhAq*Od69mCD~*`$T9dvcs)-v{G-N}3*)6$<|9v+p{@Gx%Sn=ir^cZ8~Sc z*7xxgS8lwEv`1iEKA|~%{o-Qzm4rgI@1}0Sx4aG~-gPd`7RRGKkdv{#(CRM2SijM@ z{^T|1Cux5rCqEgxWRLWi0UC#Gy)BgMJHfP*W{Z+%ZMf)6BfHKy2;{2Y=^1c)sP;JU z=JRIZ2_-V8C`va$_u>oE`J(WSzq80RqMy3y;FDL?Z0lOvdM2xEVR_Oua1W`SQM=`& z2up%Z<2T_>rmQ6l09u^%MbTpaybt0;*-F*%9wk(h zAdlFG|Ng`y#W!Lk_OY4=7(}=}vPY!%aVnM-Ohs0|)F-MMRy5#}Dq-Wzv^#k^*5+A5 z%M^QvLR0LK<2DH|thyfIhoq%TBT`%08;?(|eO$Oi@4mn5a757$@f@w3mptSz6uuE~ zQ&~j3ONuCcpXzKXPyO_@eGQk*cS3dp`gsb)p`Uoc2=hF-fuomwJS$J#=#5ID>#sSP z(p+`YD6nd0A&!@V{EONCM@+_fB0t2P_ntg0dh_#GV)M6sMR8W_x%S#I6ABCt>uG;J?d;|LyjZFlNaMO89s63{Lw=7_;OB0HP;a&~%Ks(*Z;T z`BuW1E%ktiK;KFj^MGdn2(+JsFn(7~($yK#=_;4DlbKAkcmihWL*F5V(?u_>TY(C^?A#2n7-7pMfqNA^sy2 z6h)gU01$Jr3I&0wCt=LaNq};&fSB18*b)C6ufUSS5)pHQ3_Bv`HU~C{xj}{v;vRFb zyd&l|2X;ivZ4PX3x5|O|k8mSG{72Z}Zj}S^A7Mw_t#Tm#BkYK~RSv{|gdK6W%7OTg za3ezeN7&$Q#~jSgN!X(tX6Gb)aJS0A?3{!jakt9B?3{!jakt9BJed`CM2P z5dea`0Ab9NSwW8qTmwM-M?geSX8xaB%K!gYR!A@iW3~c=xRnD=utWSu=sRKoaVrN4 zh>!IS8OuA^s!aQNbW? zOZZ_#I&=2Mod(bHE^sF$WCpRyi?%GI$-3G#lRqp*$NE8P!1S`FIFvc7(2tzqw z5XP7T24N@%48j<5fFO(!Uf7|KC6IzdGaBZn*o24N@%48l+j7=)o5FbG3A zU=W6Kz#t6e06`czWbm&8^YlH?sskKxx5|N$L&ox`yHyU195R-OyHyU195R-OyHyU1 z9I_bTIw|x)`IvokfN2g4!kDeVAPnVzK^U_Y7=)o5FbHGJ0fR7<0|sG?IbaZma=;*r zF$W03$RUFz2P21!1>CK2Fi+nDjdJL+Vdyjm>0tqUJHQ|e<$ysL$^nBglmiA~C(gD}P%FbG3AU=YTb0|sG?Ibd+N%E3H+54Ol*9hj%@0U}}n zaVrN1io(btV*zn12MdT>Iaom4%E1ESRt^>rw{oz6xW^nUAnq{-3y6En!2<49IWTg_ z;E0e07U&cp3OJt{B?=>lj0J?D9B@P!$^nBglmiA~CLpeYYMh+SL zdxVig#sb0^bHIcdHy2b;#g|FmlLPKp3+XPyjIM zkg-I>t(;%;KXefw3ZoDi8;M&xz)nq37?sFaK-{B_IC`RhtaLTquSo;_*XqnD z(ch;iX%b6I|LUyxRdj{^qd)(5|LMXLL5jjWKJwZT6gm3%$OWOm{;RL@H|A2MI1s_d zwYo0!zrITDN?zC(UJ`9@MZyNl#xL?sC3R zlxXHVXe6Sm+^=2KIrr6h?MICj%Fy1LxR-jwbO%kYl{sT-O8g% zY=g;D;|23sf%DIv4QyI8NF$o}$dyx0CPTPn?%F!mJB zFuu*QLUTE<{e$(lI1O*a-8l*RoxQAWg_qLZFAgfWZtCQ(b|mIdhBl5hQ#mXMVds3!1IcGhUUmxN?) zIP^VxK7M0~!hj>4y#3xs>tfOix%zKv%$qyYpI27R-RisGwp_m6@uW0H1!2N;v~zyz z_Dw|nQ;GF^ zi^jF)Qp4iaHH{BER!kQHBW@ZZj@>Rg=$RTnSfF2|L*nb7h1isi=QRA9%3Ep^;aPej zKcm5xZfdP>dtj^c!t8ae61!I{o=2(d)~@tPi>;QC<1NO>l#KTZAN6!rd?Vkw_12@J zvm&*HReF`=#{Ey~=T-|NzuD)G%FmU#XzU!wSVPS8(BZ$Dk>tIt#l+L2@~X&qFYZcQ9XcEP)NAgwdX_`zG zeB=JHqQu6t#XB$K>^vi@Ia6Mpxx9L{Eu$TY_GfM z-F=8)_%3qy%lH}fs?e^O%9bUe_npsL+#|?SW{yp~OnXE5+9;WpHG`3|anh@xT3YT1 zo=S9MFHLz(@=sc;rYvdq+9!yNQW8riu|)yPCy_-;%De(?(i|Q=C0?XKMrZq$mikHG z)mN7nc~v6f&nu}Pc}8KpV#d^yHObL7;;0v|E=v-6YDgzJf|X#3O>#nu`^~$mi1gH& zj39ZFr{6sKZl7m}UgJO-^NkFdPae{&Ag#3P*WR4GG4U;{&niD-LDlz?LLlmt*fb>v zeoM_Bs#~s$Uag%82AA7kyRF!Fq>@uaW;c`?Y))KboPXMOVDXT#`osC`_tAwriHi68 zs@`jb&Jp89YzW8^oNabExL!Vqzw!2t;;ToSH>xtpXKx(L@KU~m=&ZDTl=4WE`VewNLPEJ%cFC8q&J(!kE0|m(tg_? zcEKt4+n!TH&M9{ij)vSd?G5-gzaHiF@m}D!%^Ux{PvK~+SH1?1>BuOB#h4x#kl~2SrKm6{z;&tBYlC8~4F7-U< z{_a{v0m{ec!Q-}`wL?R^xukbLXTA*9X_(n3x1mX?_c~*2gYhC?ajwBpjT7Xe;pxmL zD_+LQyt%1-G1EVNBvmE4o^bluz_{%}jSCJ;RWC{CFHe5!lT|(^Olg6{(-cwGlGzlD zTo7Cukn3!4+OgC9`kFFelRRfMSwdE4Wy(^YTldP4o!q-`catX58l);(EF27-xs-`R zSobw<-cb4J9<8Iwzl92(`)<-4Um^P9`HOVUEjM10xf>>Jqz(k`hzO~%R;kz|y}&D> zgCZ1PGwPpTQSs3$b01*-%F+8)FJMm^!^n>2?X)Ln{gg@XeTr>qOJt=P#Xs?^dSU%} z$%`Kwgm({1C1`sIT|AwvArp#!h51OTLIou&U2GHw*X&B~V|DSBgKr%KQd$r?GxGLV z=UZCes-}~>`^1gtS_e0g8A~5Jlz)$qvVV-$ieN1F<+c#cbV-bYju$;Vkh(^ zX>5$>&0eW2e(e_3o8(BbrY%^LGS;L1&Z?Pq=7OK%BL&t2WbC^V8 z(L{0iPs+-qcBIjLnHE~R=4~E#QbEwrdY{RGnJZSUNw#YN$27hx-+1C2`!qXIcd6qt zUdp$8!lRi~A)ejD273c`QfrJ&yn+rlKfkEXO07&RNOk5m{`CWfSv-^OUr!6u8ckUd zCu@>l^h3T-S6G?ODPBp}a+kJQIao||qjFHvj=fp@==-Utx(u_S39?i9i($-ZYLQ#) zY|dkGNj%f>WaYK%-vy-1PM*CUqjZ63z;Uxl;e(8R$qjeaJY7M0&*r#3<95dnj~S0~ zeltipO|``Qsl%=*b53jcj(}%f)2E#@bCSvs%C#2G zwuy!#MXI0tvdfA(YB>q77cMj}vVEa_o2Yd?`PqqA46USJCPTl3jdL?_eJRzt-oJs| zqH^hqDtJ~oJV&*vt*lVP-5@PvwDh&!nJvnfL|JMdF?oDM#Y&@QmdX*i?zP89VjJnt zXTGF!L-lU#xT5+{b4#5B#(PMD-~^>6;Z6%-0tkp(QCmM&GCqWn!j7_K)aq? zob^j;{ojA>m{#K*#csWiCyFM1INB)kc$9@+s^~-FNqX(EnP<0;5iyr#@~!BxO1Yl1 zToqzP^90P%0^RZ}!7T?=m@Z#F9d>xX!4tuK1}UFkQyllKitZycc+%{1Nbk%;`ASK; z*IcZwLGm1Ybk;3Tg)hxMla&oAHRCEtn6fDc@njS?wyfdM-rZskY-MGmRJ07L|Xm{~&DAPnVzBf?M)7=)o5APA|zfcuV+EA__a=Zj}S+ z;sZoPpTG$HT0tr>V1qD}1C9toIbaZmazLOMqyhuxJBmTN_+W!DlmmWL7-J3?grOWT z2xH6vgD}P%Ft|(Qh@t;)I9JHvh`5y_j{eRr zw{oz6xRrwi#H}1GAa3Pg0dXq_3%Fb5Kq@fcDhE=50UO+{a?rCauCEpN!+}&_zM;~%K0me4BnNCgWqwt z%7NTO1^XR$s~i|PWGwFpLph-H$Hideki~%@j2to+5XL$O91(_cz#xp-3Jk(f4j6E;495NPgx5|N$L&g$ux5|N$L&g#jw{n1&35h|v_@HY5EFf;>U;%L} z2MGSUUM?lA`oh+8>WK-^;v7I2r!K|rpdf~gz?j2tqSN8PP* zVC0a&5g}cCaNiNq#RnVQt#TkI!NHD*TRFedPSD)buiJ)keIb#c3M~ZWC^+b|VgYdv zI!MsX(gHwP7SRx-Vu-QBD59XynHyh3crs+MV{=$$nCV`j6Pvl_vO8sqkbBU|4^Jh{uYmjg6RvL@PpJ}`aiPHPbLA-OZ{OPkvFk=V&x`<4f4H4gn5 zT7$)twX2I8+Vy!kg7e=#MDN6Y$S%$5_CKFD?fqQ3d%FaG{hX+*wBKUwc!k(xfZ`X@ zjnj{2FaYo29L*{$E(*L?{q7^Yx2BoIQ{BfIzb(`%$WPDD7l#E{TaSF z(!L*OElOk_$Hy#ASas>2ml$^ACeAOJp9sx5#&~bBwcu>F;Nr8O?$oT@aJLrMN75Sg z8Ig_OKgg0B87T&_Xv1w~8UDCsEaIpx&3^aMfTV<) zhdQ~L0o|DXp!W1lFYm0fPh_P#VygrL{n4!c5eE4rvUgj^8rBYU&C~4*S=YP%zQE{| zdiLsulR8l>wMT64TtUYJWztw)6GhJ;sw+eZENLBzIYNbd6R1nfsgs#3wH~Bu9*e%A zkWa78O@M#v4%6LHhQr7gwKUJ_QL8>#p>p4N$AqVIxr2=qx%YO4P}Hr99QOVp8+T1G z#6iW`+rDbbgC!cB7mV|0v;jC+AxFeg64w9o^@V zk44JYs7??hNzKMkIxF21J@iwEAa{(|eV-bcXu6q^B45~dw-kk25w`n@%rohxH1PH+ z$ln($`f-9rRZE3*xz#VKIiWh!`*We$DWA(Sm0lFv?s*~IjwPdX-Y@%Zd#Z3Vj?48| zvgf&w@CPQiA8gV{2pT$5`s&G$XH~rcue>##^05yeX`e7oJ&#SXB}?UQqb--O@pZiT z^p4NZBV9F&s=S9fSIv<57Y$SwiXUF6q3S-syUsCcA99Ifbt&Av=k;0BiLV*%CR%!m z4)t23{l1m2PBM?;H>BrOnHXp~it|5r`r+u1>Bnp!b9?(yFDXL$jUeaa3EL7Sp3Im* z2Szj15}}6TL-|o{h>`DXN)^bvHv~m=;#@CKWvrj=D@ zZraEC^-o{eG;fV-pt8Em8R*I`!VtR3Rr6}eApGfSW!lJ|R-W$?ELtZE9+cjFG+G;c z@q^l&vILQA;{AS>3?W*JeCab@NI?N#w&IMlRG*AR>_+(C)FXM>&v#u)=jcYZ-(_Gf&&s zcG0FRIwE;DE927b&4{0L3xCSf6sqgc+7l>am(Rj?w@d`D$S|`br9!{z=G)wIA^!-| zqhukWx1AF9-{Gdg-&s~;*+**gsJOPKMQGdn)R74tqO7;0!4*&UNpm|-@Yy>#M=vqm@_S>E5-DKAKA5k%|3d8T@rl!f zDK{9t7k<$ZBkxet3HXfNH;h-))%tfWuI2{xUF1;k3K(s8FA2>%{G(&pZ<}+!~`41f*tG3{?e zVtU-O=l4Gkc+??zK=x*U@4@KD>?j2WZEEG*-pA!U@$Ba`Mq*Xa|DHf1FomGIR@YcP z^+>RM=AF^X{&-c6My62yP;Y9#rXA~t_WT7=`UyX@>y$`Bjvi{1a8@VTziqfgB(QMZ zUr7gfg`bv>Pl2p~I!VmZl=R1{o|yiQrvjq%MoaWx!Uwo&7+?W8jaJMwu4pLCCW=j{zZ zxjf?k@zq}FB_aB<*k4-_^elfCeT=TK@b5j;?|EKO-rYq(%jKer6|(I1O|__m1O7Vw`{@xY341mp%U00_zwBOtyl07Nh7APyq};@d(&3G~&=01$Jr z1^_`8GXl~%4*=24$k2!o-xdI(tI7c&<_-}6qTMS1h`B=qfaq3t0EoHH2Y{d&JOre1 z9sq(as6aqYUj=}`)jFha9tw)1vpRrx#LP+oK+xr{2y`*<-x~`6h`y=^5D{~k4*=1h z0~Cb#wg3>6UPeHCTL1|BRR8Lb|7E{IK?Lx_f%vun5Pek-;2kk@3jh#wWE%qF+X6uJ zun35Vxj}@2zyn4R5Z@LG{)z?)iCa409U{cIg@%*>eykAV7B&cD&;dt;G3cNL4gGyU zntuR~3I=gYM*{fufL!4PhzJI8OGg5ofdafE?m-6&h+8@0=u%xkL>Pk(`f?a3_*a1% zI=AqjEC`m|!hdoR|H&=7C$C5@ zN>$+#R6V??FzpidMFx3P`q5&ohTN-syt-a`>AKG66ah5yJnR?oRS1nA$G24!re2%% z7%R*D$kwpl8%89%T@~LeR+%Dxd3b9we5al!O=`SB$c%pSWb@A)TN{t9=w=2757P^p z(=OE~!w;=JB;KMmrz&llSCCITS@1F>fLqR%ve3~Kk2ShUshL2lYgXpdyR-d`uXKtM zqdQ;uy;~TcSeg=$Sc)U3IO9ohYL!!AqQ zC}F^nV8LFDk!)ecEsB(@T-iruTL) z^HqYLdmee*Rk0xv?FG^8eeFGSsM-hI!jCD>6f}x@+<(E^On#A!XYV7STR93}%qlCS zco|Js`kaKlG)+lz@r{aVWQn$*jDWu%;Lh(z<9dS78`!=*mOmDV;U zR6qou<79|-xrc)|4Tp=afrsqmXncU!h4c$?TCs=s^LOUx9)EhIJt6H1f5~fVh0{`H zlh?ypIphdB zGn54lYr5IO=|<+o$ymCyYK_AxuQyZw&=o>bN`H^(saczOYBhP?>1BCwSYgvwE4wl} zl|jY#Jkc%>A`^%7HR3Muv-tQj9V%>N;%iW)E7a5F?|SvFYBlKCxYTI|xrP(h?Pa*R zkdfb|stR=o9ws?J0 z;l#i~Lj60b{sKY2=Sk|{hV)3B2&rOJBSLutM*E!wvxC$QP<4ry1jU#m6SMnuF4m?i zYyBM15V^W-*V*;-=UmPc`<|PR+uL5;S-be=4e|LM(YR`!!mJ5{zM^rwK*X1l^X1TH?ue#vX$O)ZNx)b~KQC4Nfx)q-2^EkWlRna4Dhq+hB z=fdpVHfo&|E-B#?g{!0#B1!H@K4v=dPDATl`UhG*6~|BCZ9ZKpXR*6Y9#B3^lEOrk zO7k{6a3tdzS6+(g*HR|Az~?8G^^VHcJMoo~G=;0|rOmDJk14r*4RysaN?k{aN^;5f zRbyl2b%bg{qzU>o5x+1I#t&XwI{vk;8m%P~9mpviy0^9H{ppIzd1}!9^sj3b|L@zm z(Ow7Yw{7uzd;0%mv-v;a$tp1wZ05cq<`UU};{L}11&yD|CH(;9^ z|IM}kI*$q={%h@kF*p9XD)@J9M8QeB)2g=<*9%crf79(K*k7X7%RZI)P*axjNVPVF zrp0r5l4p@0B&6nq=DI8x4BEsGo;gW*hOjOAj4-(!()aNZetxSf`w2YR{1&e=lPHqS zKCTS6NT#}_&83>@(ni7-VyQcSyzZQlGvDcJ-$rviynP!gDFy;xkkNfJrgxls!1V2r zO7asvE@Zibgv(EojgFR;t7XN7!ne*G9oE|p@=ROsOXxi4n%RxYW&d=vvS)$T^hoPn z-XA1Fdm@VwJV3eqxy->SH*x0Qo#y|urY1Y|`F0l^6zNLdl|QIVkQ$q^Ee6)_|t@Op9tq^t-U zQ37;5IRc%W!S&%tpc7euPZhEvhCV9jdU6D$tO)w3!0X8okg_5G2)dpe@z=R`|BP+F z9{x|Q0xK>M=z|n-eK-gtXuAM8931+nz-z4$kP9YZgSeH0CE}l>Ciug_0^(K<77({` zz#yco2=0diDJy~vLU!R0kz;vO+{(cM!WeUa*)2#}5$t!|t#Tk`MX)38RymLhCSgVt zhm;k;1|j(ycmfcITrdec;x3gVF0@PKhznsBhroW+T`ET$QdR^z;x3gVF0{)r=hvC9 zxTXN$7g-!qRs{DQA!S9d!QCncQdR^z;%=1#sVV|QL}$(*s}6BURS^ILm8AZvaK`z2 z1X(KLkg6g;L?HNA<`2un3I=hHIl!yC#s6|a!4a{5xRrwi#69L<0dXq_yxkOsdYq6E z85@avr2{-0S{!Yd;L;8j5cjA9JXBg7YH~te5?IRi&$jsAZTzn-^*?)YtXt~;q?mtg zsl|Ucw*IxH7XRze@PD_|5+X<#>E;~W|HIswhf~?L{XZ&{%rg-h%)@3IlS&y%Wy(~P zA*9GWM94fRC3B+8WS)ggsfbLGp$v)4Gok#p`+e{CxpS?3Soi+Df4t8>_j7dg>0Il& zzI$D3t?zlRrDzi?PF}gdW9yZv@{!5fhTEPy?MCCo1#?m>Qb!+#$I*BKf>!f0#aH?0 zXJ6bRyXu-MTYTlE(yvE)X5As_*xZS6lm0IATA^$7tC_?`1_?UzE=#B~E%&vR&>M&J7Y?yL=XKT# z7!7wZ{#t2bM#b=0IEDH6Sv^L|^)X`YtasKw_#$qWs)b**sL)$&pL&iSj>>m@U@@1H zeQw!(z@|Vl{}KyLd0RKhCq;z@+}eTP1O;nxUZ4ETUJV znlb}Ya{Kj9Q>nfzrJ=1jS@-s)UV6c-;NY0b*`cUYk&4E-$z1XMniIqG8*fW~ow$5j zVn{>mcyt(AO7ETwFW+3Kgg|Wc?9Vb=asEu^7DBGq6KQPsnAqRxzbkXSAAe$s!A!vO z#%K5I_DA~LT^C{gja4hg-bXyV^t&8dKxgq=b2mY%A1Q)f%{JQ654$>L# zuObdmnYkOtsxHNIjO!9p=Cs_IYi}B2gDey(?{MuPgg3D7FoSVn2ak(DbIh8vo|pZgUy0%=ch6W@pwIv z@tO29ultqArWOb`$!KMqIIk7t2(sC*etLbr&|5*7Ds?Vb`|FbrSv{+(b*W zLiBO_UQ&liwISOBN2|<4-GAG@8TU~a(dtJD7L8N-wFwucE$2721{(>#wERsxFWx7{ zq~w>>v8o#IK1atQoyDFn*IH&FoQQd5+;!K8@qw<+3^iF*lt-E8?;zvi&Pv%}GVxBU zim!*96^NxR*xXpj2+}LEC98-phMdr)=Pi5bz@?=f;XY!s?`5?bfrPijLt0J=TG_on zZ=Uh$ObK~<^7zviqXjo5?Om67R*gK5`mvMrEUci+m&( z%ux>fVlC;e?@kR@>V|YXj-cb($q&+{a+FfkeCpl|Kd2(WfIccI)$+ndMIqj(okA~9 zs>Vwv{m2nMF(oELR_-UhGDLNl#M)BJcFv(j;vk=PM{S2+mU8IQliwyL;z?DtPMWuB zCMvc?C1D+nn+FBd&2JY9=W8V!o@n}38P5A1~lpm=w*tT(8uH_3#@|EHJgoN|)W^rfO z@U)!@{dlR^&sgw?Pd^|NG!sngF=UD?J1|c)#1llrqCYwJ<_+3g{q|y03?$=%=lNmm~=_%#>QnLU^qoX}?IQ_UdA4E5&0P#9LWb-*eoW)94fDhHd2c zQduhjb{cfn_z|II6L#+Qz7J>L{Jc;%HhIJASySeH387Ogd}sHZs2Dh{COCJ0`IX!K z#;3J}9KsCf>HAf@uPvTuXI8U&TFBp=9WbAGA|wQb8{zM)_7M{0T>*M7g z#I53YNNkkt%ui>lTI1b&<8m=}u5+lTftWp;xuxL70JSRhi?7u4-^9ERxbAjX%-*$o z*M&>Fz5+H?w`x}ZGYi;pQ&ouduPm;u4SF=|Uq^s${onuDY88+ZXBGeZ<16>Szn?FP z$OIDoE2-$8dtSi(Sy4nL5C8;ivx*|_VMc<$ZB|i4CJ+(??g)z_ZqPu2!2MZKL?#db z#B>L_{6J&^Awf~l#;zzL69@^4V^ZP(5&x;WtvNja1SMC9Vs=9RBiDZgZl9V%N8G+0 z004n&Gejm3G`M|Y4h?Rfn8SjIL?CEz`=SmS+`a_~4MHdf_&P)&lmiAKlmiBFRSqUW za7U4YBjTzY#0d_tuj8-5{_m9;mfs_=seh#W{3{Sw!4h$2 zeeX0nn6&l=GIar#y^O%DL+DX*GdcwLMR6eLMR6eLMR6eLMR6eLX0_J5JEX%5Ms;$ zgSaXOVYR|42Vu2BgSaXOae@PML|m1Fuv(!b;;I~k)e0RESLGnAR>+8A2&)wugcx%$ zmp33rIWdIQ3Wx{>A;ugq2%#J>2r=e>K?vo5L5ML23_>Ue3_^@KKoDWI!oEj@)d~$l zj5*+@;;I~k)e2}TruYXba!e88PAeTTFbJU>FbJU>FbJU>FbJU>Ac(M9VV~+BM>T-g zR^X?Kuv(!bLMR8^M~E>84C1OBgwqP$M_iSIFj}D_;;I~k(Fz+8aSAX11Qpte{b8wM zksKI=P!1S`P!1S`P!1S`7<0fNgmS9K=m>u*!khL&owta8(Y(9XOFe#88`r7~CxAQv|YyQU0E1Vqa zzXyLcD5;ux(at9Kp6EPN$P`z;|4R|`y~!TK;uFs@vV1oSQbujOuWLtCxoz&hlhI;# zy_kf$=||^`LzVGn*_o9YA-pPs*^`#n7#;E6o!@iSI;WJRI}uOx>dE;@4w)%xg6|Kkf_6zO>@9<;TGe)WbwI9`>L6A>8zn7mbh_xlit+})Ft-E zYHAFHG;eFqZWz@QzaQiAz&AAaoqax?lE&Q>dnI6v(pWaqIn!4<$Zx9V-t)8hF&hg^ zb#aP6imUc;q1y?l172IWHZ$;OIJh0b4{al$YIq+X@?l)v_G=wY-F^12CmeJ;&#o1u z-PY0UOpvQtw<*uAtX^u#>SyZ`C9kVP1?r=W@-DNPGU<$4k!T5~IMH9~WOOL_A{s-p zo0`%r5iQT1))3H^I8QhBOs3N5wcvm(!RhOkr^INqz4<09UuT^-DMxeKdDkP4dDYpx z;(dJIoEIcPkB+@L zxDdSWY%sl(Q|Rl`sdH}U7w(aq2-m(?(`_E3yZ81z73-*H>3obopV#&C^tZ|8Ua>KJ zAG@CNLUKXtO-jImqyg14(dGz>k&m6^jhx0 z#hzS`!#wqiu}i4W9uY@&)wY`%($(ZW?LXHMt=R8xQTF&~e#942MT`A0Vw=*|oHUsp z#10Kf$31URd>w6O{QjQUflOlAoX_jsSC?0N69#^*Bx;n;TzbjBDP8DaUUhD&t#|i# z`wx8Yg72eB-^}npMKZ(XxF9`q(v^o=HYKH1-iN%LlEi+J z?foPr^fW|ACs>u1I8rhw$ai`(G(W|?g1RxNL`OdSSn$2!!+{ccRnf{ZFPQd;@}Ev` zRYh^l@>Aw=xeQ(E7%!=3Bsi>FK%~q;K>GNBYW3OhFYE?WE>4df@#cFkJmtCP5+@k& zGUvsQ8p@}%qPZ7hAE?RR5H&!l1*-M%UwqC_du+U>?ydhL@&^)6Ed9ktC{qF=Jt+ct zsLUgd_2|Z=5>ve};di{ey1{xqeb8U6E-*2s!}>X`)p@=PA3E6W&-IBX@n2BAm7Hj3 zn@nvLoh&Y5<*%g^)uh92ujy{gPZ5(%lvOv+O;s(sK~r5XMzucI=yfGFdJmlycZr|~ zF~31XD%YhnVk%+hP+5tH$Anygsk^vl+Zw3K{jzCTe|UsakNHt4nlWDBP?sJ`Y!aa* z@V7Ffp-6ctV>7K(@bSVKF}Ae5QhW278%U3JUz(+*nY$eNYEg&wSevdU-uFvyKif(r zjC3wPu;NhMXnUX3E`GFQGRTq0&PcT+>D(Z#H+zY|M8}aKo@I?=$%8~n7pbgzJ~q%^ zn$lFI9F7TG{T_4agRG-X;kCB$nyBdEHzzo>6P;PC#98nEwrdbCaf#R`<$kQDxy{|< zCF$Hkt_xdX%9noX%4}SK!Jts;IT2~!zO`P?5G&sf? z&pb`b@2_O>(pYHmiVC}G<@ZqOYh?DHQ;g2ft?Xy{+_OK~NXjwi8=96RoR0t6Km|Uk z?6Ne27FFybXOysX(q>1ktmKd1v^^AAn^J~48baUNEk`YwDef8hmHS0SXQGXFGYhEL z(orN+38*Fdt!fY*8+)mLH2JYp<=jl-!jFw-m;~sdD@4tJ1ZeR;eAfT}1n57Lo^d;4 zQyfwBDvrsa`?Itkm;x-0D0&5epcG(n!R<4500=rLPaIM73Jq?bej!0I(4l4Gh@w|m z5aGl^ga4dpZJ8U;;P#n2G`M}u2MunYxkH27cMAX@=BNt9Y*-vo^a>4bU-LnO5Xu2J zb^DqRHX_1_g$5y%18ge7iG_{`p&W2T2<3o5T$O`xVxjwpt8y?t(tlP=SboUhKH{nz zgcA$fM}!j#05PXUA%BkuCl(rnP!2dEgmS(&R;7rFbJU>FbJU>FbJU>Ac$~c zVc#RdiG>CszEEW@axgiY$loKPngJ1YkZxU+J=Ai{}7_7MxX^O%DL z+*vtTz@3$Y1>AYe5yhwr`8p6zEa2gQ!JWq(ED<4;1C9ux954u>93Y5rVqqT+!ij|j zA(R7dDui;tAg;Y5R=Y^_&rMeVYz}g5JbTs zgmS(&R;7meS}aBI3mQD0|p_K0|p_+93Tj>hYWr=h-w~K$srC-ga&a{ z4x*X|IwG#hf!IUdI{5?ndqf_Fe zgmS8SgrnX4H1@)4!EhfDhF{Q6m(N@RSw2? z!|`?OtemZ3137m5SH|%_vX%ckAsbt^^4|w7Z)Gb>h;GU5k8EX$zm9tT`=9$eTN!gV z5=6Fgkmf~;UUBk@3C&qfn%f#1v>_yAT(QZg#7IPa6A8P*nQ3x^3!l*bT3PpbeuF#r z>LJS8b%QZc4L{@eTs5*dd5^-Zuw~C}EseZK+H6q^kEX`WqICO=Ed=tz=bfT!UF9d% z9o83LWd-`%NnkV$gZvn=+|5S~@93XJpBIGH%OEF7Jq+{UR}UmZzrge!*nG_Vy~CNS33oPBQsaM!KO^ z@nzaI_osdPrx^PdW2Tzhw~KUXRYx0L`lkc^IWLaP|425C`P_c`ZuyOw2^V6g{rdCj z`4$tpg2z>KGzC!@gWK*|VXRh4WDxqohBG}vQBXPr%VL#gxs*s}n zzcu3tM~O$m){gswC=ieR7ZKD z)S8afHL=VenxPHkXKXOqm3ZBh&(|sg?Qm?BRb1emlf`Aph9{H3-^up!sXnj_F}r-; z(lD|!T$7q)g^?Gp$;a09jCSi`E?xT~AD!k2RAgx158>*}Z>mAB!wm&fN;FM8)E`|H z#=ja|{c13^E&sKx|CQFmIr8{127Z#eM~k2$>1$(MU<+HOnsRnK$Dr5;UgyQ7W3detCb))p(qD{ZaS9GQ$0O zoAhOh`6ewfKZnio)9Dn9t+^IOJ4#SQf?6fw)I(=YlawxpP`+gA{VZIq;OgRfhK#svc1R>ir3}^a^WGgMYHUq6 zjpGJ}559g7vODhEQ6bSixv{jI)Z?$^N_B#sCQskYsPlPw>9gMl6pFp1I5ds%DT@e! z2k)FsSY+Nw66ZCY!>AEU#_af9s>5ek$P5MDE7yDlNsm3SQVRYOKs|e8CAWp+zWiG< z=KZ5-N29w_D-5LU)78$7k+5G*O2XqRP$`vUOj%y*6K|z#@yjRN(EU&^PWAfmg4wrT z)Awbj;iudQ)%R{{w6la|ImF(vkCNY%=lo=8YMC@~c7m6|={^6K8#3{x?GPZ@YJ zuaqU)os;lP?mIiKvT0dU(*192M%IU}k>y4bmR#6xGaHw7I(%?h-XM#fb%h?N=kmI z2CoBrJw5`*@Cec^39^|r*tuJy(l2wQE{dN&CVrl!L1q5_i#KSw>vJUN)$=W9-mB)0 z32Bgy@Ob4Ybcfy256$sUan1cI(Q$BUG9>A%#0}o53yog(0UgPX`f7=y_1(uP2XY>J z*_ss7JCFwcYUa*8S98k<_coTKg`tOWgbWKxc z)zkY;=BMsd--+%#?6Tfk5!ujqrzBMWJbN!HSn$Z)WhaK>uQXJBb$8ZESF12-x&>^( zV}LYWi9Za+|AjQ&|BdSfB@or15`Wp5|2&Qun5Qd&@E`#oW-X1J&|^%3Kj#4e5VM;G z0JqOPkf4|ZXbFMvAORre3_w7{?K2Mmh$(jhfZOM+01%Un0RXqpSpgts)rSNT9wY$7 z95f98x36{ppb+RiA}((OfS_wsBrs)nI|)Dxbda?Kq8bz#Q555-0s6SJazsJby-Q&3 zklU%LqL^a}01zeVn>AK=}X|Fw+#d(DU`nEmf% zHnt_`-$k;RCFoYvE$#ht4Z8Jb|CH6=i%?;435Z4L9~aFx8y^jDV#*=A6l2fdCaU;q zuhzi>0kXY}H(C#mlrXFfJ8-w z!AC)!;mv&#QJ6okCx3lo`o^ir1MGMz_>XDM3(Q&wz1S>jE@UG5%48ktUGqy^y6V8s zF!_UWPDQ*-61PRK)ErM5)XDH}*5pYe*+@wLaQ?Z*qtfBIUHsp4P0y=)w&cAnkGf}h ziR0$)irT^5lABCF^bcGm(q^ocFR7eMuzb$xefCI>wIJFlEmo09qBxY$l}S=ss7c_1 z7QO1mv^P4K--JXGebV`HmC6|{l0!atwMV{6PIIU$HV%_XH9I!0zY3S+Sh~b?;@~No zRj#^(n@{_L17sWw+-ayd&l;0+Sl!&!t~8uz=*dO5bbVg&@Pxs5`p>?%#^cpWv%fcn z)4_Z^?J_3T&-N65mVi;yDnxzO$OniGIc{5Bdq8_ zLi7DkOG(Qg=8w_8vL@visaad))SM+A7gPMahPh^5V&l4?iHE^o*USgrPoTf{*ERF< zvv1gHlS93gPM>x-ay~EIeebnq{~w2L*gJoH8`0U)FE-8*@WMo?x8bp$3VZ*vBI`U!PGs?>v|E#!D4KLuS1kCzha9X5NawV&O!&A= z;%G^dQVVmIr<#G5H|w32uX)U}JWcVDr}iozv|2Md*7fxubJz5@$vx$D=mW#)jJsTd zZ!4`%KW#WHmi^vf7YEbslq)X~-ZJSoq741tZx2Oxy`P$h}?(iBuNGR-(Q;a2Cs(B

    wsMu%i*ZcsMOxi`NkJUCBz;FL_Re^F_k^mwi*;tm}=o2 zDrt$ywjI@M=sa}v?YqL()OYEPHAw~Ul~pN%!yKyOX_nJI*;dxe{BTqlX$crS+w#;l z*%{k<5i_6rZQL-!vDAS2njOY`gT7Qz>!oFBz?61=VR{86Nh-f(lS-VC@Ts`^j;m($ zU9S?moRU~{jyfcEGu+5Bs*iBsX_3oK*5<9~OgDOCO0iMn{w_$K+=97~FQd-1v|G2< zB(!!&T{7=fF;6o#Uu@3G+gz=~L3*;IJ$XWYf|PPB(Xn4gyvv12(NDr~uHVOGRhwwD zTzydOm-J_>;_MueH zukMy4W$;RT17W!l@dX2`fM1c6`CD9Uq`bY->=cRsbZ;Qx`t-QF(o;;TL;=Am@9V`l06C|z? zEY_HDrU_iHt)I@IoHp*6{WLY6l(%x`M7}|c?RJla-ac8&S3VYPv4vb0I#c~-XkJfu zanc30CxojLRO?Qa?(^_l-c_&M98yS8j*)kyIPxr5{085}@0LXT54(6y&!O(5F$v_5 z42#-mJ0IA8HGO^F-KQO;`Skf|V}whvW06zzU; zi9plHJ%>8R+>iJzrzz3y*CMhZ>uCbM#4}ANY1ATouUw`0$b~y~Hs&-v^JL%!G4}K? z+_Lp6;grsbzD%;D@9x?@y1A4~tamSfd9@^PA?UoBdT3C-f6bD&0C~jRRc4BjC#aDp zk2^iM#duzm(rq3yZ8RPAc|6@7*rXpSFis+n;Cg^@o$j%fxBYMzIYmKiy{c4Cm$wi} z3o*};hzq;SV}I>4BX|5;90f&1ksKAlcl5sJuH&hi_3O+3%lRtnargA>sjk{j?P_>?g&5E-4~Ys_ z0b)SAJOqW;^TmL6c^C?<=ZgXD@(>hW&ldxCwt%+@3$5pifg4*OD72n0hFf3!V@km5 z`C>r3Jmj;&>-l2fwifV$G0=Lx7|<>ciwdphi-Fr(AW@+UE-`Rh3y8w&`C{O<76=Nh z=ZpQdtp(5T6%DQDi~VI9@uARqz8G*=IOGnaq4j(*P#8i!E3}?31`0zEg&uAsE(Qui z5QW!$#Xw<*90k?_$WdT%i5vyi0?1KdEr1vWg&}hE-ye~95)sdr0}4arQ4u`p@I?g* zU&x~Zr3&Qe?z0ILhRCDtKAS*ch&U=J43VR|&n8e9B9FTJYy!JHkw@KqHi2E9$fNFl zYy!JHkw@MA*aQkg#8E+Eh#W;QZ^ARcfWi=Y)ZLFwpfE%p70K8Htu~8+!VnS_T5T5l z>&#hv4-WWj4HSlusBjb%hR9JQV-x%~0Y}F|rd$&6+XMs5j^Sep$Nt%JSZp(!7m3NiXfZtl;p*LvtuE*7%z+9S%;TJkWg?H zfIHd;!8#PG0>nUP2;OA43J?QMkA>VQxC#&h8$BUWp(;QObcP@bujh+_&Ja0@AffQ6 zfWMsK|Ed593?dbv;xc*`*IuF5>1J>}&0jc$kRY}N!_HISK-tx>ESG4P62z0s!<2pM z+ErWcb25UDj`24!T>dPx^4gWBGj5Ot%katR-;QI_I&!Oh>%#Jnv7qa3tp4>%;WrFb zpEqSw-wtA`tTKg*oXhtM3rK&}3+{gO*)K{OvE>Ggj^x`8Ae^;9GV-1xoEmje4XnFS5u`KEgpesgftId2Am~uv@|CU-duk6d!kp?EQH;U%tu# zg)yoSL-lIxml*-_8y^_6O7~uS8$kK`80oQuk4?T#9l>U9XUKV#~poj&V1t+gS!ZL)nsImCHsY|=k(hPyl|rK&ng8^xfT_EBM&Zcw5BX|4TJ z)VNgJ$@sbQCj$qWw`@~Ti2}6xZ@Ecd=YNjxUg5nv{pkiviS4!fgDQouxrLecPSiEK zpW2KbKDo@@{fU@W|L_&+NFWXor-%G z27l}`ZPddv%JGe{4{!SgPp2HUBmg7)XP;?$vKki=jMNiu%7W9 zEZ=w;9Kth`ZDt^${z|Q(mihc{4Uvmr7Nb_}IRIBZDIBZ8nBoJm4l|s{r`O3|dBHgdHAt@~V zjlBEa&!J&utJutTWwyzrsp+Jz5|7gljCK>+YTY_M!pOTc`gt|oUb)Bl)_1Z9y~%v; zpU0a#oZm1z3oZmS-1$sLr!?UCbnLE&; zaMHY?xN_qP?qBLHZw`es(2$l)rjWIrchkfv1A6Mgl7rNld*_57#&VDK)>E9m({x9_ zN9+f&Zl6fGhbEJ7?7fSWJmz*Kz2!p&Sx?l&c$G+)cG$2Dy-po|^MXECEvgPVdu2sq zrwW#c9oQyx23JR3dU-!%9M2&Ow^$%gKv!N+_T1NSnARdYl0#SjM*tD)o+X{Tbx?Eg z*ItVM`c42buybAvc+Zd+?$nUqGlRd+{t*LSMl23Np`8F?VCOuD;wk?FFC&IT#p5f$ z&Ur8@9&-Th!GNG}a{#=I7;?dQ%mLUr4@Sjf4!|845EO0>z#SM66g~k0cVK`h9&-S8 z&O=bRIRJNHKv1|j06XU)D11Q)9LEj$Ug72d_16xJ|5*V8KRS3P0O~I>i4TRE1JoZQ z_}6Y*@UxfGH7eN$i4p0!k2%vCtfP(l%0EJg^P!PX}7zNj&h*5AY ziWG(TMZ_q$bU=)Pbuz>#xDrK-f(uc^D7X+sjDmGC#3)$VK#cA_n;?D>X;g?`M2zk} zn;?D>an#*s6T~kfj=KA70vi&+aTw3o1U4ihM|Yo1U_&C}s1U!1^bUg!iO8ewery68 z5|KyU{n!LHBqEQx`>_e)7ZHCsNU{l9oJK+XA{Z4~oJK+XB8bAHf(?m~JB%kPl5B#` z5m8`6A|xsv6iGJWL6MA2cy1GtY{GM!5IpJNC2c-)?7z%`s3fu`f>GxKSUUfAMahcJ zQ`SD8ohgWvQYn9%1M=4)bEIc-K2q}(beJ^T-?_CwRaHH#enC5oH`c>OA_>LAD;R0J zaD;)`pF1m5{?D5n&dO0Wt1VqJl)f^1s&O^PF7PGw?>9MkhRzW0od3M)Wo9hGt8Kv7 zYu9u#BSWpcT3ffFL*j)~xCkdkw0`t>qro?3w+h41p(f68_cDsd9}jr@`4N7eau|I! zzMsg&(HHG+_B`eO_sgNd8FTV=Ft-B+;C3vae$}q(eNgw?iy1H{Za%n~7ihF-h-W@@5(C{jlbjO*k_i zJ)AqlqH%5>b;)ob*elzdPT77K`-5WTHJv$0hx3~*Ta_Dg@4X-Y7+tpsoF^gPux_gM zq0ao(*q%LbJkO-buj~i=p`eq`6lCXfy=!9XN(QqsTi2cIQ+JNpwzz*Jh^nNPHNBYIp>&SCDE0=H5}(GW4_TWz9(iTeET`}rkqQ!L&mW>w0mF6&+#MoDKHBI3i?(( z_5s?BEcpytNXt=)DtH zlh7F47N(y#dr;wp0Zq@k>$CLNcaF~OKUOil!)h3UW^yX@MLG|ksbA9hZeO1P&UiLmHcsO-a)QWkFP1czww9{iPBmZ+2r=`@<()Eaf zt#ykR-m@adou*!lhe~W=ZKC#5k;skB-r}qE`XcIIQt|XaR7Z=}12Nw_rO}x@`-?Sx zb?0z#b8xq-{76vuPz@0ctEnxkITovQgy8Bg_5SBKn)($EEBiXv&!k>SD>ih$=6K_6 z))|H)hX?g&32~MFuwq(MnK$KUzZvele&$;_I=B+y;iflKkT$Wn-}tacuY-YZA$>5P zo^G9}>TqePxDi;~!JXNuxaHFWIl=QaSwLO1o{cYaY_LQ9crf@DUMBi1N@TFFIe7E??*r`10 zqfKtH+Yy>0WSJ;)VzIWVlD?j_xqbOh3}) z;>J&Nzn>>cGNdRux_t+y6*c%j@Z4?4EKdC5>#9CTeYK6{692=c^m$^Q$Bs1ZA;de1 zfdqS^EX>FQmXDv_TY4iT|&i6@TjV5ER-RfcncI z;zQvj{y!7Hze@a&sPGa$C;}lUyu=TRKnMyi@q;1|MDdjPK@kW+@!Te0(Fme=DsZ3( zM2-UGQRFDFXheaMd%929}bznooXlQ`IDh&U=J0+FM;&nDcX^FJC5 zkw@KqHi3s5j^Sep$M`GABrHG@Kou=K@$kxV!SMZe8S5jNGP}+5C>f#c**!s1P?p7 z9S{e54Z*1Jz5;R31wv5hnp+&~H3U(3iC-Liqa<<^K|;apfcRf;l>C<+5JQXYwy)p_ zhF#)MU%|Ru;-J{xbDrn;)gymyc72QWJN?HF%y(V+ls2W5Y%6?An5VNwRh~L!4}m)W zVnQ(H;>Pgkx%K6?pzH1ax&(Iwib?Xr+yC?xq`Vo_@@_tvk#1t=RgfQyo%@zG-;{6m zj36eJxogB`LOrRY$J=#SaG>Kh%PYNa)6>N1;lf^eSOL1{r9MvlPALp0UZh-Yn>eqr z?UpmScmJsUHQ2{ zlCt-33t!=Cp}F!g<5p6Ms;;=l%CVvA##yI=EJb|7-O>w2jVacLJg55UI&KpXgifDy zQ(M+7KEgsJe_Uuw+03G1ey^&gU{eJzqd)f@f@+ zjOq2e-y=*HDzR7muJ~;47a&;jcpdh*^=GQ>E0>$>4@HA$>7NI`PSxSmW%ZOsZ+v;i z*&Y?PysB+JAs?kzXlclloPGM*eM4?1vmcw^uC`f72I{$LF$D8PIlcIN2ot>?;TcNI z_N}EfRL&)TI%?IpsJdr;?NUZeKzPNMv&!#yXt5LFyvz=L^4Z&K;folYDG0@xf=Khk zuj66PwKG}slWwASL!}<;ohmuA5#Ga}KSgK2U0JW;n(UZc7g18aSBo8^7rj?Eum`ip zv)XYW&o1{0RoM?i2bM#cZ*=Y*N-iNYKdeNaAMWdIO!;E%>=CP>BI1_zjUbaA);I2Z z7iQR`XieS?pc;Kxip#QderY_?4t~uuKj|)5s%Wo< zwTxu@D%_naxF8-+QFPP1Nl@c=$3RRc<_kAdsC3`K-iFXqHAb3#hgDS1`0-jv@e9*F z%vQbRCa&_7Q@8!*!Tm$SV-Mmmisyc=^U7HLvW&!RHIufv(n&gK&==CL8XJ~}ro9|B z6SF@kPuQMXn3(4A<%Ue@v4W~Tqr|1>P4`2mu7z7mFO=dExTZ-g{j$D@;QV$X?q7=a z4_9QpY*H8WIYPMnTP18hS8PJtD>Qvp+M;}Nk!<5=F`?VEYm81g&$VEtr&M#n&zCE6 z&+wiT#Yh`DRqCgM({hPgg+&-FbJ*Lq0e(~9Q1g|Q3Ovqd{Gf(6FwBE zARxbBpn`xLMKCtuyI=&_gbziKP54j**@OoLJs#rQ1UB>`M|Yo1U_&4BsJqW5u%Qom z)ZJ$j*wBYO>h7}%Z0JK86%Bek z6BS7|;km;|o^pfc>#zOmb%MSYLo{mdY9UWb9V>q^6-SpesC zELM-RNUzz9i%a|*+5G8Af!65hJDOH6xnC9wgzK3G3dbFe&sYjDdisg(>`B{4<@7Ij z*1ZG|5gR+OozwkEXkT}7RiL5C-}bdY(tSSlu7N|!5wp*+AK!Iytl$2W>-Z|8e}IE3 zWGs<#wQhmm%4x93ll`n|Ryw0!*_3dLu754(J&BX6EYX^k4!j?VD>e%nEgZ;>6`*TI zKefkUy`CLzY)GZ%4v!Hz(CZz-J=UpnsNx{~iTb1&_0yY{v%Jc7PfNKkj*n!?YPVH* z<~fu0E?hy~c4C_FYwa=X45I9?y=t_cM%w91E@W)zy|N=S`#oh#`2OLVZuyck0%B1~ zpXe`O%m?Vv)}PKC*W)8}d0k4!FjwJSnm5;-Y|>K) zSN2R6uyJ%gwq*!7LcnBl?&k0bea%Rjr?<0ii0UOCQ>aXIV^>5Q=%(A?wXuk%atYllem-io{5~oNG}NF<&TNqYDg`U-dUzcva^uMY`x*JIRPu3pZJweBEp}(<>RBnWzGpGKr z>+Q+60oa^!4)(F-S*1^X1s^WnFaEWo@%+Wz%?I%zAh_NC+7?DJt1SLw(=i zcBFYV?d^QYZTXh!_n1}m>6>mqSQ;GuXFYI9rSy zwD~k!LVLG@FGX15#M2{^dE%K{_tS+bqRD9{nQ9FKNe8iVt{+@#nzj>@?Fa3yEsMz2 z)ilfVSq!D?NYRsbMdWOw>2Dgs zdDNXvcjJFHJ(8tbPIBiJoA%)>RB(<2mq3kL*H>oq}e1EOwn_K&{Ce*%b zF?3NJ>_w~F9D3ITEf+im`&PwVW!}=9ZZYP5BXCB0?!s~Z8+P_ierPSR!}m>Ztz3$5 zepXrc{Nm@(OV1TC;jdJ6?G0~x+Zl!Ie>G9_TvYA5_$%McdYR`kSFda5ey?dbTUpQ| zl-zQmCDynnOjAVV9F2;`kvy-|)~vp~r|R((yqc4(sn@gbFU<)soPMmq6|Q^k*mXrN z#Z+Ey5i$ZYbEUZW@Uiba5A?Iy<|BB{&3t`PRp;aXedWrA&5d%NONkA%Y!BTx!cR9G zZYTc9kVa4cg1MX6IJ%yua>4d9x#QM?Elay*%_c<+mp7BbMHItNF;_xqQO+D@I4vzH5eu*Yr-Eg`&En{_^O?}?iXuQkaNJiBRP&{QrlE-0VD z(k})#`)_&i)U$E8(Q9>AHd8V_{uY37OIqL!zHr!|+fG=;FDmMGVs^B(^E5%+g%=ZnAh=ZoSz7%&M# zqkd26{@J0S!M!ga3b$Ekun!(Y;cYo+aPJFDCb&aGgMIMe1!JIc2o3hZ zLr|#o_i$fn;vFBl3e z5Ft@<3o953ED#|mdJ!TkdoRLEddd?~fU?z0JO1w|fp_t^xtf+CN)`)mSR zL6Jw@eKvt-!Xl0eDrDs7?z0Iz6Bc>Y-H%P+nXt&C0(DU2D3WY~&tO1>42cR?7vPz& z5ER)?O$N4ba++lDx@1IdTQIU*I&@TrADrE3B!PNx@ zJQEfAQ6*A(_3chm^M1RkPAroQ@=#c*pEP{N(8y7)B!8HvA zeC;H7$@owN!xIK~gbKKFz<{rv1f!y%TO%=GHz)*!F0g(#CjH}2_II%ia>2MC@~%+? z!xLPDVgBj{{g((siy{$W(RwN#qY_kgvwD-qy!aDclxv@kwpNxomEEk7;gPJY`1aV! z!1SE@dJpG|J$%E7R<;8vLp%iUm+1G%5#-JEw>l8yVHh;zUj~IRF&H1u;=6CX>b<}A zhq+w6C)>wU)9leCAIuH8Hz&aMWZU%iY?n|A)e=O=q+iSsadrp9;S zix-$VV4P$KpSa!9=>UAT`!p=N6s zUFJ)woFm&o^GZ#Rr}3qL^g)t1-ahdw_loXU>AdQX5MMgnxAHya>X-Q_>U-Cnid5$l z){ZnUM0!uekJ6l9W6&NE`Ld_md9m7HHT^v!w*_(UVN)tGf4Rc4&M$YynBU6${Lx8% zK*-OO=t~A6YM)!+k!Vix@%?ivWu$~w6%%XGACLUJ_WZ{{)19>wJSb@eA)>a3Ytf$L zanA2csTsno`25av}*CtPlN`GH+%Wy$}giMToFp8Tep1N-Gy(w*B zyAiLNrRo^T$n(OA+57w$8UKO!aep1pkJ3Het*(}8KgC2e7{d!142=yLo%Eb-LUfo5 zmVGZ&n7koMEY*AQzq%+h zQT{pKjbHvW?mI*I`1Z1<*)sXc{%uyx6ZPcQl%s2orh>;>9efxL=u;<@g%4>QCu)7q zV~9F@D;Ou244L^g&weTLFAq>)PkWo`JiEdvbL&N4nx%GI%-7~Yr#GD$dCbX5hUA&u zCKsGq6Xe&bnU7f~KTVRkV2JYi z+QueIrnHmKsjrzV>2_Er)y*pZy!%fdqYhrGqnKd!S=mD|&GtQ9txDf+O-u{++EFeD zyx7;x?V+m|lQgM6+`i;KLn2D!5<8vDMIQ zbHqTWeu^Q`?-<`Air)9R6#s+1>ODHcQF=rxBcyHY2P0pfv7;C9)=cx@P)U1ud{ER> zo>*Df%QXi}P)(4_uTs985<74>gsw7>s_O)YM-gk@oyNd3Nd-@j zzZ~!1l0D+G!?P$lX?@Rz?d;JDRln#im@{=hi5oB^tz67GfA>wS-|arW*H4~b5lU_# z`Ot+*<7y=>^5Gv`I8hHTP-Cu86>&(?_Siv>x7n(rR6t z{mO`}67QMKI)WzAYsF+8=^Spd<_e)96PE-pZ^kdna|B`R{OI`n=-3L6^=kTaQ2#u7WV&%Zec=?o&Y~P2geT z5EQzS#DE)*Koot=1f^5PU6~Wkq4+Yjoh%XqFsK`+S*@WkUL5YeyDuQgn7Zq4-Baez; zY{C~6SZyPZy8CPb`*4v*-F-HJ5*2Y&P@*D75sXcEz8p}ZB9FTJYyu@J@~FGdCh$C6 z6-4nA@4@qQk)sH*313tM zV-r3U!IKUT3QAPOpB3!G1<~KrXxLmDw5b0F7C}DYjf>!6hsQR6t+?PN<3kZV?6A0* z4rI{*dQ>nf26|>U2K1;PipK5Ifkj1-Q1GKJu%JhUM8z%4!Khg9NL>(xiwf*t9`%1k z1zG|@$4>ljQGxmWBK1in@6$vsx4-aR^NP2>)SuWJk{+UZAx7-}>2O5T@eJ)#*9bNId%`m1O zp?lg$OgM0h{@Q1@9bX#eEb}9gp~~45vTC-^G{-1~+QRv_s_mEaCAB51_yxrBUbS8_ zVD#0A>;AZ|G41*)XUg*gH}-pP&P2Raqh0O;;gwqrAK732VkTRrj}O>eEk2#1l*+ms zAy_9;N>Q$ULno1uAUQ0`$#qspZ^C^_kj1VlA#A^`%+XCP8Iq~FaW)ecHSu2q)r=l0 z=oB-esY421YXk~wdAib%Z6+PkOyFpOV>z^S>7yOY+*8 zATGMK$5OfAbE+aaWyg)shbN`Q`^6mE`lF@K$cJ~#aTkro-IpDHMJv2~A`i1gvit%4 zFd?T=K3(l|qhG)K@_0gQ5n_P+<7&gqGu-q>Sy_68BVz%;N@5Qpc z;m(Fwh5*KUZ%g;dB))n)(BdK_U;5;V{xXw+p0(V5VUyb9cLgpnE(poeyZAjiCa043 z{G{W7zIdT$!xlBC)zrvi7qZ2rD)TRzeN3sju}?E0e4PKXNQ=B?mr%=F#fvG{)=x*i zaV$xsrX0-We}~?odmH5*dgMyf2?wE%rE3z;PrRsQ8y0x1`qtY+KCy-g_fBs%{mS7W z22cNE0$Jy}$D6A!kBvNR>c3(-ze2$?pzcW7RJ#A3(c$N_rI82gN|gA*HHWq+FJJve z{@Xr>6_ogwXbpqO?nK7V?7&ijS<$Jyi-z`jnR!%m0$@qp3%XX>uEGgd*{-M?B+W+^OZ45u)=elF76kbC(!Cmq8_g>- z_)cB_uD8b3*S%`J?^EkZ*>k_HUpn$jitaXv=}X4k?h%#H{F{|D0h`oHB6pg0158KXnUh-?j zg-Z)WJ?9u7kKdp2d>G?27sbRCcAcpwaI4GvreDCopuRk#R^45!bwWr`Pol|r;Z*YP z(I*M1m7X3RSDZGb2zz^PMS^eBOx}{>_Vm|8hg&Bb?{e@_eaz8A$B&k~pAQSXpb{T3 z^JD(|w;1DV-OJmQ%}x?j=}B9;oy*l>4U5i~A1Bd{vbWZsD9SigDF1k?_n>xrNM3AF z42hnLHg>#Ux$;>L^bhbU1WAcVD0Es1WgTz}A!2i% z?s_Vt|$sne4;FDb}uzGjBewJcsTlGh30bJcBJ zJnKAK#r%Obr22XUu~zIOlM&A%5weBfN5maH>FEM<8rZ+~+x*u%4J^1(2m9w$(Esc- zu;4}=5QW5Yyz8B zkw@KqHi5nsdDPu!6WFwhJnHVV32a(L92NAf$WfpQjU3&5Hi1p6$fNE)o4}@3V(00DaeWtRIXrD_<7@4@aSU*3Oz_03% zg5tJdz%Cd;Lj7)mhoJ}(3Xg>OOS%3_!r;6l^e53EYPBy{St2OaKHLkj3 zjNOrwH8OFyL@D9n`}KF1S-7lU#h~uAG6ZfjZ<*#_S6hDHlyd#`Z6!M{dan9&#B~}y zgY90+ehJTg7EU#OJ;4{)M*RDA^p~P$sCSC^e^jd+A9@tmiz+ytptG1;`B9J8&tWyQH*eJ|GSr5F)}<)O(wtm@R%9w z&f;7QNcZ6&7gcx5PKth$<;uqF>Yj2|mq`*eS0x`tHEh1dJSQ=}aj~`Vz}KWWp*T{a zi?Lfb4701uP54={7k`IknPnq z-)3>}E6cHWTGj@>HJO2G2jn=_U#QNv9c7C=@Iiw@aysa$s-?`GmKj9c}ng(QvzzBf|kr-a*6?n@eBo{*CT<`K@G)0+xKo!SfWt`oq`{uPZpx_~*C$ zhTf@1zFzO4et0fNv>-bBTjX#_jZmJST1p?mlLL$?Lo1^9 zNv@2k)GEF29v3spdeD6NNA8wNfxjZn^N>@&p5-c3$m{7`=}h*oIU7@|mTmD%u79=v znpCv4QUBh69s>E1H1nY(Kh~|B`%z)HH}Y)BFTEL1L{~kIllAs8+sRixO+yl*=qo)i zI_?&l%vZ&sxA&9Ye2$OU^3oYuV+*$H{XR_3tq+CW56%pvnqKSQ%V)fOKm7`IKkX}3 z7Qf@|m&fOR1Z|f}>_(`s&bRn&8el2;(gjv6Ufj%6Dd?*^n5t$} z|kz$PtDp+ zMOvYeu5RUH_QRj6^Nvnb90)0Suc5_4Wz0xBbe)9X}14XV71y! z^LJ@=4rS(|%zeb819K94BuO;#XdZ<>QuF6{SNxUa6~RQk)3EdU$DFV$=Sng@N3Mi@ zo}^&Q+$+@E$s{lG@ogtd7+<MemjMRDyn6eJqD$~)LZrZYkb9Sc>c-S@wP}$Jij?%T1`HDzqrtZDkTp1=A(P+nx zB|iBhSb%Nc^|Qvxv{Z|~a5AGwbRiNdGydA*^4~8rz;{h!{~T9J`@05`@!P+Z#y_*o^ujWG}u_y5CCa8&}_VKi== z9Sj90ksykN?c|a8V;cYJC;>0{_nA?!sNfnGjEd(DBgm%TXZOLPf=d!GDzwQ+0^%(} z6sMzLQNbk%fQsXOBM=ngEkP8w%M^x!Yg`b;m5^X4f^33Ut|cJe5{QZq1(zgh7}% z;w=$J-F-HJeWKtHjwhQS-V*5rL%bzobobc=_K70@th>)9oTc9Fj}Gh;MSj7%ADh5F zQRGo~KQ=+UCDN3DcuU0S?z0KvEfGgWl1EJWdI_*k6!N`7WrhUATY^#X zph(6hJSc)}g4fF>Al?$VV0??@pb&M57)6j!Xz1!!0-`Q~sPHPi#9ytV z|68S(K+-A^t!JP$szi5fuxO_B!a!=LjOK(A7oVm|DDmL(o+m;U9jEz5EqMvrcYe%e z+=>2pTl?OPPctjCRwo$dV+T2p^Nx8%T;I?OKXv+#W=qCWK7_bBy{+rE zLA}`j)ae<-Z!*tLiy1oK|H!Xj*ydhz@N$D6`ReEd@3&?Cy8&k|*&nWA4C0U_Zn)rD zpm>?>L^0nMc5g`Xp~FwdA1GG!tfTC7^9sMZ3^@(!V83*0vpuSOyY-D;p^}_Z;-G=f zbZ7Zz3O2EeA>TzC19p0HG>f-#@+OF8ymu(ucsA}_%J>wFeq!FUMt%0-^`q}Ejqt8g zJLanOUgNCnkGay*5R>QpW?)0+?2IiPYjZ6rRr^c}*GlTxufvv0zLN>zT*qcB(_O77 zQj*Yo!d0SMJMI!`-S2bouy$NHOT*2CaqlrN(qlCg6 z?Y#G&o5g9Azt)Y~_IKy+EBhLBY1FaoS8hcLwfJaY>`?Ygv{a;{>)VQy+)wqV9;KLc&er)ICkJJbJ zTqpuGY(hC0Q_tHRqV)}v6&2De){3e{>z^^RZ})$|zJXyqD#@ZX>V4ejYH|;y4%O?9 z4h5}(_SO@7mO^r$PtthVH=EqPcf;~%ZO?NNsW0&}qm$9MG&k;6oo0Ns*3O!qChE@Z zy2RJscYW_tuAb+~fY8LUE0)O(HJ24@unw-6{XeC$SUtN&-A4-)W|q)bqJwYU`lN`e zOb@7*VC!tJlBQ}e{nl~xYi+OU9xF&dN~MGE*z)*}ATMdGAB= zV1kWG6)xiS|`mL?QdGn*h==wXFL9I0X9TiR z-K{+eYzTE-0XRc(awh&u?DPCzL?=ef@zRS{*{YC(kUX4)xspy#E(%V8p$P zB}r_o(Z7gU_uMwxoPgErCH{J0_J4iNUIGJ50wn&N7x2$DdkL_^A4K8Ra|ztJI{&e# z0#SGaf&{qZ1VrKGehF~L35ddL_7dPR=@1lJvzGun{6Q36vzNe?ZU6B$VWBm939!Q- z5*0pc0XLjLP+SWMr1A)M_=70?JSGY7m~;pVZ9tF!x0^sv+!;u)3kKFG5ENHDgP`Dc z6A=A<)G-VNrj!sAx3L6<0&5fq3T+pb0FOzBpty-LEGn?#g`l{7sxTB-p+Hbv%>srZ z7@N@0bG9V@Otb$|8<42Do)=hD1Y?sp&Zfao1lc5x%T@>qT1@bQ@f7kvi-{aXkWKia zA{d+Sp$M`GABrHG@SzB@2_Fhn2kU6TZlyZt|~ z2%dF#<02TI;5B!r_{FjRnM)sbvR-Xw`cyvJCEu(3z9x2irCevfX{Cg8`W07&ShUuI~l8?z;o&p zKU1Z#oZgwd88!Oa6X)dQOCsI5+-U{^y)+zeZ;||pB;LMqqi|^W%lB+u4{IiN&nTBQ z4WY1_!r-`ykd?yPFRxzbeD&MxD_p?nq#sKv>+NMT*52?kdqz!`VI+;Is!)xP-qCy> z6+@~03!}M6b2C7Z`EKK0yNqmC5^X2Iz44Kjt@8X?(T#|!+6v-CiY3@EU6gT`GA67{HG)3iyv6biP zm{EIpo2>Us?<9!E@fWTZ2JhkP+@?%dzt699WW+6$kUUb(?K+>ti&;y)A*WHjBAKg3 zR75<5Oc7Y+|!KTyG$Da)!_0Np19m6i$<{J-UUti2Jybvhh zSea@%Z)HFH@|m%>&byU*rP~_j5q)Fr(UFZwG;w@cg#H>|n+_;E8&hw1nx}tAHMx5G zy{7T!?_}!ZdsFihwNK6n3Xa%3PR(lN2z*91TX^X-I_b+XrO=Y_y!VHC5?S92W4&_JnfqQk`stzVr9ap%8wrCx0$ z&GZ;3Z>mU$D>?OP2_-?ray|Fpkx|OMMhD^jzD* zERR!vUx(y@&z4lePcT0n7ZnKn%Xkz%M#yFr>lUM}B(1@vOD*1s4lYae8+BZwu^Ta+8ZSk`o zo-}@P%;z@qw^%)T={%umzMiK#%D2n;oH9WeleVMrKWz-z zE1sFuoSJskxav6nW7*H-&81$wgET}3?2G-$tXmi-ZF z44vb+wS=@o(g(E2Xy)0vM~}ojNLJE5i5XupY&n-o*`U4u=y9y-lx`?XiB06O{j@|J zcGj+6dvUEA>&NFDTdwQnSM|LbG)&DoUN7Z*j-2`G{(Ie}g0xBD@$x>Gy4vZS+({Nr z--^zhjo3#0NWuBS8InIWG?=;^zQn%ZZB*|55Ay?vWC4@f;={V^lz9j+ z%@wP@lM)=bp?LIXYYfY#8qxGoT5{=Mb&5^IUMfwO&u@`F5jS%6AQoW6^4*W`_1j_F ze~DwH(RbeU+`2MHAQ4qTb*iq3z)zCIV{&4T7Z-{T$ePItsq;{(TfAKqn-2Q^MbV7@?$mWC4nEaF4pOZCg1->#JXqw`L-|2+(`bl>EyrO+(?3_ znoIt9(eyu?8%eOs9)iLjqu^#05QXa(NwCWvMB%g2LA%p!0;F z@HGkOJRvB2VF5Z%2nt^vfX)+w;tq9#d^w==1W|G5+h8O?=LtcfT{M!Q^Ms&KaVZHp zPY}gZ9R-~yauiq`BSt~zi5vwM$H-A&af}=VYAwi7U~!Bb1s2E1Q6x_~==MlS(0L+{ z3Y1!qqr1;0(0L+`3OY~Z=c5Wh%y8CPbJ2#O>-F-HJotp@wqD4UG zi5%VS*o2F^+ib$2yB(Ww=x(zKhwgT4!lAp(CL9VB?vQ^uyUiwCR3u{)O#0wzh(NC$ zgD1wAS#%)M| zT`+=d!iOTrCTRaXS_E{S;BA6R9~}Dc+4R5CM_dHSFAx?HH9nbn(}=cehf-M|NVq+v5IRgSI@^MH0)PR>7xN{1W!e zW_g9ip6AubIcG@<(|%;}VbV(NrxyIS%lR(6`uOd=B_Z~sN5AeGGf}tGPwREb6PZ~* z&ff{{w)=S?W0ukM)QVuJO6Areb2fvtAQTOTzWS{2tlfTTY=g%~8NKPMUcU3S8w@jM zD|97a=AJ~_zPoKU!o?MuRIjKWIBh&T)ksxT%vZfp8^~&P_^Hg~MrziVBN|1zPQ$N< z{of?6Z0fbB=2pH9ryz_G*${RWy^+h6V%N$cZ5h1sB(y zG2%jlbaLI+V7bwL)&xRRrCjI4;!9ttuVtE=Xpy{pTN<7__ll%?KjveO^UiF4?%k0I z2`PcmGg>m$9sh3T+7 z{&bYS{Omf((!81IczM60N$aapmLDRjdDC@Y2`%amX^`D^RZqTNCMi|GndWz)!fBl7 zeq)c%2)CV#wn1iS*n!nUo1^Si9ig#7o~^lXz-c;%ue5jOxz0$k(`i@ug#&>x(Y-Hg3#^HubB3VNe4%=-*4jODaO82R|wQ7pu(n{x2FUbX6 zdUxxpME;vNp>!gD*9q|g!l4 zCXyYWbEo}mS!)U}-^_TcxyI@^EP-m5Sv#Pe-P918bm3BEC&vJ%^PDS1rGhD)ENkng z()*G>W=M)jvLgNJL&6oG*`gcS#}rx84~aGZxY|}MIqT78YsM-avHu)bSbt=ft;^z} zZ+D1}xcd2(rn$=ONp>ruI`85!z&Pq{LL{3JGEo*c+)k8JmY23NkrrL^;h0cVp-L9WSxO$3TEokk;RTD?6 zyH;uSra|axs_>+>Qck8gx6!3$sp&WrYa3%)S?0rkH$cM@B~Mx`lo-bdndU=u1wulC zXvE{%vdYWY_L+(flbl7VB#8^ibz@S9{)t^sz>MeLRZh+_7FR5bYJuc*9R%T z_e8;gP3SwAgqSb_bgM;+`7668+l}$}#TYtWiIfd37xXV#g*&nPd#1Rlwy?$OSDPuw zDoisz9B?&O!R*MCY4^;|G^LRVSsv#e9^ACqxSRcQ-hk0dwAPlbj`p_#I#^8gjufYW zYWMAYsHgmSgm5`7oG2rJ^Ns7L1-s38`(K9=Qpt|DnTNhVawgvWhgrRl;}d1O;m=h~ zCSwA=1PmhO7aT?&7xauB7EmH#+F{dObXzZJEh; ziR5^xkS1+c-jxfD=GS*v%=f5d?yG>PAKYO7@6Z4LcJ+h1-2a?7;Qs0NG~^%E5Bh&z z!~X9Z;~^+i!r;*VoH#&GXwwc3{m+R51ch279Qyw;_nu)wKIglx z^KWMKo>jg3?$y<+YE}Jv;(&spF8yH9e@`6HP_(5VEc)+hC<=qT-&L5G$YXQ4xoW{{f17ZbEc>{y|jS zbJIT#7Y9rT0H2(H9CrJMD2z?$b$-N|wP=qCz0Qv~vlb0Suec*tKK}rP$xVQ$5Hz?qB32|oaAD|F6fd&kb zn?NY;HX*t_|KPRaZWH3n+CPYjyG@8?Pxz>aWzRoAA-?GV?<=C&^ADopZWDIf^FQ~z z|3OrUZ#vX-NH8M*SoQ?W!a*oZZbCc#1RE8`CIAYPo6u22t0&qM2B0uD0Z^FS1VCYI z0-!K90Z`m+f~kcAY=WtUgHYUUf~kcAqQca|K`4w(*ftB4X$YbV2+d&t3S$!hg|P{M z!q@~rVZP}AC`@hwpfEN8P?+3=j>6Q!#Q`YpHX*uz;BCT=<@aA#fC1xf6QT>~4+f08 zO)#}^(3^w1O)#}^KvbAoI0(hvCYV|{C@PFi*fmd-j0jT;7YCp)xe0*6*aSdfauWcB zu?c{}k7j7P zLf8aCA#4Jn5H^8OhRt!@`}RL!T~5uEgXcxk-QDJNXM1`>lfWp`WKw)eGpfEN8P?+2VKw)wd zIto(@2fVK^wQvv$lbZko#@!~ES~zIHxZ4C%3kOBT-6oh?I4COaHo?@we`Z6pl!!PI z3niNZSqPudj|mZl+8K_`xhP2-d&J^z3$7Tnlk+g5h%>QJUMvWO$WG|znux;e3`adq zLhPTvbPU);#6*AHmtaIDWW;8T?KZ`(jAO9N%97%ewq!zPzyAE^M+W=ndTb)t*ZVWM z8Ij4!{cKNE~#f7@74Bj8f#yfS}}RGe|^g5uq+`Rc&H(1nL-_Lz)j3AU+}K~AmTgV)~B<~;l?^Vg1sz^}7_Y%xi3xJ&TWxnR>5J>jA@&EZ5gPEW_1@N%+8 zYBiGD3rmh#zTHiyF|6dRZ1MC=0#A>uuEmh#MD})`V|(Eoz3n6U!Gi@WJ>Lf^+fR*# zy>su$o^g3`-}122%HR)=w}raC(l?6gEtJ(;<)8sdldM zqClm}yXcL&_EXvZFDdkvL-`oW9_*lgInd)N7)96`H^z>qc`m<>v&&wc&6RY0cgWek zQ~jCWX9r|-*iJrw6ZD-)j6N#*23xbl2*)%R{kMxQPbm(XuzsG^!ds9|z;69O4;NW|HGgit^KR%Yk8a#LZd|ZDC6O=9Tjm^+; zwIlHs+fB*7RH-*L9e(93@2U%ol|8dFpGZ(fx(q3+#mZ*Q&(UO+S(7{s(Cy$lFsxCq zheq`7^PpA#5e~;}?$=Y71}8CZ=t*7W?=(s!$fZY{NS|_aG4gDC_Bd~}_sbN~Xtat{ zz4S}2hXZRBZ2=~;y+Qgk#bvb5UGF{~bLc9aqhUPsMIF!ds{F;Lttj}r zuDp9ux$siG`tT9v#gc%#18mG`ax=}&As>a3hfRHN2W*|_Ep2cgl2Er!2zq`;fBsO9 zOV_E%N>`&MqwE>IhnMc;)sJ$-`RAS|m@M@kl!zcT~r zaUUu1>k@r}2Bx9upB#3vUHa~QdX`PkmP1pRPTHKi$BD@M1GWFsfHbveZTWii?EO1K zpE`C*jvcQym6=d9dZDCw;6>y;ISw6R3`$LV_)G^J>Y1FpI6p zjVjNF+m~NTH4KNx_>Xc#<@8_UjE=oFU`kM7!`07YM$|trTfe#YT+t(T2exC`BQe$y z>}q>-6s?A3^9%ChQd@339~!)1T_7;=*nl(Sw%&GCv9>&`hWNtrvH`P$%3bCi6^jk$ z1C-8G?r{^e8Y0AWmUw8Sl|)SY1xk{uSxvRC<+E!FZz%PBt{jf#wsTA1XFOn zO|9*8w|q#DM>411?RWX6sPh5kqML{7*}fZnyM9Bw=iRdy2TcscP?U{R-lO(4&!$uJ zboCeYnv(K{n$KDvF{|=y9HHZSH?&4cq8Um1JJ= z{S$cvngMn>?wGa+s>62HGou}?PrFN$rKfV3)szF?73AK!6q>**)GwY8&r&#W<1QEa*^P&Ijd$>|3HlP=R&jpGXqk|IO<1Q@V#as=^9P#sOSbu6@7{IgC%e99NhAMj z^et|6!9%M0$0f<9_B%Kj@f~=jsd9~+M(x1^jO?{b_ZGWt8aK!V>1^EYzS4C+ud`;}{4bgy+jzNFvS8GiWgr%rEbVrIK#f8Un2Y*vdeSgA~ zAd$jyc2m924NU#!@uAr}DrgLRE5hg*4m}qCs6`NqDGAecN=n%?7LC( zF3R8?p(+vIo}z0BM9dM7s?3#WOAXnhx}S>CN-G+2l9>pU$m-GDT3lNsF&PuKc2U&sRypfMq6IV}CW}eV<%I zsp|FSZ;vuFBWz9=-&uI=EbhIUWYpM$$qdODrF{MRs9x_W#&eyK*ONvgGOtET*9Pr5 z!otPN$0y(zZ>-ZGWK-_8!q5M+B9T&{+UA<& z{bJd&%NJNpluZ*YU(oiAsa>71+VhrrY*Io>@*JI&B6;$5ro{8w%h*dA1=jN9tC&$%avoK2ek*M;q#bU)(MVeJj zRZ7y?K@y`yrI!^k(w!uCeJ3a8j1J+h3BlU){{n@KtdDfl=E z45?exW6fx4r@}6dr^p4BZobQUM(>&65p}t9l#4Ln@n(JX&^d|OYh3|LYA{X9K6JKtOcAk*fKX#$~KYyN(7;^iH*ssknzduh%47()suitPe zDB4vL7%}Ab6(ov&D3BQ95L@j3?PqQ7?*m3Z#YhafeFcf4pC=@S+`fW>VvmwS{?rx2 zc4_|hF-ZU()8BvQ{p!d;M#Z3A7L5@@ZeKw`v0JE728?XwL_x7r>jXahzRr;w;P+ICdBreyfBy>Pe?!*lh^E zM^R5Y6+>=cK^ZW1`3~hVA-Aufpr|LEiXpeJprEK{Ct>R(5U&-RZ_x$}VUrZvRT3C% zz2^5(K`4YxAQZwT5DH}73e37+`a;j!q@~DFidU&pfI@!?JhWs7_yZU<+TD( z7@Ghnj7j`1fVcB0Z?3sJPpNY~_TH3S$#?$0SM~Mz(UILW_?a)K{ydtmoL3ynJ6vie13S$!hg|P{M!hF*KP#Bv4C`@ibM`3E=5&#q? zHvv%GZGx$Vg9ePdO~_VGXor!lobV{_HetJie*0rZ0(CQsI0nWhbQGo*4u}d<3kRVv zHUS0<^GydpVQd1RFu4hU!sI3Z3S$!h#oZ=kD<_Kn=uu&6;Q%FIYT+Ohcbl*+w77og zkjEOLJSNoZn8jgg;piPt;>at0;G@FW1Q;-kO#l=oH=(02wQ#^=!mdF7{(S{Rg|P{M z!q@~rVR91yg~?3-6ec$TP~2@owsJx{3{wjSC;?Lo2cfvz1XBwKMTM~G=j0u229NCI zL_T653*i$6b(h}HOTT~nu>+wHK7mk(>?DrbwkrOQmQBEKNpWm9DDp=V2BsR0+I#xn z=Fz{(_t@Ukzj|fCy{Es<<@s;#Dds;rtN+n^ioLu3Z%_WO-cw|JY$6>8sit377Wwa~Ya(>l4C$<~+aaBAA>+lS;AX*HgvN{CTCdNMWI z>bO2yaItkFHF`f@^q7JI5dj60FMAUmb=9=JoYX$b3(|dxji=@KE?y%SX1p4{O!k2O zYw&q}`EgoSUr*%`)QKMB^n)4Pjk26pIckS6bZd zuM(69l8jdtD2gF#*w#;?YsMLN1# zhZR*m-XD6+$w8-ILEFbqWnIc@!D>Qiv448_teNgmkWP=S*)xi)=?nVpk^&|?y3zDu zw@l0;kFE*McpC|X#;i}1ZC~D)>no_{wTr4$8ZCb~-I5>}b*P=m>>GK)OHsdk^ZNTj}n_wK50pf^1pz$TA$v}vB9~0Ve|VTJN;K*X9>wy>HGp}W$_aQhm?DUtMkO&>q=cGr#E^^ zISQz!lOmWiJ*r=+m^8&QHb(1^MU#KrU;YMYaV1AD8?NRcSnV{Q3wtN|n>AH&K z1{Q5^D?O&x@*NIKzdP-n?^iPH+A*9d8t03b-u6*>c$y-5Z|olZ#DwMmM_VTqS4J7O zH_L?9?aKxv9>b(^Ty9>PzVo!KQU|kk6PZiPw5ziZ6E)nwyv0b&alfua$4k`^zmFwC$w(gdLpYOS^%o!Z!SmyLn-6FO22*AV!zS_U0R}$L|e(I`=FH#TGo26r#l=fzF_AP6^ z6uQk=8ofNlj(;WQ)>w24A6Clvenz_94V$(F9)86jE$bm1FeJ|oq3Cxu?QUUKCF9c5eCW2OZLN?X};=R{bj z7CY}nHfc1Tbz!gAnj88Se!oBb#8XG5Q;M4c*H`poodvEGWpUJXtZKY)rh9`IGcoSK z#>Lo$XHB#`T%6!Hcka8d_exuzh?Imw;?5YhS(PTKa<&gW#Z(ntE;lb8D^l8HOq9uG z6wby&<{x;4(op9-iPwFba+<2bFVycvp3=J=b!N}%?y(_OXj_s*Gb~<#zznxg9 zP|m<}X2V!TL|XN9KL=q&*aYd#-6ph23aVGeLbE&+p(6a;T7VUbMAJYjg zv2$VQUtg?sO_1@v%3YYR&)<2R5`R1F@cs*OX-h?%HD117g|BT^8^wz?^nKe7F!rkA zKF>5Yv#zl&Gj%EilNN29c-)_TpW%sE8j(D5yC4 zfDu2CP*Cj9(4s=vgu&jlgoZ-cB!*fH#GWqx`}Y<7>R}ABJq`I7x-;j1HD$5id>RMqUhJ%V~{ru!lMx1bfBmZxe5I;b_}vT4Q0Tn zm$758t#ZHpMkn~?2k&^95DYJ*2{w+W^e4p0K7 z77jvjw+W^e4vLDqO)#}^P*mJ)!Y+pWelCITFib5R{l0GrWP2Klh^W`1OTg5^0a0OU z;UE;oCcuDUauWcBu?c{}bHtkT(rNJB(~kgGOO$;ef{kQwvAGcwPc|(;&)#Q7^KVK(?pB zqcAoBqQZRB0Zk|lt@Ck!@T8Sh~H5`OO%)`;o zp^=2y8IFF?$$w5N`TId9|2>5UbkNCvk4E|LK_@@^MgQB)>*DGB^M50Pk;HcC{_m6j z>!1?}QE_au@Nsv47hg6ZHFs=Bu!@V5m$S>yGgAEgeO(+pc9Usbxk}&Z`ž@mu? zihT8$Ju)9Mj4Ln!t;VqhS1GPhCaXNSKHI8n^!(l8DhnNTu2n#)mago?_0E`QCNdxQH9fvic|fpCE;Th6|FAJjj_D`-^C#}B>xM^u zr_1dw+h-R+ZtBU%V-gy7NmD*Mp=E39S?o}&uGsydY3=&__sP*~4U&Ad0pu%kN2j;n zTQPJ^HZztbJhf}W%VF6_G;OVJdDalnmT|}+0dup>$@W^+0SXt>cn8^w=h`B!xsSRs z3@KL+2M#HH79RF zF6Xn$ckkn>7LW0u{Y|Yx!@38$cH^n54IcR@bgUxy%xchX^WhhsHdk6*NEFXxQVm^m z-0ZE{`XDk*HFU5OpV49gkFyGUXZc))=aUD5JKZ93Yd+KNN=d{cb(?Dm>U0m8n%SPX z$i-_e9cx`#c6F56#RRLY{)w^)0XB+BcnMTvK`IB*Vru19rI%K+ghG@ zzgV&R$#c)K3vWZ(g0qFU*o=KQytPkq@@&{lsj2A}qg!*Zsa>|8vOPP4Zp|^(BeI2tLw4A|*r5l+YUWkve z2vqr#l-yc7H|IB?dh}X;sF@hu=UsxFqj|&OgWEoV{a=!bcs|xIU|e4CRLPqiFOO~* zmAyK|?ZcR~v3=LiMVju7r+#+9;RsKDM-zc!3sr2Vaj**G?d7r;oXmWa3a5_|@WxB( zs&%{@+3ctc*S3!oIgrc18`PR{S=rUSnz%cYm$gKR_V(;fSG>4q9@TLKmx}wiUoo)O zpHubu`m$NhpDcJuijca#=A*=1K=2S|vZJ;7asT*(txLpbKGKJ7D8=ygZ1;Md*e7`g zZ%V<{tIpw4(WSFbG)bjrRF_^a-^iUdp|$^bgQg+VXxhA?eyWSKOjYEw{^P7mhZHoU z-ljYcu8tTzMyvihc>JrrhKYp$&0d=)!^f|X`7WzuROad#9ON>hl|CV@UVEuCroct& zM2D%-Ugl&j?ncvNKC|IdSBc;B*PLjMy|KMfHW#tnUG5X*M`&A0d11Bv$+vFK*FHTM znr`A%rF|xwD|CX5Y zpE!}ZdM9xEp=VgwZ2>Eem)AB$Y#$$p@%=oHud+-MY#&O)l+3P5+LfL%AyvJP^vS87 z%|kr7P9N%u$;zHSC}QVi8&1BwHhr4I{$}XzCT^XRHSA=UDajp@1rD?;8aPO+=V7jC zb;mF5vM@IheWessdS{HPgu!WV_DkAt6WT~Om+_4SU! z8|UVpSw@XXRc-G4UG>=wv{orhkQ>*u- z!&l4FTL_51t6ZhC%uJ|tK1*oMr|rGC?|8;2@srQQ?7YM;Vw5F%Tbz5`v(owf?^3G? zg`Hw1(@8h27HE3pJ#Y9{EcWHnMaP#t14?8kCPA%0AAfywKD@8$qoMs+yx^rw z7Q0>|v7$P;1lsboMB1ksJ~crc{DP_Zr}eaMCnvX!rykR6$mjO8TDo5}uky4(L&IEK zNtD-F@4;cozS1&Zqc6@SL)d>1GrA#qD_!fZo2#U>K$($o+TwHSzHU!HD}{IBd&5if zrn!^t4f0=QiobiWo*Gq7dgk$BTiI~pN2(dE(9l#$t~JIplLu-1$O~m7qkKJfi|1Aw z=$!V53S4r@+&i;$=aRs7koe7|vHr{k`>EV(ph1sD#Kqmrl3YPs)>BOFb?a7S4Or=h3c5 z&2O$TIlU$$@!o0NOTH}~qWj2s^~jIS>qnO;<=?ZO=AG9J)3d4}yqCUDruLoW40Wtv z=T2{eqN1B$tlf6Os%KR;Dcvw8YR!8lwa3KSgP<*_3hT1!0gxZ1Js!ej4H@t1- zl_$w*MQ$qi1#~&-W@3hQg-tFFsQJirVQiB~Rl_HDKb~2Z;*-zw+b^(4?a*c{y>61~ z=DZJ!rK-@rYkp+jU7dF`jW6=*;k}Pub;@&^ll8~1-^H8}vF5)J{;YyMbK&ZS ztfzZ60hPqyQ$p3=AIDz5lJzPqsa&xrHum878H{I=qcq1bjX_n)f%YaZMFNfT_93&d zyp~Rr5ROcf+?rN;K27X>^RAokDv#|wTz;8KuQ>1aBc)R+%feOVksN6=w!~_9ZAFJg z6Y;-u>Kn*r=4o7i2EDCM!Eroevla%Y<)$#Ev(;g+8F zFZO_v6BvrO@S)bTa+n)I4HqjHV zOa{{2w3Mbd_QfrT#rAXTRXn6h;(M5@*Z=bUJ&O5`QQ;)AdqbXf3ry4w3y{>b{7_uI z)wYLVTFW$3OZTPy>FY&h9dbHHmrk-Rk6qo7*hsXL5kjT9zvgYW&B>#a%xqsDvTCKR ztWuY}HrUDPa@*{Qx7vgK-3_$#Z}Yrv7 z%rQ8U$XypGDC$`@KYs@O?~MIdhXfKuKg&iEx$6Rnq948^iQIL8MA47Ik;E1ne>-3) z>|RZj--XByL}XO-D}E)BhXNx}z>hoRp};68wgQMYV8m}=6clysSQ6WY@Y~0PeoMO~ zvI7wrRUGw}c1h%}3ltRfmiC|5(*AzH*llAdj|qDZ(eF`gxd#n}ut^;CmUc<0zyH<+ zd~(FGThP&>B32Jj9uw*X?~+o83LOfHdi9kga@PeC6~nf(p$!&5XgiWBR5V;A2Lf8aC5%oFP z*D8hFbpek;$XypGDE4|dl+P=2*9ANZV-p}Mj7x$6QRg|JBi_1D$ULwkSwgh42TO&}D)CJ+i?697f-xpC@8j`h4M{@ z+;ahs!q^0e3S$#$flTTjm2kk%K?wi~lbZl2j7-4n$aorI8(o@F>nUNh5EjL5YejT_ZoQ(%4_{zyJLTKw)eGpfEN8P#Bv4D2z=2 z6vie13X_`vC`@hwpfEN8P?+3=j>6Q!B>*VyHev74#noYC2O{(*2YdSw&QT$3!eFm_ zMftp9lihElf=~#XKq!PwAQZwT018tJ2cZx)flvsWKqy3R0-+E#fl%CSLf%Y+)E^WT zcbkx>@xe#M-6oh?IN-Hne-ZrtLx=t0hw^#-b-(a$R`W3c6vie13S$!hg|P{M!sI3Z z3S$!hg~?3-6vifW6s8sqcwdnnh$#94qQc}R0E)X!$eU^42aLN-Ftu>d6UN;pm|8d} zD(*JH)WUxz7qkq6Eie8yIRRM+pQKPvc#;0qLin4+20|gSlQ?$&G)g*!sfL44i0lMH zA$$@?y(CE*W@orK>h^AFn4RJ1?V4Gq?1dsVBB#Rr>Yw0q!H`)AwO<-})9sShU)#yTGtY`43`szz^Y zAB=H)M?ms}V#X$cx7qk+hq~`NhVyAc^5tWBodJZ!lkKklbK>-Re(9Ejlf1+mz6rju z-^aQ8&&Bs}Yd372h;9p#@Gzy<-hAI#Tvqkz{sLddY{k*9i**dfX{ApK1x^I!-C=UI zb}#v!x^a|_veolrLrGSu`Ga*Tr)-lFk)+OIek=XDkt7=_{3Yirtnvm`>OHAX>y9zD ze<*Ta)E07UsMPE3xKwymr?2V#w?do6X9{h)E8>OXMYGda#YW!rSqEB4@P2CPa<$>j zAXAmAHGbdQkmB){!oXB^`JL-CVtuzOgOdvdKF{qfPw-tLxUYF36Q5hR-(7Y~?n(5m z+C8yTf@v{^wck8zZ@rkRD2vV-Vt6ZwClgio`VR92Yk_Fa*&_pk_yZ*;N*MCpi`o5l z()To0eZHhn=Gj#>MoSZECq3TN#vgK(z2rpL_MVB4W9i*e<|n%s-oKG9VrPqdb(KRf zZ9+|#tbQ`o><35miH$q2d#t-ZpHLP4+PpL8VgZ*Z@8?fWa%q-Y;YuDc{a@}8E<2q_ z3|-QspzAs$UHQUGu}+mu-FIX9vdv<8)dibe3%7IaF-6HEk*{eL46UNx_ZgbFQy@Ot)Rcz3A`6J-a4l+sXWw*PRCvzBTeblU3>7aW>R&`1Wya?b2&K`7s+QJes5zK^T^8`u<54R_Ar|th#+ob|?G~ zNKevM4j*1$UHB|7q~P2YU*#wN*0MOE_si0y&25=9ZenRsP9~SWijsj?`aaq(FJg!E zHT*tIJbM*QZY{FGbcP~!$Jt|eU2h`AY4+vE&)1$A^0{`RZsy_KUg?8FdTs5F+S~Z+ zc^^t;za*wgcU-W&O1UB3XY4}qbeQ}C-64zy?>Tw5KG{-Vbtj5%1mr}H_EfJz%p$uv zR__{a22ZcP^`_`d4l&4Lj+P3GyxEq%PPNFn{AqPOXWwfCS{?c_K6TTU z$d%MTgJxyAzvmlad1id?1kKc_;WOE~swWilLRY6R1ZxUj5R8hDpC7n8wHdGzb4B?? zr8GNVzx0BmsLk@lVr_oL^_M9=qt6UpH-@t2 z$np#p(uDejIbHR!l?~4_`|xhp1&eF-${k!YOUkS79CgIX61Bf}TKW=2Sn(`w9Uv4H zyIo3fWheQuFYQ-&dYV*L^?9AH#OR8jram*pH6lpq%Ht-rPj-uW{(g+@>Akw%{8cjX z0;8?xtNBQ>C&_hIosGLg1_mkTNAnDs_v5iF`|s)0(GXreb4~xY2>#|yE|ajgJhTON z4i3lO+7idz(%PD_$B*B+ zc1>kgM2-&|G}S0O$Fo+2uPG<3#SslniP^_H-0ur35Vx|mH@>W)&{fH~ud}Gf^1b7R zHG}mFT~dpY2beK3vQv$Y)LjeGkM=Fzq<+$~o19$j?m@+%`kl24>>NYthY7+2xz3us z=VRqx|MtWqM3J1j+*mXEh?#89-M5Z|o}wDkG1L5hA=5<@R0PvS(u-ZjwRd=n^)wW% zz0DVM_PMD(Pkpemlkw&8X|1pEDdkf$cbLA0o4pVTPdM9Af0R}-o-^;0x~fl-byv`! zq+pg#I)UoE5TEU>&gsEdvNS!frKqePy_$NIGHZWmm;wJ(w`}X37vHBZP2LSp*XYQ5 zmiu^M?(3Q0-JAI43#KghX_vAv#J-6qFDue?=bBQFyg%3Al^P%W?J0kAfnUh$Xlt9? z4}62GGFG`+NjqI5wpJM*)d%C7WyJ3Nrm)ocMMW*S$;FA%ghWm30#Ke~m*U+&0 zYfNlh=bRWeShc3?(xK#VC;yyQz0jDso3QNU?btAaQ;kiL8pPz64|^B-eDF7u629M@ zwZQg*jOg?nNDgb0WrB6Q#0cy5+(f= z**GZ+;i(A{lNmnZ*+#=J&fdwKBuqr3x_r$cc_jL|9QZ{9_$;*}AymZbxg4R9VOMPy z8Y9Cv4X6~KDE13GFfs64<;DKX2R;XXvi(|i<17?&`{PU~)Vqe{Z_$02>uR7$77CiZ zc(m?g{Pj{yLT^!QJGKAmTmk3J8HT4z6vCVP^$wm6?cCzMK5+Z7D{V>zWx;4wG5Lziu5uZRd!4$q4PKL^A&b$p zEW4%Z^4?A_oxN0zce8e^%Iop#uV>cn@OJJXc(7Lit$|YdA6Z-Xkvb_%l#a7bMq9O_wC@8i?2MtC1z(k@F z*yEGYP(;B31x5dDifr#gL9v&ZphZRez(hf@Yl~6w=5o z4JasTE2A`WO9K)W$9^(V1}u#zS0GXJo8P68TN>a|2%A7rA#4Jnh;jw|fFW!GMTM{l zghJSaiem2zE&&>k()qKakU8>6(To*qT*^3HY%<*VNqOd!lJm^ghg?+35()x z6LL!fv`yHh>3`Ky;ZfXe62aXjDvV8NHGS-WVQfMlFmg)+ z%A12eU}SqA5|zYWv4QgDAlv)kQHb0GiV9&92!*ie=j%j$u@E`|SqPs{k57>hMs8|A zK4bLNn*S`f{C&0Nzssw@)tbM~?-bHw6TwFQspCIZYb1pKvDx9jt2H7RX{f_I&By%u zrS=4`+f~Gg_A_W#IcH>?+d-zYkL;25`|BCkh=&ZR#VYnX->O|18ZUExs7X$+rNOl@ zBEc4yA^kd@CmXVB(z{I4Kq9SpDH_WrLBZY$ zuORcl2gliC$*!~?Ptf40+4cC)8)7fI+uOEm6Gs?-L>;;kO2B1UHbGtX_{rT}`Q7Z= zV#n|=sYQDTuXh;7*+{($jd!~em8{$sa$(`H{c5m$0|mWZLXNgjqZ4n49T8D}WTLbG z^*l-4;l~VwQ~j^J6VxnyxtcHDZ`nQfeIx6#d_sw5z^IjwS98v=jtdL-#|mmz%K;_w zni>X|aQdt~V`;5!6)t<9*rJ+|(fD?Ex5Hb_;XYBSyTn*rvQJXI7ZG#lZhTcxbr&;z zFYKPJ($M~rhsXBpP5NMeF>9{u-siIR(%lKCZ)Ep$8t#s>bn{6jo$5Lgxj(`2MZwh| z7FVVGQk!t4dwXB%s}qxoEquAKBk7#-nf(0b)6&cvRR>DqwX2>#H4g0O)2nrJ`f$Dd z@a>6&W+Mg@CVuZd2P&US=!h1MZkrS+Z6;kOPixnh&HBI~TW#rnpI1Ngqm$m_Pm(V3 zQr4!|J|zL4$o!LFK6vm>p#DnnKAXER}j( z=K0(!DWi8tpSR(uo(sELun@G*{!J8X;X=y?i#Dw|(~8apd3ksLIrA`@D1lQ$Lmrwg zll**9%XUM|jw^>g=YF=IYZ&r)7r4srdg6ZSR(Cezf{WaMcJOKSK~v9lg5&pu0*U^{l(q9~OEvGgb~{8+ddDERZt>dUXb!V0^h+dw`EUgtNK zimBMXP_l8ANUPO%q~Dn~?ornfLA}Ezk&gWBRRofnH`W5K@KFiq5bbs)8h?Os^R_A; z3$peoJwCU{O&2;xNEy=@(R3=y^Uze?!r6!G(-8-4zeo0(JV}u~kXxjf#~wW7E#i9g z9w$c5cpz8yV}SipuO5NDkH#H#-)L?dij+0dALjAe*n56D&1lpO?R3#+gzNxCglBW&s=mWD~TDC=48>CZ2DNnhpZecved`$Vy~1j z=f@FV>3qeGfCl;>;2`L!!;M$>&JHlQ{n}PavPh3`AOXI)433P^Gk^vUh07Z!be2&Zn}=l zM7?4rZxd}cO0#I&qS8K4k>^Tz=s%n$M)W$9M2BmN75v zH|pHez(DpBa>{ersVBd8F9|&1m)=2|zb2UQEG5xL$?q_Q6IU47j};+S zed2Rzlh4S~8o6kGJ!H4U#PohsZdF%o`|GXzbU}-+xd2|5=5`u!)FB|NQd)^*t!0dfH#z*dKdZHJhv~ znUK1%2)20q*B@d}uKsIR19pJ_p59>p)6c2*Z|lz3zxVIy4H}A8JjVXLe@|~vP}Jfv z_V4|Bc7uYVR=cr(@86Rf6cn}YjQxB6o-CuGXm?p+|K7hR%V;R}2PMk16|u(-1^s!t z9~%01@qTvAqM_J*<7nu=e|n*y5@>tuB!m&C{G*{5^r#RvNnn54p$!oskvYp5~KemL71}hlP*q-t`2H6t)GQ#|;k&8#5NTXw=#8=7}D=+rQ)+9MIg# z$QYl{|7`H##sPNwD~@gWz-8;N-vusa(W@TRXeQKS zsZ*m=_wtaXZ}VgEFMAt5_05bwDRJ}1tQTF;l4;NjFBxlkR&zDBi`;If+tzE`woKlW zvwVvph46nY8T$uP{jU3^OhB!M-@I&N_0Dm#FkDQm>HTAIZrvTbI8k7b#)Js)fV|vc;$5SJUr(uutu3C zangF)|C34BreUtQn0AJ8xoNd}*hOxAOWJa^Q;J_z3b_>eCv@@~q}RF@lN<|$dhD4s zVhH>SH5~U3CYV>&a7`A+X`7omlOH+4DZjtR{AJvtxl7`C?+#K8$MHJxo#$M$%6{NFN>?*3>15r+9qkt>t@s4uKADSw3Mi-tMKa z*{HDIg4lzu+}G}2jP|)Q{HRM-@8lsZyW15k+U3`-N4jxeKCAP*`|9yZGl%bU#B~+d zEw6vuYq|DzfZ==qr}UN7XWjbj46aWr{Jrd_W<_ZaJvp{Z!H=GdHCJC~r~i5PtfOO6 z3OR2Mf9MYjlRILU*7QU=o!e+q(1qS)P?=c_U4; z6@uw%DNo)J775nvlAyB{4HLC*7a(cRZAy9lcsOj?n~pt;MT9DbVd#7iYc^`k3we3+GAOK9CFpqfBZnD ze*Z)(lf`|Hkfi`};=A80Yct;5+9|}iI4!2Qw>XI2aXuoq=ny#r$)?sb7wXs}saMXY z&`7y8X~lBr-wWE{o$2|o8ZFr>D0!CUdl5NJ(!n-kk=;RVMIU$jFB;p@WanPVXp8E} zcuOK9UUK1Ch=}#&L{-P+OXkJ(v)bV2hkSZpAW(I=XGq3ZLlD6hrn^sX+92Hu6|yJ>?)tG{ae z2#W68lKHW{!)*%}4ub>65T7Jqp%cOb!jkZsGDSe)o6Y%Qh_evTgsK ztmM04n#|*wmCl7dUmtc|=p}~7!@Q~_S93&<4rhcD9Bb@BKd~(&EU?Sl@1Y^XYx!pE{#N}c+=gwD}830QQn3U6Lj~0 zMPSY)d+J?*BvJPq0?B>Fxjw1%;q#mx`Sy5wWbF{x8pH zah=2>c7RI=|L3&pziplXOzaTHp`f8?Co*II9&+l8jEXIRqs&?ZqSCy~v<(?PygyffXyK2Bq&Jg4LgXt^qW&VS#|Zh!wo|25C~)B83js#mu2 zj`WYmi0~f-zNrtU7M<1-dw=Kqh@J6~;DWELTf;-JB zN8v2xk2&h#qgj2QTDJQAXd5nR-u4__F*sE>|J|%4P`4y|#C4qRR+4ATcXPja{j(Lj zDqlZ({MayOZK%sc_s)4C{fkU9hEtantG;?{HPu>&eP7qREq8HwT#-v_uq3M9w3uaJ zX8invQ;E(6_oR$@iK{M$-CXUKp*%AaqHi6cPq95+L9bd9yq+XsYn{UUN+Q_0G&o|f zNcqyB=u%^6PSc&wcV~Vdkpk(x0 zmy@ykZp!GhPYL=lcj%_d5{P(%PK-orTq}@J+hyBpR)u$yV~jZ}J#_v3Sk%@Pv!e`( zBprDTg3-QIT%Q!ZRCQj*9+BTGGO{OJ#f0!^y7g}Jxm2Hb(e3NjAq}yUZ*$`Gb3R>Y_;LJEN6`A01^G|!&zc=gyiz(>agEL4rD$aR zGyOr)HOHx7*}1Al<7>)0*}C^Ie?6F@Cg4NeYQFl$m76kRh4JBt+&ZL#BRDeLnB+*!Eh_(Y+nt@A2KovHy7A z+UI+%d)?Qw?zPVIyskC)Q#HnSo$?Wh?24(#ml3K9n{^dq(@m-gzmCQB)ueQw^-fHy z`Yg2x`b5>csw_wy(PBqMn`V5hBI4m z%F7w+XvpyXctoyffjgUTARlUEOM-p9yEZ4xH8UVhGQ_`OnV&pcwDPg+!P(&Z-$|Yb zeK7VqwYRI7kK}DdtBTU4rB`X!h$q@jMk&q~iEWVms3Xd2iz#w_rD0?yMzdFla`y*j z399@nF)0DjF0R6rohTowTZ8CF-=-8_DYI~PNihkW%@AY9@_Mn}N<8zZppkp_^G1d9 z18U#abr-bIta17`6~o$=Pg09D8OJ%W=?`5Rc02XX?zVe3bnz0IR~T9Im7{GsZAD{^ za}`c6D=ZI1WmNr$+Muirsk!YQK`e07us&)u^R+znErIab5a}--wj7T+qd4ytUKdPh zJ#1fjVCT+z#5!^^=3MemutDX9FW;Z-n2O~ZkfHacwb*x4Oi<^#`R)8WmVy;uj|U|+ zkHzp&eOi5)n&CwxR9La=R#wl~kxYtfk4f`-uoBYzQy0sZ7^bK+tR0_Uu64DPdpaPi zo_ltIxa{5aCmbB%^GBF+tiJ6wAE9VZ~|2Dan!kdOTK-%8|J%Dm99)YTD%^#v`D9|Is5n=RWQ^ z7m`gmxI<6llSYLg19QdxXag)eN?lPSwI*CSn3GIb`Lj}Spfn>zumJN;5zX-SJgXwQ z>|l(Ob(`6aOKk@WD_%;!plIt<$QO8|OzaOk#B8?NrD}53lw`3u zL)~b~YFnpqr5aVeIpWI?%vPx?b`_B@pA(TS{W=rNCU$?ajLR&brm{Eeo#|yqjlj;U zkK*Ifre*gp`fGngv)a>n-0_W&oY^bFf%ddaxW%;`=u(n2;L?dZT%X5VlOa)18_%@g zh`YR;?z6IsTsNfw2|Lwrim$3&un(8PLaXdGG*w2A<&`hYsi%kN9M!Kpe`qOi7Q0(7 zGB;ODsWd>nuWFH`d){vFprxR!!R(&OJ^f6XE-R?+d?l|G3KpGuKIzq4D0htC{15V1 z>0eh!9%isFQ5#bj#mWg@@_l_MLPRH+!IWJ^)&n(TSJw5N?YX^qJWZy)llk?-I@dxv zO{x3^XkBiuv!(eSaAN2^x~qiU?q~R8p^L)LYeP1JjblZ@2&y-nn6aG=Q* zN=&Mlsh;Oe7RpWz@0^+RBt7%R{je-U=7+EL-_@I`ou{5wXYWa6I(3m$Z;s*e7tKY| z{R(m^Z5{<)Zu(XfGK@3{`C4aA_&k3Vd1&8VRKx}RonHgo$}V3MwQqZ`G3N6GQ)IW? z@GDz9$Tl4tPmu6iqA5Fj)3qZ1@Q4f2fet&VlZ-1aGM)7gF&ZdGlj>s?ho4i2 z&v^{Z*?8=^L=`QU_bqXp=&00bnj`00v)WjM?#8FSxG<|Dwqu&Y^$<_X*MYU!_muuC z_w;UJL!#o^o)==;9k6FKA71wI8h#+4^ojHu-;}QPWG)Sf>O8idDCx|Huy<{{@){0Q z_^j)!+)R`YIQvaxBl_fhiAzNfbukvCYR~hiM^&$6Qe;n>-m}jx?#w;w$Mo3q4IfLQ z_xf4Y(v=H#^D=IG8Q=Ysn)bvS z-|o8OvE}wb3eIa)!oCNH=1Hch6i<`sjD$V7U$$$p$|L1x%Ol52Z)Gp)yza_7vUcSA zDW`O5hq+LV+E}9Ixgg3moe2RhB4+iLTe!Zx@0pgpO57Nd*g6mOza*tqav@bZ|EXuE z-Lq`jmFdo)wdp%mJ||Vbk&o?^elagRN!_X7llm#8fUSjvjx2ka&WO{u-sWvP^J|@8 zzwuwfN>{ar*kv~gO=H!StZ!I74tgag!_GQQx`eKs+te!I+msjWKp^bp3tZ z8YT?u-I^^KI@hkry)L~Uxe^wf9LeQs*;wHpnsNR?v#Fv(<<4q8dR&ova#+}Xqo!B9 zZ@w`MkU6`#Fw|T)Nc4oIdCo_Y&H7&L-XW{0^{+hHF{Vd@RijoCnVw%`8A)sZn3?8u zQ%K8wy|K-mtcUcQ`{`7DM!_IsZ3b5hk_m31AjA0ZiT=%j^}!Oo%JXQsl@}~46u$=U zo9I7G<)5&;7^e!u?5Iq>&WBA)Hu>b!zM;ip8v01kov zzO@FjImcg9^Vg`qZ(f2y!6O0itfKf0JPZmRFhMBHVviFB4B)V5g`X0E`(0pAm`@%b z6+B>q1BM?kf%{!xQDGK)oG@Sjhd^<7t2bnZ0RuP;3hSeaj|v_zfoH|x>!x8*5o8k% zFF?Sc2(k%>SJz-r1lfeccVU4+LAnBbR#+2x{4{||9|*-;M`2MBWD^$u--kg#x&nxb zh5lYe00THAB{1T!mm>lgz#-7zgN2M(1i0YkKfxl%CoJBv4VkDdWk-LQga6?x2r~!& zE0+CX4n+Q35VMsz5ZPi4|3^8);*c;0H?<7#%mI~G=kqc>gCzZz3Xz8{eO9}NyX0mW zgY9=KPNB__?Y(CD;ovuy{^uO0RPtI(7WKI4cb9Q&?%_1ncFoL~xuVS?RLCB}rcHGr>ZGmxSqBxgV@@SXs@` z+X|`f7%kH6e0y{)Uel)CqM-e=LVe>|i(Ot$jaJW8jtZ^E#i3V{*srNM3&y(y=vSD-NU-c@XQ9qL_cREuKz<<03%$3oBd(W~RxJ0ma8 zOSgWgn`$od9+P-Q5|z?f{Q6v6{G&drD2M$^@%L}AvfpsjI%<5}($jWc>byq0U0H9& zXt_eJg7V9~2V>tS-uk&ehsBZ2M;_I94%d3KI`313lZUY5k^Q{ZZ7Hn*f#R|Hma*ll zNmg17pDa=y%3RKH?CkiZ@H!wcS46_6dVg)d<*Vz@Vzu*qe?0LzYu3Y1E+DNRe-QWD zWRqS=<>0_mx$gEV2eQK#byC{mET7@hrUae_cBj2ARlfJq1Sh|He^$gknj`cImohY} zWSw@lvW&3^#l|ZUr-!_A4;?GL`_0)*dpGyI^XXxUPd#EEdgj#1!csJX26EG+i_dVs z{OmMaJHlg>Bj=18s_h9ecx7UGKpy?ZZ`d67eAYdOtR}gS<@%8@CerBc@rO<~Q};8z zk5sbSxGH!i+g3Uuev(_%8)M_ju6Xd*d}sbu&i$>YEs3TABD#6z$0?e|pFQ1s4qf{` zGVIka9|bawU19<5c^AHrmaoUvJFH)PeQ?yYQ!|%%*K1PQSG1Xdw5Puwbz@>Td81ZP z!`dtmd9D2MM~d-%8T|ne1(~b@9>16*k^RPfGSza8?_+ zbQFD{<)51{ls+(1bHOA@LTuObFT8F#Jt#WnJ`lC6$rAS*((Y)O~dR@M1)cd1Q6y516e=YW?y^pkl zbTHpAO_q#hGaRwwNye|oj^+;sy%8`@trQD={`eGH@M$;>)nH8-qp^S<);_=?DtPpM z*2ULV0@201lI%;5(6=a)V}B;^I=&F2laZ=&DaucXiA{WPIP`&a2U}wA{>McuZ;C4v zSWWAF{r1{d(LcB$k{kE*r{gjAn&wR9mkl4xTB8}v*|lEB_>Opn=LTE~?NRVhuWFyN z*q<*&6yq_KRan>}7=a7_KFYiQ;XF=k!) z1Ct6*J8lM-HSe!*V}CEAvxkA4=0SN@?JnZDFoW73KcB=LROZiH*f8Fk(zjTmb#3bD zOs(_#$u{HDd{cg-yB&}Bt=#bsw81R1+~yAcoU3e8`n8kMc|uE9AN$$I+7>fJ|2||I zcl3g2!|6+sVQi>27cP;L=CluNPw=r=)y$zivm`hk`CO#o`swo`4eK(*QPUAUaCqOj zO_h5`?i+P3VKs*jRe#Cj@rh?Ru}`0dX_4o~SgdQEq=jxIah@shm2v5b{yknpy_^m9 zwR1o3p6#kaO_~x*+2I{sU$6W2ql_Yk)DAvLLhK6mMpOL4l1gIABqzpGgF$E`mjc)t2IC7})rNQE~Xo zKVbs~Hog!jR58Ol5C8shaIiZ}aUwu<5#(8MPfrY$u@f9wZ{pLL7x`#*$kKbt@^H}U~*Kbt@^H}a_4&nD2!jXdi1*Cx=+jW{Z3 z=0*^l7|<;TzE@G$Wqy5EC^ju=5Y5yT?+))B&jhB-)9K+S<7pkWR<6hT74%P&N>80P+$@x`~%LSPlU zt)>ihV0SIr)~KdrQqF`qL(QJ_Q`WFJaAI~elL00Wn)=_$F$~xXxHvQ zxyOZb4L1Je$KBQ}$_=H?QnB9QQ>kSp)w9Xs)j2a$|9z^4ia9bpm57w-2@fB&X+X>M z^<&qUt@nSvC!{4xSw2%RXk#@IV1`=E$tF2Lv(IWWD^H`7MWSLk@v{T(g#y9a_I8$= zXywK(DydI7DlYt#iDXyLtiLG<6FiUkb!5;c^rKheomxXm?nd;=&is={_zx!AeNvTS zGBUSnJ{!EJkSfBSL)+q{}9el6AV+|Y$|P7q4Yb&)Ay5D_jA?hDAGpRC%e?;RH*A{<<=Xk zt3&S97-424Edt`y&;r8~l*NG6ofeZju!u9b6u zoA$%|U9NR@jAfVY4$GSL9Evtdlt&j?pG}KZn`f{;la}&o`tsg^cEep^4*vJAX)*`2 z=QxVLm<*BB)ZR3!SUpL}z5H>6q*bJ`)JFXJWpsD7n6q-2q;KtkPf~);r^z0WT|K;; z^hQ%)O+ab9#^E-0mo zeS1DsCeHKGWQx;ttJbm6xYLQ%l%bhXG(V637)j~~r&^ygzu~Cv^6ss~Ltm5QB?V@o zrVfRNzlGgaaQ53A6p5F#znHqQSMqx)`(*QkE%%yOj-q{Sj)R$1prP$O#z^|dRe^#$ zU%S5UHeF$uyCuR!#{OMVO>%T^{dZE?sF zfs1>jH#g@ox|^V9<2|fx`Js&~*WU!lwynyN827;S4fxlOPNVXOQu` z_rGQn7S15!OAP)B#o*r{Y=#kJ6TB&$2;SQ8*QkV`;HDSIVK@{8x-P(%LkJ2U$q`3I zur?8j3Lc^mM@6tU5sHc+n+QP>WD_CiwzCNZ5ylWt)Aq9oB8(x83K7N-L${wz5Mc~) z)a_@J$o8`dB8(v(@bNOlV2Ch=7`pwn2_lRkj=KG|2_lRkj=KG9 zf(T=Xqi#Q&Ai@~ps7Tf(Xxlv$L>L33LWw&R&~^`&{?I;wD4^}$e*i_2O$4GMS(^w< z6OwEqFii-)bns?>D11xozixgAK@sem2tg5K69Fhh7z4725EQ}MM1Z110apn^(up7z zK|T@0B1kAvJbwyqiBS-344f$fPy`7Dr$$j*w6TB8u=w^+2)NNm&GUFO?4HsEtx3Ac zSh9Z3)-f*H!$XR#$3>n?b)68V=Q+lBCLn2Wkx8g0L!;C8mr#W70EWQ5t_^mBxqP{8P-GZIh%iK~gx9JZVynW(D+l^II z81_R&;U+h#zC~$@)*W?e7MjKxYlp0zD2vMos4u;|tkmo8dFy1+R4KLjw_{GWwj>;t zosC&zPMj{L3%#p)PWlJedlkzi95z{+a(ziZl{n0@XIK;SqpzQzt(J-7!s^_3lm5Ps zXXGeK>wVw#8a)#sZ_hQy>hT3y*A15TWTjPA*o9Ot9yhh$$HFgo?yAt+9qdt zb#*t@h7HNa3PWl3p-wZa`zTUT+Z{|yqOPwsKIEL*Jfy=AbS%}txI<{!Ly)+5#(!4Q zY?3+emb6_$&UgvK%X(tpGoAgS+Clj-9Hiz>=e3Ot{-dXE9%+ zA7IpMJuScZf`_^4E$R8hq)puc|NZ9Y4ghq~dobpXzX5`=hnc4#68Qh`KgjgzniB+_IR>U-0vX zfJZ-eW8S+$A}twLcBWVHcmnSSPciM9AKXq|XSm5d^MlYkWt2z@l!CwZ3i~_hIH%7I zgg-YiYLBw3F=>34 zDn`V{(6B~-xl-UlXaTGB(05KXMzz}~>6R$!yKgkT7$aNvZ?=(Fdn49PB{ryec=AGZ z*isGGO<8wT%S27}^V@7!X8mIZjy~{@iFW;Zlq=TG_3N?9$VSSE9J3%E7v+{vi(HiA z@#CKqpZd2&VX0`7-tU#1{Yc6C^k7x!(xGOXkI9b=^d7$}a+$lnu4$WoFDL2r8C5zj zxjR#bjuvT$iX7Q}fX^@gY%_o5^s#i5M?!6cW=UknA&>ouDekXVyqS*pDe6-k=vR$b z?>8jPvu4j7Ete#>F(4bj+5VX&K?LnQ4l)HA_P2zENS?kGep=H2Wim7De^?N9UvydzhP&XKzV8F~QDI z@b{d=Q@>ZQTa&=3U(}X9ZU2STFA8)4p#FFY{!aa(fNN86AQVo*qCgh_2!(q#QJ@O| zgu+>46mV@SWWaFh7X`Wiz^GzS>K6sN06-|5`bB{*00*7L!eOV7X`Wi zAW$gvivnE$AQVphqCgh_1d2~N$fgE#0YIQ=n8_3c_RN7m;oEw!XATI3USEyx)dFnm zAy8;r78L&Cn19=wL!i)hJ1DSc4g?BS)KOs190(LzNQnY2O@;jEuz0=+@?Jp;02q}3 z(E(ZjkV63i3po_n)FX!idwS$h1ldGrnh<0YAt-Q2L_T2Pkcb=#91;;jLE#TM6gVUz zhi*TcK;aL0)a_>zDEuLhy8Ubdg+Js`x1UX*@P{}mDEuLZZadW-h=50i?&>j?>y z`rBoC_IE?>hN0KOqV5J6!6z`G#(cLf*YNg`*7IoxYR2k3m1(g(@eWRbh{yS?i%BeV&1)Uc@HYt#M8i|R`95U*MlP~x5`gG3v!y>`7nkiV_;gp?D(6!-PV#f z(2Ain;oX*XeMt=(4Z0Uc^d+XREYW|@{9^L5Xr-Vwy(v}55_OkbXF&Oh&+d#xc3~Mz zubX57)%y~hYVY2S?<}idsdQvZttPTv1;X%j>PQ<-V{h~vQE(MXIq&F$ePl_p!P2(G z?GpUI7VQ(xmp?8_6c1097+_M7o4&6Z731SrXqYvgr;N>e?q5H#pIJikWzlEhj$*p; z&#WD-jYVwP;)@4EJT@@mnxr*qE=w6KnjUMiX_@WS+8L7C(hoWFXtfWleAQ-SK8ck` z<-6cuw3*D-QTt&tY$K9W_M>o4l`kWeJ$u||v80J}K zXh`PY;fsm3wDBxe{@SZ$OK!6AtET;eQJhfI;?V7^ICq?GZuhcMEdZt^7qOi_QB(eLsjg}_vqqU zC->PaIoy$wRC2DiKS*P5H@kGy;o*e(C+%`6K5G|p!CH)f&WInSwD7n23erl3Qp;DZ z50Bh4FWKXg7iZuQEMhrb>roA@N0 zhs#cNx7)k@#!va0o9&+^D=y>uXTDU)=>{egN*wXK(|;f)Sx9-KaU|`N+(}=GE{}8i zD$j)tzDwYO%FF)zhD{!k)IXJ6yEumhD@cB#^q$!e%DCzez&I{%Al%W?Lsmzu5Z zOS8JiRl|=eTo+utX!`5s)2Du4H)VhS!)OjBs$}gE`5c~A1LwMWaA49d!59U`kN~H5{=SUeZrXP@?KgUrs>7$R!62pY+7-l_UW@dR}5*x8IZXt$f7g5?O#cdcn ziQZxd_%CE9(O^j}8vl7mavKO5bPhnEP-7|@EU5*daEcKPItM@~oD)TZCAAWp>bDNi75lB{tEZa{z>j!mbF%p@9qg@PG90?^P5puY#ly8gvdopwKQ%XwW$T zfx`EIpmP9(;-KYCXt1Of0)@6dL*qHif7@k4pisvp8c)Xk9SU_^qVe6Y{tCrHYxdEg za{wGLyyFxaEUAS+2}~1k@Pa_$ISe`nAW$exjs{C=AyC*A;W#vC8~~y4TMf}*Ni7H! zgZ3{&gZCpLha$)(LQxT96Co(DPewjq;NXQEiXfW^3>XyC5Jv^YG~`g=;DsCt9OIEg z5v)ywrfK`x1d3_M2fY1k0>w1sQMaE>pqPd@Dk!EQhi*TcKrsz@)a_>zD5fEgy8X2Y z6w{DL-TvAHifM?Wf?^tSC_oA!hi*Tcw$#)9A^VU=-F`Oxal>t4Cm~Uxm#Cn@Dmn-h z&wfHSwO|z;1PZ4Z!74iBP$X*;JSr%rL88K^39O=nK;hE_R?&e_QD`j?8WhuzLlI;X zp{NMHbcCP?)+Rzw1YbHrj3`(}2hI~gEP{L@ga!39FfP2i0U9i%Lk>l-JP}}Gw-nO- z!^EO6Xar1b$iI17d0N%j!)hM$I$A2ZXL%U%$Y~x8qLHs^d^aO_s%{uF{+>OqY_PX# zIzO10=f*uPrhIyw9=8!?AkmHsM{Ca$zf$h_(0gWn+;5{Zc%)ox_@bI9)BB*yD4LdMND{azt9@KWuZ)Kg=6oHp0o^)-tvUTX%+Xj zy7Nx1t|X$Hy?MPj_dO*!W0iwP#SNbP43;ftwAv*8)>Do)*B4tMbEe&?8JJ5v%o}k0 zbfp-w^x$IKTpCp@1*IME}!e@_P~a659YFO-#l0yHPjnF{o08|RWb7SADrk6 zz(x5;VdK5nm?iuLIPXh_r=HOv>qzn`fBhoisA5&sq7rGlCviH-(a347UiJr>PM`AI zTMgye1gO`tmrF0_^&a+l%w5j+c+}8Ryn1&pc3$|2sqFp>m$-tL>&6NXmA^VtXT;L& zyV*QrblQse(a+Nl`KMX)i;ZWl+|kz55pE0JMU}n0RvP@qjP0FV<(}&z+8Vk=MhjG} z+%GD+zi-M32-QnosUR;ft{o=#RZlk-Ha>Kqk>MFjj<8FIrKtN!=H93EFLG}927K#0 z?a*SI^lF`@CiuZnuTihkM0$VzL5p_wb7>}xoO1@W?N-`1E~s5imS9Y?uTg0Vd(ln% zY~1?j6^=<$^;2DbS#ANWnT8S9>a&PAKg~6@1W*j`IGMgslNhJ?I`qw5%XL+e23^5| zEMvRq#=54PDGFk%H#2h=Bhj9cp6Qu3>c2fJ zxblIQp=~YTQy^K)B|(b^WYq~oMO9Z)okopq`_bdt*G2j;|D8uJ`uOyH^9js~89X~m>^k_}hLk3w>5E?J&(ND^c53JfP?!X1o>@se^HTX@ zi-2`V;6=k{b#{}3ZDLtBgJ0hvy@zWFVrnTO_bkbI!!gPIt>C;FPt3LHE9Ie4*^A3457y^Y_ zX)&Pd00M7z!RR5ktWPCSoW^ej$c#Kbs(;A=0Q2(GW3o``H8$4G~A( zel|fwL&QKn)av5fM zp3!K*@lr7$%G~e8A#onP(S>5lyZ5>}-hMLP|6V`KeThGs9@iaLFF_u6;{GRT-cz^k zQ`}Vfgzc7D%B$-yapp{PtKE55>=xEgO8s(M|A2Mw)00BoQpNgxeHOXun&r-)$JHb- z>0b-44{VhB##y}^8=uM>b6c_awNhd^Fa5J@Uog7B($jj)>D{h!-zv+!Ii?C@>&A{z zdg|XdQEE1R0bXYrrv`{ILTtK~8|QXRH1yDy%w;tSV{|0VoR8Su=hhOjI}_nPRS?{K z{z-z>{p|7iD-l`=7Y%w$IM3vid3h6&>rNao^6$Pe@%1KVkNu6Lyu+)_Xon4!oY7;^tlYl42RbWH-wRrODL?MUNnM?uR z$n*8ME{88+moroRy8M47XiBgbkFio^#&N!KJ{Y7&FKY03qQ=EAQEFL%j%%;AzsK<_ zLB5oW))wbSQ*xSArvg?*pPsNStecxZb@Y_t#zb0Rz?U2I7YX*mhnsM>Ir?tUlBjmhYcQP%5^Tz z-)TXG8{Xymc5d}H>O}K6P3U+{FnPqGXa4dr3W;V9zwdLkdpPzoDntx3Ti%aQ5aR)`qJcPK{44*8c+zoK%YwlwFpP%*bY&->K4 zDl|zZ-u{J7K2uEa#PuMG>^B_|S^RW+=_%ZJ$Rn1yKMjaf%U*n1GJ4inZ?H6Ut@Uo% z$laBO&+-mTZ^Z@A8$4c(izxU+VeP7ZYEW$6hxL%CW{Q;^ZuzW;Vq;7-`aulem1!~3 zOwI+0M^)@9V$L5kT@(feOdkYYd!0_IP3@ZSki z3}``sK%tgg3|P+#LJ6>(qQHj?I*kI70+l5Fk)EK?+(B zKqvu%6to~fpm2f|v>-sBaDo(U0)_TZ#efzB2oz6+{@y0( zQJgsO`zQaK#uCB(Hgx{mmK%g(@v<@u3T$&AQ2cKM1_k!b5GcOA1q=$D!XQw*s}u$W z_RSC|p5%Z*fqgRs3THXNdR`EU!3$E5sG$D<0);ll!hrq*2o(N%1?zbsP-xR)%ohIv zp#=ucBX5!9{zE8&Y$Cv#fXW*9tc0KlvWXBBK{gSB0;e#f1IB{N8geLb215=-@TG%4 zD;8ANkVi$ZHW7-t?QHtpEc&mDDWRy_&L%9VtRbJK?Pn9HtRapHDr?B0+s`IYSwkLm z``H94YsjN+Kbt^h4SCdUuT9u3m3#lu5{?CxHN*o3%khvyx1UX5IUe$;+s`Jj91ju| zN|0i~ay$qWN|0i~ay$qWN|0i~ay$r>z<`mgP4EGO${Hjpe88Zx214NkDHbfpLk>l- z3nvs6K{gSBBKXq%-jzbOrdY5Z4}4gHSOniXf>;F06P%X)eQE4pzs>}pptuG;Eu7KC zZV}i1VRZ3D&Il~I|Jr7@0pDg8Z^>;HV>hjSbsar*dfvnw-y1<8Nk-L{=I7jJV!2B< z#?6j~A0k?ta?jQ#@BRL*D)hD`hkPm*J!hFbu|>v{^rvgz9qHcQoH!+I%kk~h@PU^* z;-s_B{n+;_80#T*_IyfY;9gTZ%dT&O<7d;OUQ>8ZcW_K3>d*#c_~cuXYUveE)oqlH zyRAI?%(ld6mn?pn|KmW>s_L?1@2!EtQ0rjlW>@b)A#UOsm7j+tJ89TYFEo@N;%8r+ML+b@`Hdf zu1t!TF#f4ZH7g)5Q~&2In=vP6gd}w;_s8AWjmr%`Pje_VoF`|} z>J-i?(9Tyn8QDl=`o_D_<@F2F28BZ3#A6(1%g6a#)SLR%H;U3Z$X0b-LIy1;q9v&X zr9Hx%S(;H#sGo;KypOVT6O^~Jczu(HLx!TVCe7O?WWjtv$Rg)#m_p2C%z*PF^9QKm zHhvck*mC&m+wXMJ8hl3s^Voe zqP8ZtK-R`z%dgoPt&1tBCrcXMn+sAg8m8X=YTQlanEt<-9miG*A4&_mHa1=;Q}I7rj^YGRgvSrd()+Z)#>{JLC)1 zx@oCe3m;HDJ9RhpNcK{!cIJ3Sk#+G!%K|^gFSx7TS95&nJ7_-}Dba*{dQE;e!|i(- zd%B~Uct>+--&C3>S!y2T^J4lnX@A$501=uX%H*&kmmcp^NYS?M`eGDn(_^8z;!`#B ztYzLxl-(mR%Jkc`%HodwR*dv@T|1@#Jdni+E)Z+F-PM-W<7A0_zu^JCD{? zepcv6F@8I$U$v=o_x5gsy;qXdhicw@;k;ZZkuY5yba9lbgXfyRY5z_)w^1YdEsgoRdc5! zOcr9fn?J+^$ucH&BOmdI3%k0%Ddm+_hUHp?s2mXr$md*ltn^>0($3#Ylg%l^sUL5 z&}UxKz9LN)^*dclz5ZftbArvAeim5bXu0`yzu9r z-!J9~`g+L>gEF4Gx$P z6gXQThXQ8{#86OxL=FXLS>#ZF`ali^4y(waz+n|R6gaFRhXOI#8E*35;=7H zYZE9yB9FTLwds#Ba7$eX@~GQio50dOkNkEbW6th0?M(P=JI$p|mUxEbT)M zMUqVfq9R$Fpwol{OZy-LCNND1)+RWkivtBnFe)J^g0+bd6hSr-f+AR(2tYvr5`0zy zEHVyMApZj_f_x$v7ePY7DQFy6-3Jbt5EMZ|!P#rvmg>HL*lYaF8wl9zKMf_$QsFO2 z8z`8JZ7BVqr0goje)VBTXw;J7w=%h7mtM#n=V25@|C}RslE3swJ-*I3aQBIlY;CeG z-lhyI;u~wSWi<3O2VUM)5hIUR#(k(iGd~$hydzj?@KzU12#H$R)3bQ;n(5f8o8oj= z@kHF^LoqFKF3qo|oqY|c13p&9<@v^Ce0I{%TgwPI*|U+jWWh^WlaVPj@b*{HgtO%? zNoSG|Jx3~&Fk>}Uy~B3iSu{MJL@rZb$kzvb{|qOLB(Xbgu1>HcX%-{ znK-qvaQBVzzyVYQ6J4&{`Hwx3XzkoZ89&FuBG)f6?Jtc!OZ&B%z1^Qh)z0pp;l_;p z@GPa7-F<3JBj26#dm+F1114f-3W4g~#ndB4vcY#c#M}Kag2@{8O599E4hM_(8x|~6 z^Vg;mwf<7&eO6^Y74|lWPBoa3%5945t0c6G=sGUevh^y?;x(PgdfLCr1K1x(lb4!o?HsmZp}xh5Lj<98v} z_3}ucqF&y$PS25y2I31r&7YH1J7!++xCB*q*iqHDIc2Mad93d9nn}0XkbBHwWPDvr zQ|sVJYpwW?`wq2y<4a05JZaWAqb|dj5m|xBHZOm5(3Y|%^fn522Os&cyD2&6aN*74 z>+u{#@yf|Y21jBZo5wPVWjpS;C?(q%#vtSIowO^z-A#5`##x(=m8{w6dY6MGb#7+w z^yO>R^-VN)m)ZTCPZxNdn7L~#(4A>Hbk%-Fw%nSwG=1~><}7_q$bC}MrhB$4oAK43 z^*X&CRgYo(g|)tQi@&#Dzyz2Dk*G6N)03Nsm5`q!uTehr<%+%fTK$VlF(K7j_zu7< zEkgsJ8?MAt<1`Lr`ajEi+L*O!H#?3M~*)P8Zf6q@rKJ4lH5UOjvXqoVPbZ~lwu_+L*&<3I}s z?oX-p->GOEejoI2@+t%hHB#e13kL{=^T{}{qcRAE6W}<|!U00zR5bpsg}*lB zf@&gi==QS-R1=X$-F`NKY9jKe+h3bNH4%B#?XOK>IV0kzpqhvr3g|z`q1(?Uu$&Qj z)a_>zSk8z%>h`k8KXaX3&-1fc|?g5``Llu%Rz z*+eKRk}n;6hCwwE95A7%2)=Zp__>6<98gV!L?r-4@TDUZ6~Wp>2#VlK_xm|v4-3kP z;KLHcB3PXWVi6=14!)ZP1x0YkIQXFhtZ0Nl;U@=BPz0e^_`w;hXoNuVRlks21O-J1 zl)yA0NT}cDCs21T$uVW7u#QBY6>XBaLWiEil?`u|HuqDZ8pB+bkAt!EiLJ2S);_Modr>gv0N`1I&XocrpkPi0=C zSma$1L&E~131ZBdybl*fx?8nK#2Q2&1aK}rVKk>)kh*3)=T%B!kl%fXu0qi+u|$3N z=JDdYSGaO+F*RP(a;i!zwxDp)5EZ^YZuzEfCOE}Ud9l5*v`nqz{@4%ln|!5FmY1~3 zQakTAEr@n^ANXo;b!Y#Rxy%9~>OP;Ok7eSzo@QAebDRvL{5<^IDk?RcB4X3u>&lLB z3+K0}?CrKE^J>H$T*yEC=EM52ar<~0n|;ay;j}xxMi%;3?$+xPD!#h00~bck6ip#6 zHm_#W_=)yp+XdV6tK2U}gVX|b3ZLv8dColAt%Uv>qT6XX$6x*Z<3$qj=QxYT!(kC_ z6=eKLn0D6aTO}e)?fZh#u5bFh_Oa3;LM2Is6!?yXMzlWF!+vuN5FgV!fjTo%%pIsZ z!|AA)7!XmNqrjpLt3?8@06zrDYtC%#lA_%`3G;WE{H7lm4)Qn^$sjUEna4 zIAxxvz`5*u48eAj*V(!3l3y4ezx}$&GRV8*c7?+(4Yt><&yS(_dk%|O6x$WoPnhXp zW|JqM^q0{IaHYQgxRNhPR!w_cSaElxK+c)vCm7+K>Jw|%csPRcuGeYs-Nk8=?ekAM zQP*$4)M%%2{M=_Bjm*d-&6+`4hIh*k*Y}9G)-YZTWPLVp$0jBGSotfCldGlT$JgdQ zPgZu1{rI+C^`ZBT2wC2Bp1q!a)pgV%{3L>?dy?ki-xX}16qjGp^yj@2sj8?}d-GC` ztK`8e7v}boNAB0Ydxo#Je%^i$ZKK`u7dxw@lnN5eX5N2#Y3Re6!@p9F;?IsxFY>M7 z^jk1`+GqS&sQ09Q?7auVa-^}rAFk|d^1bkpv1*fT-his!%|uRaU9&-|T2Ew>nx|qD z?R-SBp@MCM&N-P+RHmVft^1(eaJZik`@EOrN^?s7+w9I~x7{x|-d&YgYVv+|sA2tC zVt({0*I;n)*ccy=errH%xUAMuWiOILq2$eMhJIoLoT;h@7;=Y>uN$!K8~f%zo+T

    ={$~`?1b<%O6WKgC^Ek=9R4<#4I|hW!m%@&z{s2otm%L5TWwd zX!EuWSSq1vjN9MDOx{U9!AR$JPr@=#MXWZS;og&5_D9GmUS@}6iA`oDMMajs7D*A^ z^sIgw5OT#w@2>c0OQi9muZQJCaN3WA@4mgsagMumGLn@oNXEx_FG&uUcFxlp5@t7- zb;g>IrxoFJG)!S~Skr5_-mm$7)%1>G6#X%edM`uyHA3%WE?t;SxUxQF*wf#tJ!a+BM%ST6t|@#YOT7$TG9s?(6{v;j zQoPNpy*3(fUiSd2$gvd)EX^z7x>#n$9U~Lgco9ijKTi=RB8hIP@cw@yA_<+vYcwLb zKQ1)Y-yKAR&bT<7Q*$tKFy)k#q!2o%Cc^or!t~Ey{%eO3QP8I$`lq4u-z6nc(53-G z;o^=cevkieY7_{CS2u`)h2kI-u11N1CJhh@my|@oLU9Nb+8 zTZ1j~Bq1oYFhUe;!3>Ftzf%(y71;7YpwL?iMM0GjgyQh$3`kT^WrRSX#JDJ^GJ;U} zeq0n(86i--J^>pru;l}x@Xj%!pvnk=LUkcguuvQVB`{6Ei4=s25qMVM0D&BeAe#t8 z1yx4GQ9+dvITXR#L}I;@~GR-Ca`7^5*2#QxG1PHLZJ9_24ouos*DgQfv8Bbi9l2&UpfL& zkz^Brs7Sta1fn9zCIV3reCb4?O&EV~>;HPrAp}M6r6T|ZRYou+2tg67O}~>6mWg23 zR4^<-EP{N3*EWlSZBxOpI5>X_>WmO5)D$TSwoL`0SOQQ4`9y&51$9O+DxC1eUv%~_ zsi=Zy3xxkDkE#?xs+^bcAAP)U0E^etMKPj!6hfMR{{Ht*2LJaL@c$zEzyIeg+`$(8 z@gEKuhegsn=e^ct``$cy&(X}Tb7^YXL}arq`6*6zk1TXWFZPla)8_2{Fc~2ilQfj~ zuJp^!C$h(FAC4(D$@5a&c{}4-OvE$wG*kcW{hWz|^4K#zyG>Ou>K`4ykrS%_694CB zJQlg33Rmpp&))xF;YhSh^GoykrfW#XkSLSZjH=_akf+u~i+WOBi?g3Dxpg}^wC5IQ z96xZQvsHO&^Q$4#`KXw=s;ZbD9i^FTMV4|r$>n*1YI~1G33*<68}?C4<=0WwAFS10 z#OEJ2A54~yXx?A6dFB%@D&>jpsKcEBR-vV4iJ8Ks$HztsuQV-S&Z9=(@@)1_J#|_9 z9GoBAo;eUxO)2-4XVxI_HeYH3m1& zZ{0CEyhjS6k6z34A-C&*A?~GJu2;YE&Zoy zdz_}c{Uy%N@{)5hw0uOYy3(O{*Q@q6OA0+^jIzJ@^U-&#Nle;Z+x8pM!>1`LNK$sSGG5IXWSCj0 zDSff}>*K=9y#j5u{oQ_;xr}MjTN2-AUK&jHUNaUg(VR1u>iel@@-ae^%Kf_e_zI~JH<_V`oqF=kz+)?69uM|S$e;pCb`KhS8GFTB2&X_Np z;B)7KY(~Pd!_vpqx#IJ=;^N%u@zMiL)ZC#m-R4`LUhGb6O7rf?=x8bX+5yp#3pq8p& z<>?%-x_*y(=#9v?g^fG$*&Nx<=zDKmtkXSbi#^_6zowa&@vvOY$SVWaMkMjP%qQT4 z(*j+4_ulpcKgKDiS6EN=9#^*&W8f~l#N!~MLM_QQy}U%!bc}sGCwqAxI+5l398FY~ z9ue2`K;d`a*#*04q7;maclZZVdTZpK(RguXGOWYnL|5${>mQsG*ZaEf3#&%+HQ1A- z4CyA)<+E=_XXxvPCElKWOoUbrYGS7oSz8>gJ({~Y+3IWd#+&HcDpAu3?^7bn1(g{! zhpKn2AFvg#nHQtEr6I)bld#W@Uof01!74z~uJ#EFAD^MOy{PxTmp0}!0$vAy&Ln;( zdqCSP`-?qDzp!l9wwK14gZSd5@4sm8bWD{mJwJbmR&4SxO#;c~Xyz3c)*Ce;0;mK1 z1+tm86)U^07RbUp_PM2pl)Ad9`cZ!Wl~Qx1 z`r^>h(CgM0W97>ZuGT!+sqnbZsN7)RbN9*1y|j97&9au7^h`1#V|7hw8LJWC`cyj*QvJ#noMzpfgDC1>Qnxg7hTfBDH<4~55iEk9i3Tc6)&h}*nI zKEn2pd$Ool$EJ{4Vktl18poZfhOn@>fXXR8@#7+43(1Om11GwU%uv1VVGn5W=*+PE zQW@5qt-QIi`T@&|K8E(J)2(@-%l}68j<#vADa{*-w>q(snh&irUnm&wNm6WOzAagQ zhX2v=K2mJI%q(X;>Q~#!(%Lb)RfBJvH-#u9gJOA;$~aP4tzDZRGj-p>^KR;TIq zft{w&NiQwa8ZL?0@7np*W2N$uOW~2w8%hN=q#VU*NjKBv$;2!6hgV%;{e~T_!$2}wwiG858do>i#d*7=<0InG-9b>7GGJg@KXpYuA&)wSMh z-+R5+y6)?~*U3ju(Ns@gvs@w_@=+E47#3Wavq{U4FcE)A&MNZh=B>*H=9a38+Efe6 z?-!g-m2%`y#6{!V1WGUl$OtyzcdjZeo4HRK@iU!TFwV;$7LIseQf7AY?7{KXb>LRF!klqS1kU)xGU1^jJhnEy)we}^}WqQN%c=-)N{2t<0& zHv@sf_bC`~w+*o3P})J?49JQjHGlzkM*u4hkshqV2U#&#@dG-#TEK%tkDqQMJxAy8;r9yC~m4}n5`ZWz!s1A#)nuNdr4|8a)l z$rlWG!7j)ug*^g*A%VeG$^SDeG`)q{b|k?OO;XVG76!av7cyXIdJ6-ZW*|@;9}a@G zX={q0^8`u(z*h$+7SsX&EC%}%$j1Z8?}AXM9SQ@pG`IH92lX$)9J3Vs35&^#ap zlHUcN6$cc->V$@WVO7beGdPA5hjN(FvSRmmbbvC+jAAKH~T}u>rF}uRN-mmBp}!%2~b0 zb7m%F#PR&@Yx4tpp9<~C;^NyqM$l!xu{UIPW~8pbWw!UAA<@yE=(>B1*bCi2$Z16WP%A6uf2-DEQ+!`6tI5dkoQatEO!Mm!LsM<}>M{;&kKyLXQ`x{R= z@(}tBSp_=A*(3Xno5TzaHR-*Ne>ceu2n)GebJg*2x14LH)hp{8GJXUFBC*QE$yaBV zUh-U%4qiV)QP0VQM{_6gM^@A=(;WOp(&LQ>D5V}Vh9|z8NvSKcU4(wtMRG%OnQxa{KpK-`EFN>K=kqk z$@}2UJ6Rl=*_5A0g)_}d)mPy2Pu@`0IOpcHn?$6K* zC0Gj^EoEQy#{S7YlR>OL{5a>EtB%SV#-e5iHs+%EnyEP7xT_}q5K=WLktg%M% zeQOML%Q$e|=gxs-WsTZbq36Sna|(yO`YOZe$SV+8%{58wxpWgxNz;g&zBuG|MooBL za}fL9xfMTvX54N%Mj63wk&4m~-s=e=Wb)A-O574Jbadiq{;xMv;(#;B%YTJYJc zddHs}0SybGwF{x6&6g-5G`{V%b!PR5)~>R-+Oqz@^w1mBVBi|6{q;l`bL3)cbF`rb zo2;?0$lcHwMazB13qLa5XMj+6CtnO`&wxOooqRE15jX@2?c}@F zK;j>#2@UP!ivf$kK~@|udC;B#fkN9SY|Z;W4p01PX22iUE^Z5GW2-ByTz#tVp5>2P={{9S&9`(FA7&lUa~y zg0q6jED#EB7K;IsS;(OX)+Su62=-05pa|Bct@wonF__H)9~LJTK|F1>g@Al_z;qT! zD+R5_#(?Q85Go0kGo`_F76_GqD%{dwItzrtg~dOV!EM6g9~vr7VewCU+i$O%^zUrg zc42Yrfd1cyr$4=J*sF{XwAy{Eciyubv)^y6G)3*YQsnGI@7+HAm$Ka0_fiN^IA-Ts zQpxs_U*BAj!c%5 zT*&*ptlE55{=l)9U0owo9uqgcFW8@d=||TYvQf=mrmu86H^6cvr0ijF_yhL{QJccU z^|PT4B44A_+Mfj5L>+0UnGsrvP@(eU*Dn@qx@e%6mgQP%XEYXUG3cV#zT2Bx{!XV3 zGe=W&>*)LNxCnt84&4{fC+}w){@@HcMvQ*>>z~TCIHAX>r$4FnS?8letYF)*N-Iz2oAzb1n;T!*%wp^wF_8?M%67!J{knTzu#b9ijDt`wh)}=o zkU5g`iua+Kg>si~ehFzo6n7c+tB1X%j+d5w%SMa68STBM8)j7V-uN@vUQuODp z@|cW0B%h)=J~Dzyymzc3#vz(+lGedRGT2D->+``Rj+sV=O!@FWGd=AxrN?WPimPQ) zjhp)^=k-H_X2$mCg}8=MT^0UXW%UFj{n=Fc+f1@Dy}N>x>8A*;8-u5$W?o5@EL$2;bYRT5@ z<`v#i^p0XpT*V*RetHT&md!YwekbI~=VH5o+7#{4v|Ex23{ni|_2%YIXk@gh*F<$! z)Cc^;D9R{E%PJ}`+En%>TFO&KSac4oPVQmeXInZOAJRefrtZ@*)|PE zlg>_LwCYriE6gprs}-rPTkFnB^*+AnL_ibn?{PiEhTb7ieQfo!$9X;1UWw|Mt_y?x zYZD|=;wIupHdnZ{^>5ohmrn3NX*iz`^E#=~nEPDl{+JFK$x*)M*x0@x@!5B#d$R6& zHV^inyT!gb-a$AgDok>MV2N7RJ=>*WfmL(ifnMkxyqV^M=RSwB8nTjlhmu6}lGq*; zSgK1|AYvM>`^A3h?)b?l$?03{a-VFR%?n71_hp1V72R{%M?{35h3LJxHM5bsZr;g@ zn6^l2^#R2oD)kwdaPPf{EBo*2wvU02&N0{v!5LJbXo#uKA?u{IR}n1vROLyvWR$EUFXN3CF^? z<$vtDQ-4->S!4drWYW;li}&U9sn0Bra4{U4V>yK?m|vSeoaD(GM4~{z9@nRx_fz^? zL;cVf0>9?$6L-4kINOqLJkd~|b`froetu;`=;^LQ*LTanWN+zh_J{wu?2Tc={MIRc ztKQor@EzLDq(P^I^l#tAKa1Yd+uDo#K3YJaP}NTwTZHl7Z(1BsxNY2$SO*V*!grBi9XtdI^+`yBJ_!gEYIcyu92LsYK1_dHb!YM)e3=POHyG2M)0mf!=o5XwL(~-nGk6( z)e3>azW`vW6#~V+njp^#rdlCTXueb$OtpegDXjhkV+BMW2o$Tx!=Ol3C+yb?21T$s z!L^G&#D_n>Ia{Wv|EL}~wTnM}P=9C_zcaOe(=N89VE;5lNgyfHE7H5*`sOgT?|Wkx zt1Gvo?bj|-y)W9_pRbeHr|?#R%|7lNK9TLp`^#wKef!vpUyucv&SkpJj$BUI9YWHt z$l#oEh$Mu6KM@%jorw}&7-#PU8^?MJ5k|R}i=dtQoj0JhLYIX6(hH6WAtS=_^t5h)0$5$?ax%w3SjSI|7sF% z>nm$Z5!sP^n=WS9iM5j^as7QA))MvA*3HLR`tf3+6ye3uQbVzOPrt;T%ZR_VFIlnY z`-w1B|AwlVdxB$UQ?-s=#kdfjNz#}%5g!~7v(7AWpeYK(AAj`gi2Z@|Yn8+b;d-sN zJiBX+YQpZZ?bp)O)*|~L_H2npf?I^JG*JH3g*N~2km)hPWy^^3Xx9Y+2PXQu>rMDA zg++}wC#{n72Liu$WO-J9d`3OE@@(>~-3pEAW#8-kY^NA=ZB-XmHT^52R0Y=DUfKDi zu5e#b)}u{vWmJgu7jcPxW~(0Xg1{m8xcKKImp>WOt*^JRdTD2H6_0MZE(U5kuxOT` ztM3E|cn`=LYTmzbw78Wf-z!IW*R9Tp+diif#C1gL9b{yR3l`%%s&d+8bB=zi9*~hJ ze%EvR&?>7Vonh7tU-fZ)DyAC6NQl}exoWlj^0P;vJ< z;q}WEYdfao2YwD)I@@5El8--s^>u<&k^Nj=PJ5xlgri!rUfogs!iTgo?{x1}V(bqT zrKP`IBG4nY3)HEL>soBMP(iG#aq5$IpkNTgOP5pwwmJwqO$;{R`i$bm-t^#&gTV zFV`J^5kKBz*2&8_#Kq6^X^kXoJ>|XUeEb#LvLRZPYb#1A@K0?;#B~!iPYi zmvl&j9ta2&dWD2E=z)Mhp_h3}gDomR=vMPO$cq%bRvQ9^Z;-%7_#jjgn|XqRvgm=Zb1Q7c+s3R*a#nF#Q_C95Xhl` z2Ld@1$XX+Z{x$#e$5{yJG=Uxn`Fv+jIt0v!;@SwZa%**c7Ef)7f;AQYy*VWCK(2`2Glp-9#y z9IQy92?r~ZwFw6+l4!!giezoV!HOiBaIhj+o3;x4f!!MR!$A;DIG~U;6%b9hpa`M~ z7ZgD>Z9OOKVIg@cV8l4F2;xZ!duoKtF(gq1&`M&BO)w~ec#^=uiXfhF=ziNuEVgvN z|LBxBb-zDtN?W=gTJ(3GYn$$e{n=mR>`%{%pfFyi_LMg@0v& zwk1ZU8^fZeLGD40ZO?U*TZ{W{_1Drl;lsysr(M!L^2DQfIsAQ1)&`r+*i9K8$*l94 z_7hN&5)%-I-U;N=J~L=?fJx(;UcQ)mif|xVXnH`G31v!2+~u%e`QkrnC`$U*m)c|E zYBg0G(tJGhDm;1|?e^yD>`Qj9ELvI_=-GGWp6+1fK3;*=zVF{pR6lqho>y>uznpk= zQTYo|n%4RDoTFavV6nN zspirR!3T0-W-PK(+?~dc$@-Rib6)F>Een#Wf8fq_=J?jS{{Xi)?Qh>wMpdaSo*0qZ zy`VyCw#5n$&dvn;7qdr}H`>ZiS4L$x-QU|E=2+FJFzV%2s$j>`wBqw9QlvVzxEc^Oi2{ z87Xlr4OP>eXze9ix_(wDBCpcjn(RZygAtO{OAKilRMUM@<+Xhk^xt|pD>>;p^Nw*5 z-2SrX)fL5|vnu@qHMc5~evQavU!-^(=CzS1vM`byCwR)P>zfE(|G=Bmw2}e~X=|3R z1S$&b4>~DT_9e7D0>6 zF@l^i&ftP5>f@Pt1~L8I+~-dj)a0_RD>(VF=I4Flk%~DoH1C{UxzNyat~}eYT0Hpf z7{6>^*zvf$=AQC{w+zXo=euXU$Dmk8gh~O~`98*)jrf>b+TO{Yb}IF)rZ37I zbHjeBvCBSNXmPowR6vuQ>SsAm{>p5Ll0r5%S=!aUP81c_24_Ed)LnoCQ}6+oE81Su>OgZlqO@9yq#)@)?s&)!?D-K z4@*pR-&HzD=}3#TS(H8&kRS5eJ?(NS`<2Ju#8Z0)V_XkhfBAYM`|P4-^=Pmeg){WuNi~c0oNE zcqxNX%|21_Yo4@sY$<2%cPs=CX9+!2{lal&=x~9aWM6Ga|b@8Js`KcypwpJ%=Y%=5}&5}_i z$TmqleW{iGy2$bLOCd$B{TsyPSfkSIQMH`hK{5Fk)xtDmVJ{C_WsIlx{L&a;PgHEH z4T|X7C@pt9bJaGY!#pg!4wEju$NAFvO3xSxL6X;6n?q>}M*@=85C3!vXf7*q*zJ~q zIdO2=M^d!9$~}9Y5*G7M6^&M5HbNQw>FWQ#;2EG3+2buVTdP$%B+Q7vuy=VHfvdw~n z74Bj=x%PgY0{32)*_uz4c%Dhk>v70snp>!tTJCeAYvIlROvl^8SuEx;U-u+W->cZ6 z)bIUx-0EcPm03o+Yf+Usy4ia{Tow_J3ifDoI?mPAraNB* z{IlI|a~u}p>I$O%7E|D*&T;Y~-ptINb977x#pNk4N%NUMvez6ulRDTOiQfNZw25{6 zY~o`ra>;2Uhrl1cpXZ3!B@~?a0|GC!vzwLO!4)E_A9qf;`woU)2dt-m^@7rb=6qfPE{@&lWIS?pR zyvF|C-?z;WC{%67{@&lWIS?pR_s0I--?uq1C^n-G*<1k*3K$etn}Gejziwx?1R@v| zySIk00{#gIbgSVL4EpzNGYpE=IABl&(X`b_76wHSO=zsv41*$wCNwr*0)rxmCN!+L z7%d9;Ct%ZrHI%?u5kwOj`!$3?|9%LAv0~3mFerj(l7b%)0RIGp6`IdLivs=$7!;QF z!9o#4lN2_a2zge(KLKNfrG2oh2%>3=5lV|7oN!_htxnK*+IEt{wN7AHKiEVeh^MVy zQ7|Zic-rcC27&%QnEqAyhI~BGe%)wMAW;j0VvAp3tO()>jfQ^hfJ7~f6`L@Gu_A~k zG(3udL@kUJmX*U!6M|?$!@mGPq87%A^|r#E6+tv%#|DKWh$bo66{FZYA^*C`!V&&b zFrO_Jir`%*1?!fGg(8S1sPge2>Eh4u|E+xdq1513KK^5J`a}8no#*|V^0BRm{ZGe} zIFdF{MadVm2M$vQ&n*eQI_XrV-80+M+-}*KWk!5P!TnJ^c>GnS!{XSnu(%O zH1xAG-Q!2Wcz(yzbgS0!9IqKCeCN7}&#lTL|KnDME9*xQX7XEI8w|f@1-y1Ady$rm zjm0&-hGv9o*sAfK?rfJPNkS==pb`imVGk;F+&%S0d85(Iv@d~#S7{CKqX zZo95^D=MyTWZ!%IjP@CSgw_M2yK zrk$L4K%}P?xR#JKRAO)}wvO*!r`+M)l=?y*FkJ)V8zwQo{5s*PG^@V1`Mo}+X^f}NR;_%p2=r)qY~^vY7# znivH1+#{)=y>6L*p4a|P-~KuubNy5L{ccS`#p#Tv^pD)PY}Aeab-9ttlGkpnmLShv zZ?O~mUlD!XNnw?Q+V(34zE1n!SV?>B*Gbq;T4DX*oBI6$6_3LaKRT=wzXX2Nvg~dX z^nS9K`HO`}d{Ae}?K92Y!WrHSuS}J43?tE!^z5W@e(6%fTJqE90~+b{bxTw^F5LMZ z+*QBS-#?AJIjUJ1(ej4vzOLWAU}$4TZ7u6+w2JAfjae{YA5Otbczn{o zk}mMfr`w!5Mm@`GRs4O%*!vMHCEw*kPkq}g5&!d3-~Wcg3AeL1GZ)~PfIy)RC+vN_;Ia;|;y5H?U*g+s_7GMaCq1BR1Pls)U1MJ{|I7+M zOaP7v2rC-=P}t4U*ck>l3BU|*-8BefMG#Hcyblx#ZV~`itOpnh1vd#G z6n@eJ921afl7b(@0mlRk3TqL7y(ksjBme`J#Qyt`83r5^5LQX}ff(o-0fXY0Ch!CU z4j3N8KnrvjEBsUoI3{3F_)!9AfewL6z#0T#KO6+n1TAC3cI`y4HsOLIS({+Rb68dc z(S(Z?$=Za26+FNIA%Tk(K{VllB3PSnK@qG?xS$BuCS1@RN0S)fn1IAEoK*~PO#BPz zj-yFzTW^RzPFx5>V*9O3{}Q_6XcEIZ8vgm!3=MxVps@E}%|f`Hn(s1x$h0+qdx zA>)D~2q?H7Dz>e%_fOjv3WcOzGg0@yZCleR#3O9ohKnp#@jX$}Ge=ef;`j{i^3`%N zqklcYUZEI~a8Ep`g|h71>SO()AdS`O&B~yTlw*BIc@Fz0kY2fCVqNaOdhb={V^_A@ zMpiVPE`&Y)D`z}IM@Fwc&Mj@RZ&0{kbivL&rzkMFY0*)(vi+;6_1Fc)r|&wQg7c;Z zOoQI94=eS4y1qzL_vn%T>zS&V>X|h*FN-*P0f*E#GWErZ7oF^=e<^6s<0V~H3H6vK zzL`v}&@it1RG|DJfs>pY!%fwQ3m(iu=&nmNhx9Ji^hVd2JSO;YP|DhCQ>>J_@Y)lr zx!fp2Edr^HyL=Bku$$XR9#Uce9LZ@3!kWZzmT{W0uz zP+FOPdwZJP;=LE=vgo)kQHKi_ywBRJN1-H4SU1b=M*Es_;Gq;@tVIdG-Mq-?rw zoyc|R=j0M`haX`f3uErA-XMu~*R%=a&2_G0%-r0*(;BkEAv5wvUK2L(&C2UfVV}Yy z%0=Cpp(|M1QGGn3hQ9R+J<&;}#NP=fg(@lEOg+VO&W2VQf*_0KFOyrlD z-5h!;-n&{cC=LoKRK0k#@8yRj?{`eDt$ttEW{O%fh`+5oVZQmxR?2HGigIYM)26J; z6>GjFDZ3##t~byf?${?( za~~xoWaS!TYjdt3(i|nSKrDaAEiAJ(zjbW)MWcZ7T!K9W=aO#@ObB0I(dJojzt`p* znLAspM%=iY;PZar6{?9c#Q6s1o(nwz~eXrm?Xkem|dH?#E>`V_-c`?}0L4 zZf{OIE1c4Cm4JR%UgI?(n362EE%E+8SCVo2hqhfBfX(-ciT%Dv?01$)M8(TZP20`X z&4Nu%j#NZVTMXOze@p%)#g^Fq<$heW7?^e!`<=x9t56KRGyn?)R0aqXzLfzw#AE-p ztvCK(S>Zyl7`8(2Z|6b~3Rkwpz@$3}g=@@W+u9TS-cv)M*pwjT1&vjn|8c-u-S#0+ zFzF7mLhoKei-AdZ2o%;B2nz-FUJxj>RzVC*x)M1JFtGCiS)px1 zu}}ojBn4L)z@$5b6?Ug0mKE4|flx{8WrVPhKoCum@M!{*?hsaJ(p?NpxbZ1bhw^% z=g|b_`Vc=WnCn9h-FY;Dxjy8qJC7!yIvV+h1LpdW4|wO%1m^mXv+g{az+4|S!1%>@r65AE%UmdP%~1tYM)@-gNK{0ni^F@qkfa zt`G9LLI=EMllkYpKXkw-pgI~dU^LXzj{j=5 zWFPpjII#%g2`3i8@`T2EslaU_3QYHbL&gO~5KwT*7PT$i_orklDv3<8b2suCK4htt1Z9 zj0~fZ7(jcZbil`2wcKf({$RCK%fq{|WubdhI%vHg=(JWG#^4E& zHq)G)+uy#ToqVE!#nabSYMh~v4XfPp77I`W&Rl9RsTq{(=}To4E_E{L8#AjJTvd9^ zoHbHnBAHn*#$qe37`+LlwGb6xA(kt z>OLQ5pQw+HAyJYRA6CO9@}F6HAMy#GP?MMZMY<_}!UrX}ui=UV?8WOIj~#To509M* zEVUMYE^3%;@#gT_<}*#hs!-nK8^tc2Pfj-s>S>aka{YBxv(mgTByVnQesbn*<(O3B zuK?v*`5vlx>gO(YVI`b*&98<0IBh1SS=m-;(dQJptNu{h3(QXeA*Y*uUk14OSF95H zM3fr*1{~5_otdS-eZT*8@~U|yG3HU=;0tRm30<#|70-F10`7XWaqRiR<`a0d-WP?u zwGZ(9e5PJiV5FEnCniL{Afw?c_D(sZ@AZcu-bnPgsOcW3(!l(K>`4+m&J^tj)1~Oz zg6v*Zyxglt+K|}3*O)QS-bg=$>~)|RPdKHteAT(BoTXAnSFZB-0#O%%1u9>e zbPLw#$EWDeSzqrJ6JVoAPmGtqN9*#e%BEe;p~qit@ZwqW=lEolS-ckOP53IivavU; z2d_nHboa_?-N|tp{b0W5IUS}k0tGBO&JU~KiU_Q4#)Z=cuX84C{#0*fT!>d5VzLOh zEUayI<5I3nR9zU;Ow5D}-N&o9i7tHkRu)Lpcfmf3!*1i}{6YKsce#BvM(?!f$<_K0 zp~RMH?R+ANJJV%y3Hr0zjo*COoLRD#_oRJvbT#oSceHQ8rD=^v=6fQ}kfUe=#fo#2kx3NM6GZbhp0HJUV6}7GD!f%}dgu;bT6lgAh zK%r(^6lgAhL18XZ6lg90p>VS;3N#l$pir|d3N#l$pir|d3aojBK%r(^6j<{LLg8jx z6j<{LfkIuRD6r-g0>xf?0NKuf<^m8Z3GFh20?h>wD0~wI^bCi5b0nc1P*6b6a2OPu zkAOTYRto;xh8{9tSifa73iK90pisXF3g{UQ88EbABbF7|T7#?-P-g)ObQXY6xW^F% zT+a*{FfJ&tuSU$eE%kQ-Q(9R!MFz)0S7I0lR)n&A5;u+R=1Fx*9o0+VmZp$MW07b}7{9WE$>Xu_ps zg4s9lVR2#sMe<)@5iCz|?|MsVbijqdst?;k5*Oyq^>#lCY zn>-7AR8=J%6?<9}ZgZbA6^Ii)#w|V3;)xggAm#1Xvn(f`jt{Px(J?)2?Ow7YA5<7S zqtxghFoth0oK+ZKH=z z3>7QBRjOnmzd%?zNG@FGF_^UO`)*}ktxk5jP=ZQ|H=>!rQ0La<6UT!l620oWHE)&G zGe)SL;`Io_6;Bg9I`WbA0LQ*7M8`4$_Uey__Hmt)Eo*C3IMTggmTaiju2W%1(0;vY z=(v(bS?0B0QxC1Z7Nj}|`Dy0@pXZm>L|;iKRNZLxH9TbDxR{ouy?)8-1}&@n?zE85 z6TX3J-}w&K{v@-Fe3~a5Vmq;ZD(+46arZW+9MZxv|7No!Rigt2;%+b4)p!d0$GLr6 zy}xL^df_{$_gXdNIY#sart*MJZgiZK1wqfu&nM3$lAQg#36&xu+y|GH`pM2n9qfxt z)Q~rcZ(}CA;H^kXtJaX?v-n`L_k!=-?HEEe5?di_2OhfHPny0&TC;S8|0wbfd;QrA z^ReyYhkmh4(JKXve2*;fihpunJNGH)Irn#NnVE<)`zKcR9_wtsZ5yl*KUk;UToyT7 zt9dU=E1KtGBx+U!FI6t(tacs;*H9dHgTAVFj?9tjR>vv!l69ZKi#lzR-vfEbg~)^8WTld-B85cO1<;0bhgZ%iU>?M-%B7O!)Gx(!HydTUMBC zj2ff+PW?00cdH13(S^PF{do_^G}AFXC?D~WXoXdFufX) zba?YreB<7=iJUi!9_V<5J4X{Pc^3Q#-G^>rO;p-o=K171{C2%bq4CD_A+0-Q&qtnB zR`syXwu?|{#d6P%obIu}^P|1Om&rA;JWV9}sB`4IkAE2Pg?@WMnW+d~p|7O7-@Idg zvRQ5*%*x2|z0%=c3&DnmvHQmu8AcD9W~NG+3h`-6!N$=kz4vF5FI+7@KK5OCk+#0{ zXi^c2fPE6j^WkeyDO}i_WaE4(r%JJep!OW z@9?v|v~NVcZE4(1h9)NC)iW)5tRjgMET#06M+tgWk8^Bis>Dj7|3^$XMLm8&l{Le`a{FYvE95#2e93GZmtauj0= zC{fe1T*?^Rb>C4qQJlqsv5lD}Q$52S?~n%5>&)|Knp||*8#V8E+h0}9*Ch!gKbL=K z>~0gM84C-_iS0gqXh0D_)kmA)H&0Msmw`7PSt9Fr9$_mm}9wK`7K6F8e<<|Ku221(`J_(uu zMZsS|LrCc?z!3hGf>?d{VssIz9$V+B-liZ`NkS{^iPY;r!`)sS18 z29=Aq`4j#x<>LPp-z*9=HlTi&L~j#ru`V=-h6ENdL!j`z5NK=wp*S>O(AWS%afsBQ zu>pkQkc*|keIGDQ7_8wGGEJbd0m2HqF9dsOC3q$USkdqg2Q)T-tZ2A4_}hNH&Da2e zVufMYvx56RaKO-h1t`$i0J2I!tHQ)VV*>;VyA%*Djt$Sh>!84ZrJx>RanRTRVTJZH z7YB_EAQWzX72kG|@gE0EV8D`49aQ}HeZv3nxk}=gCQvT}S)nm34*D7(&kDN$5&PjF zh$czc9jjOb#H>4yCP~c#H>4Cn;>~c#H>4Cn;>~c#H>4Cn;>~c#H>4C zn;>~cq^yuUBVs6$wF%m+NgOQlgS?ud&6>m^c}8%+u=};q;$V>YVmEU#{UwjB`^rC)cLDOJ+1$j-VCX$ zY#*PhC%)9Bz7QXtmb&q67C-lpl#8W647bU`%;o#~By|&AQ+6bE3UOzYIDR*0D8Xvf z4vR(VERQd--R|R&?sOsFYR(`LIx=?keqL$a#nwcRuSZi253bHGPM#}FdO(`#F_q?1 z(9?9ka8zfoL8o`N_JPg4l92aX=wtt>pT*M#IyEXO1k%G<3u{)+YZNeg8+?bbi zBx_MIu7r6g;8W@~&$10ZlLY;=05r=@0*#zzg_`IaY1TEQ*sFAg>{>3A8R2ib%St@* zO8XQ}!y4GAR+<}SuSKA+(JFT6xO1F_qqtixe@KhX06f-a&3Kt(j4Su)bn0g(&j%_{ z6XsN}nK&qXCs?8VAewEVeDG91d)VnuW&~9{?JeSD%w*_yc%%>bM_+ozU(~dCTY2$y z&eg9+&E+{ngbpeF3Z4{QjvI31q%jwjxf!KJQ1hjCcG7jlXQ!}(u`ul*L2>i zwKi#ZhgLYbc||_>^#mO#`#+u}FQGQd|SL!gxXtx2kac3G0r`5@h9 zvR}bXmtn_{W5#5yM@dT(Wg`YRb>{BfE-XB2u$pRSaA4mDe5+bvw(t->Y2JoTrggkk zhZ=^i%}(atAflrc!$c1+3?9~&bXendzp=1quz8&{b?WJmVkX^7{^aT8)iWKnL#h78 zPK6~KnpF*#of1Ed1nXYQuxuu{vUz;dp?`%{k6$h|j)>!Wo3gjSvwez3dL=(q57npJ zIyM(fJtKOQ!YMd?V=%R~+%LCWTT$`Y<<8BI8yqL2y_>|HYnbHEqlCJzw_i6Qy>UkK)sDAhaLM8Ae+r78!#t&t=n(c&N^8S!pV?z~gorNDWDX?SG+wZi1r zBDbD!pTAF4=ylVgFYb>{4gF#1nRZ*98qRk#n)Bwp^4CfIXz<7?SKZvWvZrIdyq8Y) z#-(4HJa_hM1%EkcZ)odi^E@i)eu#9M&)bM6cZV=M-DEMH&DURb>Ub)ieiZOb(3w^# zZLII`6xnC|>j_Qew@fp0KjnwXvH# zWi$Pg+?9G~vb}F+{k_QcY2OUy7nJB_>?c^rdij2Qd1WTWe9NTVIQ`KjkT|l>tKGErG>BR|W`$E7sy*`(qFamz~8yR|W(Mm7T@G z_Qwz?T(SdQ86Xsm{RAPKK+u%|fnpC2FetE-gFxXzA=v&H0)pioD)I2zc-L7>o!4#dHdXb>t17e#>!qtOs3Hqar@3Ysz?Q1~=~ zCD9Nl_IL(kMG#GraA6;`WI$Nq!amsk7=%hduLu#}X36+1?1NAY)<6w=Rs_+63yL6` za6y4nCh`FTr%c39Fms3;3Y;>LLlHz1j%fljhsarhQzmjKg0%_PfOj5EVCE3{fOj5E zVCE1hs|1)iL=N3?G)aJ&L*%T0W`P{K<7koqGl$4ocN|R;VCE1x>yD#I0?ZsDW(6~c z$e}xrrfv1m|LVmdv8}Z6UqW{tO<;v0@@d+6G=UY05LW0d3=&|4A_NL`HAsLJiV!GN z0G0qVhsdEwq6r5pk~bZEn!wBm>30yjSrVCE1x6hSoMVnwiT!UaXJ zHsOLI*f(uW5p15o)FC)eII#%g2`3goK%ucGPRK_K7Ab;5#sx*NJi%pWiETxS|0O$% zi~U>K`FBfTZIdWMIkEJzU=#X{?OO@{D~Wn z>mNPonmlDdSX%p0N&alR>3f~|l9|z3JM@Kq37y`iTQeT-&)Vc&X%H59J!-yEIXmUC zCyE@LcM|w@uL;jcsu1h=UE)*2#YA~T<4!FC1lxD^zmRD z0#ga;HC$4;fo(6bx93FGd&h*b=8z?~1%|OVs*k7x@DvG~b4Jgd{)uwS;}1#Zyp|H8 zYI`{dt5B|3b?(cbIGE<@5bbTYe@qywH7^QBJra0eX?-=CDRrEb)=6x#cU?FsQ2wFs z3QBo;^r8RBORSm^5_Clxgx4$v4}6xo-94+nJeQ1(vF*Z_T5T2VSV%4Ik|K za?+Xo?sWgf_1x5mA=Q)Bv{htHmyc#X*ILUF(e^%R^P!oGh&wWPWyMmjIJ;HyT>9~L zDX&z&sEHrB!*2OMtA94Tw`gN%AOej`${`gaLHTm=Mo9H(ujN5*CKHMvt)brqi zj`$Q!^6Q@WSkYO?^61!g(vMitS=K@AJ63ddT3A2hQOLVEy=(8v;fOpYv0JKbPi|H7 zKjEqyAjFE!!!i}j%aSakQo=u+HqS_YsJ%du@Ps~|th+Q(Hhj>P^~--m=Ois3|lr9SOYMzqZ?c@RDTq`t3mxl6^te*bk=eVL+bk!0h?SLmzlAx3>Hio$J%- zUa}`oE7cWR3eBrOor}@YW2ww${c-hCK{&|t-hL`Ss;`ubB&#<0eepl_fgd6p9vD8oMN8h6| za$;t^chv-973ZETiF9%6jPqwhbDmEbQ&x~&mWr2TmJIQoC1zneZhrrZ6;@~t-0BP* zcygVS_?+75DLm9n3|mjAm2A_e7iWg3@D?TrR1OtcNifBeOBn7Mpv#TtNY8vq`cmd0 z^&?#j$4^38pE7I8iR&##gowTI%|s;}Z5XSHI?ujLrF?b`|5xZzf6VTElbm!3o7IPzK z-FY;DX+z|!JC7!?m>W6k&Z7xT8zN`jc{G7(L&U6L+7LN(=W7#~Hbl<4^R)>~8zN`j z`P%f`{r6AXOZb}(EI33y;GIVkm^MVr3Kkqfpm0SLEI5Qf;fg4jHiSUoTQ0ER5CX+9 zU?gi3jsYWyCL9Asur}dPM8QtV;Ira_B8Vn9R1!=ZB4w2X3l2dj4k=X<%p3j-EP{6( zPF@7d6I_Rt1T%-=ka0l~1QcAt-Kq)v?-Svl60WEeGUu>^_MqzipC#B9!KazsYSt3I z|Np7u+A?He@IEKe1{FJebrA^4zX}-#^>sInaG=D~ZD(bq_^d%SH z!gpE*g!OKPuRG3^27fLIj&a!2d!g>@y_tuzKHqYDuZ;9qf86^}KmDo3X7SgwEhSg# zv4}`~Ipy#zUQ%JvZ*-4($&CK;8N4e!8rG_+Bux-mZ z`~vG7c1sIN(zA-F*a-abMs+uTAmL9z;@CjD$08}FB!jjX=6%nY4&I$nR`d$S_6880QzH{6>tW%CnCg)+~K(Kbziw|1f(ra8b65e9r6tRu-H6urk zwGuikiO5%|Mjx5$E4}Z?l_5w!@O(F|%-g!#yuuuZDHdqs7zAIbm4@4WG$TzNJxckj z|4FE?wQxm@jH$vb{Wt?N>-e$c&39xAL+G>%k2CkQ)u4a0Xjy5Jp?zKw>|Pev8yhlF zxm#g>En#)ZYh{18*M;QKk)OY6Z;DNqFrTxWes@ML=;L+QgmBbi21Apis#2gT z%i|L589TYK43>NKVV{+UQ15P-eqew5-B)MN&jsmY;_er}Y7A3cDCa2t=|=kz!#RC# z_+7a{TIWVper@5;hzX7s1Kog;7mm-qmrTulrfxxZrcu)kvG9|>?NFSWQ-8U#_#)7P z(Vuv}A45sN>KFJaxU*NL9`!^2Fg`JhduEPYP!2i#iZ;Oy27bSgG88|d;X~4wWT9;H;=tNGccGQHmdJJ z)i<6VF=zC(Na7kUiGU+6hoBDh$=m;TKG^a>GoU6G7(?Kh3C+em*R~+RMY@#_nMem)% zr!0MH(ByK^sY$vjWlzb&HAU_>GDd7gy4~+q=hJ1iC{mQ} zw&*|$S7Q^`-YYzKliI;STA0@tt6eBia(nvq65nt<)gjY0QM_+)yn8L`bXgM*?Q&%x z9ZQv;^CJF56KhYqfniPZ>&)}x_A0AwNe71P&-n17tIA-Vk{Zh@;8tr!TF5hDw zJ@lx8_{z1zX6na~l>`k7AS+xok1vEh>`?b zDkFyidu+r|(64|T3aClQp$OI{9Mc546_B$68*JoI;KYU;3T&{EL;t$L#_{67HBEpv ziJW!k(FA7U5VL|=IONcsM-!NZL(aPMXaciv$XRzDO<)!dIqS}&3CzMFW(Biw$e}x5 zo4_m_a#jRyIvn3uFbjvAb?0jn*isoe>(19EFbjvA70KEJ72PDkEF6RtD!NH-tNO#W z4#PKk;C-eLRvZIH5=}S;jAU)XF<>Oogae9XZNl-aNTLbHvm#iVa0rZG@gDf$;DCZz zIOI?SYZES31aCTAPz2G03yL6`aEY2=Pi1hPaAFa}6Ao1qEZ+lZaX}Hp)0P4OIq87q zdmyU>^lB4HFb@Yp;WDh~wj$p%Y+`89-{(9nQV}h-^VqEh_U|RJHG^mg^aWB8-L1d> zeQ?G8{VD7pwhnFox#``)@#0ppY3pZyjk7C;%ir`2Tn90fexFO9a$>mIF~t5S zGkKzuv}}1725v4~lay@6_JuGATaG`Q{<8GFYEvUvi z93;_-52ZR48T-sKUP7fJY(yj*Ev`ghV4od1>Y?g(w1PWA{*2SuFSF~4F}c6f#ax=CtY`cXOkLW_TCIIg%=>4NTXki^RE zbII>$3+A749)Fc4`90rylFg9i`W~9EA=wusKPv6fzdTz@*;+)Hl`^t#wCb<`eu_SR z?hEqR%*g&-{@RC=->dGf4=}y@@!b!R{JD6?fsv@z)BblXt`3jpUk=^h+@;vS?src2 zr)*s6_k>$LM$UCC^f#*Ec$Pb1LiX{Xs88rkb@Xqux5I-dfSa(*SL!cyQ>kvGXo^gVE<9w4I|N@=_c2Wc#gV&+^?k62AMa zj|rRYuCHomW~SVaJVRL$l;ELEFZa3U+P5|d8{-k3P@b&xJms8D9hslKHsmvbA&(`E z&LQ*6JDf2|_R;FOSIEc+-7C7A9zQ=(4fKRq(mdy^w>kIF&)ews)q4-t4;-8kQR#mD z{d3s+l7sc*WP8;%uJ0;ud)<&m_`~x&!T68P%8xQH_OJCaz><(Wl1o{fAvXSH#}z7S|ov6>fadf-;x>!Oig2)DIiizb^=s-m6_A zFy4E0lhlkbWx4n2o;@t1CpD$t9=F4Lsj>T=-P-PaqAN5#T{hn`jE#(fH4i_o%e*x6 zwO)=|BibhKGf|n0Mn+wIvO_QGv;xJ!Vfm3l*|;i|dR?ZlSA_kpM|KgVIc@fpqbh`I z%g#to(bX$Qf8GB$&?b&B=#{WziQ0V9Nekb;oJI!veWvvdri{z&exE|^3*Pf}5c7RV zCBqjf+?Xn%4?BC3udCfH3@=qWVsuIfzduFZ8PA=5A!J&pdGBg&KU)lYh`{sgiImk* z7yQ6yEqT+m*`&0+=B5nYXI!r`evhRtnpN@Mk9SQ@)aQCjS=+f8l#ba^!j*Xgnv!T! z-O0sYCLV$&`1^WlU)Gs@Uc}=xek$2v-cL(~&-p-DN|?e-X368!3k*ID^Em_8lrC~H<$r7%aJ4|M479kZ% zh-_IZ5?Lc!5RoiNk}N4D5kd-ytO>v8tnc%FpL6ehzvuIL{a)WcK7Y*I_dWNV_w$_R zJm)#jdCv1ZC++bEue(B>GQOQwb-_elX#a z!8@xBU-Epjbm!T)+S&8>Z7bF5tgYGHYHg<0*+1;L#T~alclP+(lS+R5)4;~X8khYc zHTdG7$^&Ai{TKI9BVWtUn#48UeD|w~H~#8yVqEHp<{$i2=Rkse-i-^n)$1I!ANDm& zn($e5d#zb5a(?-AP>ZD}mbPDdV*K(G>y9?M-YltC_UKQp-g#upeZx|&g=TGSSNE+s zrw=ET?OnrNW!bonNhddEwi{Qx<=gA$|Jt(OQ~h3eqhI6W6ZiM~_4b*(U)C>9?A>hJ zVRveWnaztQ4;b{?)H4HWKXhz#)d}%mtvGSZ)!>A`uwjLzFC3Uy?%RpOy7{g&i7lTI zsPIyW`R%^wmiybb$?N-`NnQU%+_`myyWf~`{D(;$7u~aD{roqk9-Mh#_NtplhCTL2 z>zyO79=>qPcIS>C2Xs3>;X?eMDaqGv+b>RU)wV?O`AOwYyfONn=N`!2_4;QyPh_uO z->_Bu>A#MRFKkw?#^>kXIk(}#nqd9rM-~VM=i9L7bu62CAWA6lek0$>l1vc+m-=*Udo?m_&o&VR6;`iP2 zPP4|3AN?V=RmRSVcTCETgVfoxYr3|+IJf!!zdvhw;nOd^_pWJF^^HMY+Knqce)hvN z?;7^wlPwS5IIyq(Yf~;JzI<2T9~)ko_ucI8X203yk#ckU6}K-)o!vgS!km};%|2dp znSEV_p8cB48#|y^8wh3q7y}D`SD|hsL@xv9l886ORa>v(o#&`Yc zr#bEay*{#3ukPt(9=JK8{eYB*E{}X*SD6R;SAQ&ZGL*D>x9SVdFFW1w$>!R=k#*0t zeR2JTK}ioUX;E{-#@kz)#C*K7RaN`^fuol0ocF`H><(jw&C0y+X2UmaF8lrOSz}(g)vs#%q+RQ_kG?T@&bg<@^%=V5sbE&U z2~U=7Jv(mA=(%OrSIoP&_iNS0@7j6(?x%7Yf2v(5ke2Q9Lf4odH9xE@h$Y1ZWvCtEzZsoGpDd+Eqf!pS7um?tjT#!pEfl0NW(VBE^{zgO|Ln7X%EWw0-`k*f+nm1}ohfKGt;e#wEi1cbubT5%=Yjtu zK02fO-w%Gj>8;a$uB&wCLyv84e67>cpAU?w`@*$zpBL_M&g{J8{-Hz0F3uTIW_0gw zkL)ay*W>uL=iWV9{L5c2Jl}nDW#?l}j#lpbYpM6g9Y~G+bkxjwYYKjvcKv9din|y2 zdw(_d%bVM04(xd`>3rukhvKK7X}RiRoeS4T*2sM@u<}~9S?e!vI6C;ptEc~4bm;02 zdsaOD*mE`ROg#1divB-ecAP!qKAm~}gC@J5=uSZ&e1=%=c9M;DZe@^<#zegWvfqp`qY8`O7b#LE6u^lgNU0kKf>wzW7&V~iSyDyy% zZkf3FvG@CbH)rqdk-fhA`Pr%VgTp4&ermz`qaA;)_sPZUCl5W^@55bPKF|K`tCi@0 ze|z68YgOxxSLeRMN`N65$!-;LQ}u}v zx-KIsBZg}#DMjX?N=lLWrY2-lID}1bQ@ExkxG7vy6WkQ0sq9u^noROd)#jU;kWJwb zE;={K8RX3)Ty$>I#Yl%+bCj+@UiqeK^9UE6o8;hT(@{emEY0 zK{X+p!ZkIq(jl!>rJBk~k>$>YZEJ#`z$T*vYl5Fpeg=gyEjw1o7>BV#>C#MMI}|Z~ zg|$Pm18jVn?Zti>20N54TQWP%_PTv0Qcb{dc`^^@F_&zQ~yP;K6C%-g{|l zx!>9?CKOi_|d#g#wwQkfuKH>bCq$4Nq`ToUArEZkk{$jDVjXoLZY=8HL^sB$deRAQr zKiKrU%-=^1Ue{n_?~Bdr?-_Hs&%EDzEo;^DeBg^s=kE8vu=Z&4%fEhb;K1=ikJNp= zcBK`z{}#S6%RMD;;-RtghV;Ch{n4#aC5|bBUn?1A6S-_G`$# zuKkvE3!2~ke7i>~Z%kReZ0)5(Eo-&ebA0Wbw_f;X_}Y7y)!#FGNs~jFF)xQsHu!YS z%}X7>`=j%Ji&y0DFD#r;&Y$0FS;g`1%$w=mcKP0M6-&=-GqK)y#{;95O_#KFx^kT-m&7Y(^I?UbQ+jeN~#Fb^Hoa^(;fcG-v;JCq@q_=~P1{`J`9ZTjSbt=_ZPB(b?qB2&G~Y4kRPP>1+kQUr!0Ke!OPtZ$oaUH7b!-?THGL=D%}vW{YBNi>Lfn(DeQS--)E%@k{*q!(%GE zSLdy{I|?5^@#E9I|Jl=j@y0H{U*9`q=nK;e8YWMzy86IBTNZWcaOhTi>6o%>?EgMI zpv!@vV^7ompA`Plx%7;oaFNHfx4Jd9ZC>{5oZT6>56^4@5fUmiMWdd-R#GVH|< zc3W_N-D)NOsCBdJyn|g2#%0`F?XK5P)Zdgly2*_6s&_r#?X~Xh+RiOJHF4bG5erM~ zuiN39!M6C-@BVgY;_sgptLC3O>4(uxX7#DsFVC~R%HHh4opV>;KV|Z$`}bVgJHAP? zKyHhBKCXDjjkq>bhPK~P%W-4L`z?>%wV-CFv=>v)Zg2Qp?9C&4(+Ax8sp8n&-w!XG zF}TZ$KBN0T^K-vm8)yD|s^5`0lmEWbcFXGZz2Y1r_XY;veB|u-Q~jQ7IdaM2=cl(A z@#={0FJ@Ify6AY??vLL;lQ>~S_ncbOi|syE{+E``_Pf@t9`Si!$Jx54{``9L0}DE~ z>}Kne)%d{=dKYsSt||U&%{hbqxV`JOjbDFwxcts9o-Ovs>urLgf9*T+^U+g3`Oxv~ z?fjA-FBtgQioK;ie*S^OZ?@ZdVO7>2d+WKrsGa>nxv_(7Z{7OmpD$1T@aI1#zufhH z%)HJokG%8X?({1U{NDY>>c0C&{W860=lwMY{=O@|+&lZKoWEnp@tK$X$!oI*?7uSq z+eY_n-+Eu_*O?6-uY5=CCP#l8`{ixN*?W4Qyx@F)fi2kReIkVoW z9sf>wAh%sY-yLz+Ug}eAn{#wp-C@7?%dBxn<^SF+_sp4RrzFg)Q1|{F2^XJ!Y^;5I zVAt+ruaB!=|IUJ%k4zi?)r6~;Ml6_c;k`Av1(oer|4whec;DgFx&uBt&}#e8_mB4a zBIU_NA06G?^t0Caz~%` zAI&TA%#CsnygL7%%axsfJv_x9Gr99m-)<}a)BPp?K3#9|=9L4R51mtI=!c(t_G0rz zC1)I8{#yJ!eX~p4xoq2#Kk6)>H?ra9tzPQ-Mag}Q4!V*aY`w5mtK+Upp<v48K*yr6pXKcjJG-5*}*{VB;s8+awg(EH0)if`O+^}4 zAE;w0gk`N-ZmK+I^wojitt8cw~HPyFT1hHWeF`6ZP7%E&*jeB>{K^(%cuz4DR2 z+*GX^6Wx{(3aQ3asSd9i(^FZZ8e@+EgKDgE1z<cu@f=g02ayyfG^t{i^;>cLWFhCH*X zLvGEUqwh&<)#~9Y+dB^ZYVJ?nwlrDksd9JHuKLY;r^US4dSCLTQJ=qC;hXVU_rI6+ z*_54&8}<6&FYkN5e4TjwyIKAI{CdXzea-q@t^4ffEw4R)sA;Kzb?Og9BTbX&Kuhvt@h&L8(n{UdHV1749>i-RHK=pz(nVuT0P6p zekE)7?vn?H)lWZjY1Q}dd^_~5J8tj0vE};BwkMBl`_eTgWplr`AF4mrJ7m&RLtg)9 z>7n`0tT?l{=PNrau3Ub4+~St|{)zn{tKX;jTVFh|XV>F5PhS15V!eIWz8Tr{tMZMj zbRPHXN2jw|Ui-Y@xAsRLEBxx2+dujLJ5ah>@qc%&KKAq0yY3CSf2>me%~#4DS&;g& zv%;&r<7&qr-I1~F;n=tH7j>APmz7>8qk3|w!#_N7(n=9Q-| zUn@EI&pI2Hp4~A1{PCkni~5|bRef}M*VWap{qLJ6u5@`S3O%0_3osq#KfpW?7y zYU%}osG!P*9l5E}<;JHtte2ZAtt39hVZGcGj)PPP%Vr(9sq&1}r#P&antEVns-VgT zVscaE1(iO82*QDICJGkfNpVTQ5@DQpel7l zITN{p!eOAA;U+bi6o>T+gq38HGm$G04g=MUY*G)7oQYh9>Qg4q@hJ}LrM`x1YC<-J zYifd0DNIqTw;;|W_o>GVL?DP`|Ey0ApQ z27`8` zUB0rP2Xcgb>QFom?TF$+v&WKmOOy2y^N8U0y&Cga%eC>`6Cmu@A z9&oBr+R6s`AJloa-gD(H9m=eF<@~HO1-DA#3yQWB-xPEFi)U7h9bT*Ys(yt7H@@^n z^8IV-9BUZsp6%Pa`r03T4}IbPaz@=7@%4{+EA$^SJE2Fl7Or!jwi#RDaQ|DC$8Q=w z2ohU9e|YA=QL9r=qQsVMzlFq>O{-P#dfq?U`-c|YvdV23-g`xlX8zls9%-?<+p-GJ zB`yj*Sa0f^HIwT6c6r0clS3P_m)*GY-mCZAyFKsZmR`;7K9D(l;fT(o3#QF3SG_Q; z@AxxyuBKO6J7#m?o{z4_-@9V>bC*Uxzx3*_%StxtGWd)2=Y#zZu79lHF66Z27exe6foUKI`Z;X~pWeM@rr?yVm0y?6a#~UQww=)m3L-Slg@R!;2ao z8v9g@8q4E)jNRpWGynI-8IL?Ys$)#X)oKl!lz;Bs_BYzMj=Oi*_9buatUvs&?(-%* z1yQ|S2k-p2#q2J5)7&?fZ+~dgFZy+yZ>F!j zYjnd|>7U0Y9=n|J_JPEyrF$7lHxG|?n-tI5wWUt)aa@UcY9Wu&gcUygGSl?31%eG9L{?ql*e-)%A-W@x4>aN}k z+Mj&$$Al^Mw>EjD_k?ZlY;OGWjuYSfH0sVK`G4;J`uksxE%nA#-rhI)Xsy?dRjB*8 z=h26M-@da!(!i9-Umv`?#f1;{WX#EU_tdp2PqjH-&HwnQzqibPW@WB@ME;T6Q`?{E zQfpB4)vH_X&hPYhu_x~N>fchePTh~Ll&_rj#^idB{l0UO?dFJ5TRvWQ_2C_{_qBe% z@{;7OX631~eJ!R;)4-*E zC65ffwsfp5snwmY%uVlU#gpn||wk>*BH1bANmAL^bcKapzr!YlGqU z$u`qpzR;(C_Ri%oZxd_`q^_37Ms{^!P5zs--OIzG|U9q&18j##XX z{!b43e6lZ(58sy_-AIcPY#2nhqN(yI%BS<$!PZB)soK)&(;bJ7Eda zRBfd7$!DT6!hX=^6#A@uCaP!(m;R`lO3I7e)CbOTs-}|iqG$?Qx~i$9yeOK&US8Ey zQeG5ImA8KThWVs-(FnpsE#c z`AAf5>VZ>j6;u=4gpy&YnwsDy4>;bdnwsDyl#*7})C4#Am6t8~bSIx-1}9uoNfDMQ z9ImMeZVJ~_(u2)IHNj2cph_Dn^H5E2Q#hz5xG7vy6WkQ8X_2|fFCUhfC6iwl>uQM+ z{qju(=AjmuoBVQQt9htJ<|e;g-p~{D4=9`*X=>Ro(Y4*#JtqQ2h zU}wL4Sf*$Sb3_Hz3^#>oYDPANX=;L-e9Ew6znm~!?rXTFCb%hFQxmc&OjG%=Ohy`by>_D=HDhD@jC{1T`?2SCJ(l?K)psi9zR^7W!BY9hLtY!Zr?BMOP8&1R z&#cMmb!~aya|h~f%`Z2Mzv`F zXN3`?{wVoU{Ngnwmp6XjwXphQ-48xBU}cs3%5P5C{7c8UPHB7U{pbE>;)o5kCSUxz z(zg7!Yx^hEz4k$c(jR^Qb==lcDNpWM|ILw6Z~yqp<1IFSwPSBVjlHXDbP4X9@brP} zYj-Cnbg4J?)_W6k?`zq=;GKtiOl@91hu8*F3jK3OTsrsJd!N*~a(>0d2A#%~&bn6bi^XTA{#WSnkN&<^ z^^*&aj2j%7@$|SaUoF}FcHzDs?EfyG@#n_HC$0{^x$);xt)K3^e#x~hWz&yb@ATv1 zbN@Qd^*uc4M)JDH8dYyoW<}5ulTfSDvoE>NUEjB^-$RL?HA`_GYMi|JR`bs58h+@@ z_^02m-;dezX?^>S;ISGX?A~_7_4@It{T^Pv^tiX);)Opi{BTu4vVGL*AJ5o&P8zVc zjHB(YZ`awk9`LQ&`$zjFcRe^`QB23g%uO3OcAYgyj4_w=`;uJ(=z-8ub0 z*LKzF9n9F@V$S1t|M1=Zn?0vo%M9$VF}qbk&V5Hq_uIJlt4+_JJ$CcGCqBr&^k$P8 z)5~~LUH@IV{owQMx83p9_8ARdZ#cENJ@MmX^v|nB)_|Z4hVouyW$-RAHN~NTScRuyxnbF?{dL-PNIw!yS`?c+HwdNKq z>N>4n-&eOj{ovM{_I1wW3fu0jc5-RT{H!}(Ds^AG8K?f(TJ!DR1@S`;G<Wk*N&7}(&pNbS38b<>DU(=CJuh-saMl~>2=?aWm^w@xoqPWvmV|NY%#L^ z;-z(3-Fc>X+ee1fuUw;K^>vGzSKdD=wLzavO;;_ry}53WeYYyrU%DnZZ&do|Mlbyk zbHu-P)7FO?I3^D4)oadN$Mm z-j7f4qZ=Ci@|nA$snXEsm(SegrfNf@Up{k}oBF}Hr&w0x0C`1IL6x*+87d-?icXMR(_r+l(AES$g@tQ^p_IC|KUR18 zLUnC#z-uBne9rX8^M8)L>#Os(?tX5%D}GO9gwDE*mISqEyZ4cP*(hr(*Rj2i^XS&XKWC zm1;ONKc;DkI$spT9eMoUMAz-hwSW0&-_i7UPi*|Y%D+u|pIr0ppKq_q?bdYNGbg|M z)_d=nM%Q|-eD>aZucXcSc+1i96VILhZ09#6N+o%2)?U=nRqKzxgH0EndHu@pyT848 zseS2fF`p*aefQyYQ|gYsyta4mUH{ZLJ$C<+qj?>l{BhLF^-8yR;_1-QT6ZT^n0WNB z)|;E}Z93}7`jhYfsno({&-E))HD*!lU-y@IarXCp>bHIM^}pv{Toh=QF}P}B?&2}7 zigRN7EXf*E_UxqBvKn~Kw0+^5b(dQ7-a7Mk znd;BXUvu!K4wb4uvTWFpQYUUcap9-7mD{hJ_CVH4yL+q}knzZl@=JRRTl4VcZ~q%U z<(;!7|GIhN>cr}2KP#AYbl%QcVzAC*uCjT2T3w-x4mw zx<_B$G`V4?ZX2FDmEX0?te5jY=z2Eiy&ly+zVpDrej9#yVocpbf%rC;3ch`H!Lb*% z46nK7>B+O(6>Bu})z?N0D;`R*{n=n~% -zcj1M!S^3noxZN+9}89uZnix0ktyDL zK5hSB=cgxry0}ip9mA_G+;?pCmE{LlWdEM9`TQ?Gr~G!{;Fn|FB_^MJ>&mVE=R3c4 z^8<(ns?_Sq3kppU=72bT{x>y63*kV3g(%v)4$BSM?Cpb@=><92p&0&z%N^SH&cUpZ ztwGyRday9FpdrlKywEd+q3qOQHg9Z<4$%#h9PiLs~T<`g6pW~UZpU_w930~8tP0mnT??)Y=?LF!Qj|4|79AN~UZnz=>{fwzV(U!?*QQb zs1r_F9q87JfD_$uq3A$zPF65GOb`6<#;_gG9Xea<0MJF%fq-EIz)9j!Crm&`EEpF9 z4!^nsg!cL#JnG=101xUe=t2NA{9*J82Nd8bh6-Umkr7V-q~o(wbJ8-h2ijo#=;a{x zHo^Q5BCE~bH6tsOPZ&Z=_N#L>H30=EZUzb&H35vM)R+JRi+E%(?L(PELIoMAL4j+D zHw|+FF#!d}GcpuHGCG1%LwHOy`dgJR-gbgXv3W{ zENehcrtXEh#li%A94-UeXpf{rn-7DLuV~g@E7*hBNXW>`FNhly%(Efcml&j9oF4eC zM@Cw~pnO|zC+wu0AY$Ec1c5G^M}K~B9`QqLg%gbOF8D-$ZrE<4th%T^`i|Q{7-$S@ z6zvl?fX3)0><_&(2JYjr`2Yhix|;`1OAus~nAQW?!_YJOa{)%^57&d=u#{#$#-Q5h z3u=v?@tfd{s5Y*N3fLb)9>Hgy2=5s51wEkFxTo-mz9X0j4^)oo;70TrQ3;i!-!Tff z5)`5ru!^h`m^x4qzZB#f#(;hz%ETaC@gMC2>l`Y>jR70uCj!LE_&6{$*(XC0VIuya za`6wp0HMO(h(7T^fn^9)#Nz~G6@V~Y_HtC8k3|d{V)lvNz@CktZZK)NAqM~|$9+Up zL>)rRh`^#B#1}BMFgVrzl`gHP55)=l71g%J#K7`gQfM~eP%B`~v znh{rU$`Tn1Z3&cQy2A^m2WK%qvz1;B3Thhsk< z+^-RXa-yRLFZhq5`S%p5)TM%gWiO}Wp$^#ZJgcAbc1|1Vs!jl|g zw4IRLzzN58=sSA$gK~&2H1hx|fCAtP78w^9NZpX7+lBCiz;O>)0+AmFx=9?r2+a@! z28bw{yaECs=&W<7AK@s9Fhy9RzZmx5g!5qWkD-Xr6#o!*;vb)9kqAX6-nb9eq!sXx3;s}Ue3WjUQvr!M zL=V^v&o0;wxPWWXcMH;r z?miUa3T=;c`#ybs7!&ft3F?|oT!m8#jk=Y`qdWRA0}2#5v$_?ckjSA(Yd}=ylthfB z5LM3qscwaU5>aK)iV!p4`2po3CP>(zax^~}A7H%(ePKT`u0pC7u83X^4I@e_Jnum; zy5VXCgcj;A<5%>ZEzzhRdPV>dCg?k6a5pq&t1Koc{D>MNEYK(VLzU5QqO2s63iXqr zNhbyCAI1zCN}qr!0~o^|7@F*pp=fN3%EdoEM;WTdPo`O6Nx&F`h$=FNi!7?VNXueW zWYj@#Mkt_9_Kdz`@TdS$3;)45fH?t;J_u}Hg`v;rAif9j0oSY$Ya{kY0vR0WIUP_# z{x&=`Xjsq?!6%Vbq$Q&T8!k)-_Jw_-x3P-RJ}t;9W@wOw6*h(FgcY?9=(lG~7=iU8 zBRxHo7s^fz!G;T#T>CSHIR&A7FfD*hF5tBf%nJ?)fdR>HZ=D9aR`?~~-Z~F{w#TK0 z(lRnLgZB7fYGFah-nk$nGc9CKV%1{o-Pof&NU8+!+w8&AjJ(vstn|##PBAB?+ z24v>P*z-aIGx7@nV46KOCwEvLG7H)Z@`7ohtYF??pb?@eheBU(Zyj$>%Fhhu4~l`* zVm<~{`WzO@22I;3m9y8-&lp}ICoe5Ml$DX4ksY$bb5<}vwJ;NXVJ(7CjsXLMgz}Mr zHZAAbY}3NBfpJ1vIR%-a^a4BoMraVq z%*@CIHq(%6O~$G={&E60U4r^9O> z#);gMKc(jd$q=R%=Ap?kECyqVrv=9uec;UGP)z8a6->>`$+i#33k?DO%qa-MRLw51lUYk8!l1(J zfx*1Otju6xL5w|TARG=1wx4FZwl?np?omsBjpJU71&}P2lg(g0R_lK15D^~c{#cG zAP)uFqN~Y#0jDShA$zIO7!?H+sKI(r_@WULpmaV+WHT8Bl#};@cMEjk2>pW7Tu=Z& zmvrEGlVsTRBV!x-M8~e^6Yr-%rNov)_{4OAPg)Oq+e1A}7x=^u>FATz!^S)GoxTsd zB*J&RQ%0YJ?hc&127RaX2$5_Kd=3WXm>B4j!u8O4_?`_Y$BsSdlfuQ>CeU|U564`? zcN_(cK50GdtCAc8>KW-Tn0Ro%$uS+MWwaiS0flxrPc8bS^>E%#_>L2AqECt+xf>C_ zlX@uoC3>$Dr&L6rq@EMs5ttm~#Ia3K4*M2dkB*0vjt3kwqjE6kwtutNHW$8G2g-5!9P~;1f!*BzKFJPXbKyHv;CJk!g+6IL z8qMz_S7+EuhTbP|W!yXkni>3F#5c)00!xaoMf>3F#5c)00!xaoMfsW3riUi@A%9)u~~ zL;{FR>Bi$?i7CBg2nD9}5=jzHGIOT%(3$0-Gs{C}7MKUf4D`^Mh2owO@*X;~JXF$o zsHF2yN#~)G4w=<)eJbfZRML55w5F|4t>Hhe|pRm2@at9RE%w9kR2-_ZSbAbRH_{JXF$osHF1{NoVs=N#~)Gj$ZZx z27L;bN;(gfbRH_{$VJuA4=U+ARML6qEwCOc>Bvp9$=Je<@FaJyqEA8>az812r{h8H z@I>DUoxOBCymUOsU6AlQ9S<)Z4=)`LFBuPHj{(u>C89v&Le=?E6wyfXW+6eyM5B+4 zN>ncN(y8pFQ<32ADzlRI+c-)4F682GCEx%-|73PX!TLi>Z797M@1{j zHH_<1(dr|j70kpGE)}glDq7+0YgAtx7oYArFjM-CpUeSaT!;s9^d$>jgO2f~^z~8t zk}&gR8wvbIpQIiMjz-@}KmAlx`YC<=l)mU@cJy99rLUjTmjr75RC3L_{X zYcf2L#Ty=YbGiX?kO@NA9L|jK%k-VZE45`R6G<&nnQpEkQrSVc!+-$7)hYr`&QyG+ zISCJiMSx9xATklp@IVRY#J7~d2GPlOj!vQiP{JX<3ScTd-qG}D<@`X$@&@*#8=|my zBMIRmV{JeHb;By*TttHuN6y7=9E`@EbPGg@;vzb!4kwo`^ORYs<(9=J@*5*`Qks0U zDZ{o$FvlqK)4dL|UeLMYW^>2Q=8l_aO0*|#h5U6ZyajZMsRLcCj!_gA05@SRk-Bb9 zaCJ}6@Vdze=txQS`;mrMg@A{sEFuDQFBF!)_`IN-CrT6#5kWef$acyyPGnP~!-;IN z!{UU9Lia>E1fp(Qm7^l>Tfq{A#c5asI8nTWi6~JNI~aIXw0Wo+C~~KgZk?jrkgzx* zqId~68Hj=w0;{8f?lLh*A|Kb_!?P;#ih^c31bn){J=LsNQGjaeB3t;$wlg9HsIsp^ zK%bDuGy=pzq(gx0-@_un*@A9%C|l5slzN=73Bc;81c-q|#3?{_J<)LrP|K1dK=z{) zIh6a+IAVU?MLA{(79jg(9dayUBFx%>cs4+`-8$+7@Zu4HI$(pv(p%K8q0Gp$&e+HX zdu)-7CHpWD0eBTs->o758k%9!fK8a<8J+@A2ji_n0JOEDARz7Y!1&CF5_ZZyjn$rom(c)_^_(`mvZ;A9J~w#9*VQ8Yg#4^TU{z=Td0vEPTCA;5%CM;-zf zo*7noz(s6(Is~{R2~PnR5iQ~nz(hs7V;KQr9MmB|jMb4TK$c+<0T@g~6{`rixs>EQ z;O47|k^;i0yD_b-g7lCnEcV$$Y_t*kj691Cvd>9ux|R{(Ya{Qohn_fuIhMqthZ=}= zL`M$Uk%{hQb`4Fnbb2Kv8Hyq*$pX>6(X?5o3Z#$P$F!}i)5i}nqm8oeSp|}s z{KRqg5!-dRaTa>1&n>A~)s(&TORE}e2P4XK5oFYLOx4Q(IkOUb86Y-66TJ*zgP}#j zg4D#eQvoCtMbv?{UP8?%8m5Y{u2?>BY|229Nsfin$RbP<7dQA2>UbOZzlkBgECUWJ$>0tLW) zAz3}4jLjB~06km{M|4a9m#mY(tD-1HY6Lh}X$a7RuL!c)MNejR6mUgTjFkwuxxC;A zxQWsmkpd_-#;`iUX?GO8REdCx?-4ix^vE?L0v@(e5av2LqP5Bc9&UWo5Fkdg$Oteq zn}`55?O8>@OU`%z8b^R0Rz^esVnWttH^K79Ua8Kh(cb%8?^ z>QBTz`($e-v@6QYrw46fpUGA{G6HnxubX)K?6!)vTgmryrz;{rbn37O2#iKH#*v5~ zpgtYK84tK|;7O|kNzBI)i5?)btGdIxX(mcWMK)Q)YPJRTKmunx9T=jsWarBF%My=uWI}S|z%RSjz=Y2SYm3HI#i8K#~&+ zt01X0Uj*sq?5+ffR0*)vR^=u)FVnn zz(dZ;p(s28)S*g80S~511O(jF;xF3Rpp2>LoB|%=%@Y{`=58kN069XiiU10mCQBGc z00jg`Sk(lO#G%PDNJ)QlQ(vKoefG-w8?=ieQk6ufo}4 z9*wu58J_dvI73+!1U%IBU6g20#-K5Q0!0x83YuI{2dmP01fo$uiGY)Avjk4}P?z&? zoR}&R*iMZA@kiAmz&(Ycu^sVfw+d3W zN`Uik)Yqo72oXu9vCr&C6=|$bPdBa#0i}bn!{g5ug!K;iRNC@w_Bv%4(n8GDvrHXJxNjc2qRBoeW7C}X*&W?}3eB+0){VLwJH%Q=z{4FEI07hPN)#0V5RjH1FEQm?MnKy5 zdOh6IrDQu{)KLmA>qPf*UkTo4FZU;i2GSeNsY*G{J{rfW8FjoYBqq}A_Q~$j&<@Rb zt7Mi#mb}qomXL(^ksY#*(V_%YM?`?0&Fd&Y%=K1>z{5}3I0ZbBV>bl0^AxGiUT!ksAW@dM2pWn2(u+lDq+XKC z>tS6Iq?S)I%ldpA3niloAoW+;D=fkAF1qdsAZ1guFN!Hz02$TztOOb0%P%KvfLrOJ z^)i6XmsSZIkknmptuv_vK+PzMkE)jtsLObT^%9EMqNMC4QUaikv;;z~W3<3o>@(a- zY~0vEQB?B=)R8uJP==7Qih$;R#wp<7`=0O=aLD!*xQVw&2=F1+5Qwga`N20C&2mv{ zL0J?%OvwXIX+A?v+=!bTZE``)D0>VgNEbgx;(d1U&;cdU1&}1cNQ80r)95i#YC##} zDh`rHn}u5(1dt>E%_>NmBtuw_KxkpUH31T3evZh^%3gXTPdf;NF{x2P%_w?@5~Nq! zqWYtp%?gB#dK#+)>65HMU?pY4t7IQ6wS2NQ2--!NvuXtRs?M3o$GtowAVAzcb#oRL zvLcMLpW7cf0yJrX3DzPR4Xko=fJBIh+#KL@O4(;&oJlypMUdeC#q?L!ODHlanV@DA z$)p-t$Z^0HTn>_E?}|=XXdFfU259mmQ8Gc9(aHry3=1V;5jR5}$;t&~QJ4v8PywWA zR{@XQXPU<+T%R!laMo#Df#6j|p@2s+Ie`N{8~X4RAaNSHB@o&b4FRU}@KL83fg)2t z-n;<+2()lljsOj1jfembe$}R0fCSSPjTEu}4>Sp*d-}>oftMF#7N+u9rrK8g1_Z*~{56rY3h#AzQSy&N?HX zFo>OXM$@;$fOSb`H}GXKYj;Ccqs;IyVBK7(YrQ2|48qPavA5u_7^9`11wm8D3$f_1Q7$*2rINz9_4w!?`;hVz3W5t&5TBZ6wZ z)dKjV_SP3I<}wVCX@V;qSaNT9*s(=o+Yw7MWa&kg{_b&wZ6+KRoW5G;HQzmD=P4FM z<{Pkx7Ge|zi-5GJgv>@}H0|RJ6`(t_WJ^wPe0Z zu%z?ES5BuuLIQyz4uO*=ITWzqF0_%bAdIUY0unnAN&W$^NUA*KEReQLsLbS^2~{oH zEFdQa`dOeINJQv7J)+Qeo}^s0-g%lwNEC;_ekSH34IwaKfx|1TW8oogH##h69#kk( z(n6dC#F^hZSeoin2i6B?gV4adt7uH3yjz_3!k%S2(p)npufk`d$d6Y)x9tr{$k?$S05PA$4i$=D*;sL@*xSE1^y z7ObW9*2^OxqJkyiU)G82<0O zrZyHOHq0p#qLYSf>xC8^Ef$Hx{Cvu4o%eIEC*@ER0Zv@S^_nrwx?+9gsVlgS76HDr zM8G0I2&Sh6aXv2s7CZ}csD6Yt>6 zL`A9~@SsrAo}i2^v*EFTN?0S%(E_s1L@_!-wp=9^@`ymjFQ#%t!a|X46}fN-Tus7^+6o0@Pp? zTW434t+NO=`d&EY*2Aw$TeV#I*n}h+)zwDp*f6CnJZ;%WN*9 z!1FRiNK>_-qUo{qV%tu{Nv;IzldUn3LCG{&a({$%u;2 zCXklAE$Z0%qb0WrGqwTwI0vp%XL2nn*g(|d93@M^v}Ih&dkbY|!9vw&r$}nB4la>2 zu=JiW6JrbcJJ9N3d2HblBX%CIfu-@!X26mN>mq=aSqk!qo85Io)u_v&YTknX7}M0X z-qL%j%=DHxbw}#0n{PP5Bp9mH-%2AI6iHe9c@*eJnDabG= zltd?#Au${U3m@T1Jr+^jGvO8j<_s!gp#_p@w4i}w;U+nu1$9HxV?iR-EYkukWzwPv zWsFyplMiD77CNlJ>9By7(KZ-LT0qRXqz%$I^vELumGEpbU=b}jvU)_|0y}AKgEBT< zBhiA`2n|?7J-<=Yf+VOx{pNh()$-p&z=CGP(PII3Fhvo{VX)vnP68I(aYc!RAf_N~ zCYoZ_+0iMh7qEy%90C!n6U%SwV8J*+XTR24dRb|>xhR4qTks-)B_SfZp?2}pl&D08 zEkqO|d)+L)PmnxN$d((ew{9*Iqk@I}WJLn&;Wk7KEWJF_43WwGnvsaC?yZ)>RRc>? zwweJ;lEPXCOD}HJjV(#h8djun*)k8_JXnC(JeoFpnmA)L?mBou)lO>JG+rQNc!yqC>;4U@0xXsy4l7 zK2t_Vg~@ve za7ixO$ZIat+7OWF@hG&Q@#%V65GQTxBO+&tgXrDJKIMcKihOXWWmH;_?I(0mlyH2* zDa-6aq{`1YIu;Yl`7>TSifxBfS5z3Y(@o3Jia5+Tm=@wx)}&Q@=#`S&WAY< z%#X1@o{^Rhj_8PuZTwDn@{PE)+Nk5Ejod&EmvIvpXB)YE87^@qUgtJ)EitU*u%|1` zF0eUbW9(gmc_I8r#fd=waJy)Z6ZHvz_mC4vcp%$Ucp#DKHgJC?n1cft9w{iHod7p6 zyy!p)85*+Hha-9*3;60TzrYJ;kTED*>}2nB9#w8T#iAAmoJA;d=S*-5t1+i%bVF>Bc9 z-7sb`q?aW`z>wbc0wBnpE9ij?la1a%0wpxP2|SREtql|dEcI>R3P(7T-W&mysr!-1 zi9)mNN5YV*`!=XbC`RuqNVd^i1mJ;W^G6S47TDY**FBWbJ9BL`6Fihq|80{P(wxx| zFoXjUGRkh^D+eIJsD-5r%>xc4!~+>U5J_i)JT_P#h6l>4Zn|5r(G1IGN13KzjesG| zn4D~bs#wn2Xa->@q0tHOKyqZ*Xr5d6iR7Dw2a*LA9_V=uN`#8vW0Hn6<7Xrc>2}iw zRqCeE3LS zQ^`vtD3(5SCev$~&|D&Q*c}o!mUtWu0O1Ul1l1;xP+L+UlNs4jX-f)CZWaMUn*I>_ zPSOy<12xRU13AsKc}eO#_=$Qa!UJ9LAV~s-X`_kOpbklRrlcXH5Fp%IIO zA-x0C22}~om@01bkrZ680F#3W^g!HnZ8T97l+b&XVUea{2lU;re5k^ZWc`VNAt)yl zF_JAe8b}T$B%m7}=m`o;H4@znYc`EIHj5(!Enz7e0Z9~jOxPV&rs@?9x6N$D$zY;Gl{KT$6chFlsOe0GIRw5zmpvUU~kga;~MErrFj6|m( zBuKyrJkg*H{KVuejb%V(e59zyziBq0PScT)g!E26;q7cGf*ZSte6+!JUQC(tGA@BKCMn>^ zl*(m#772Jm_sF^dTTh}Nn#Puzvm;>(m+O)R%K???yz!DlD+HTfE(lNLXa|*%;}!Ho zjyTX0y}cbh5$8|X7V|i4#pYMV2~;tPge^6m14O7yxs{rjQ5muNq9@#FiMs5EjHXg`RkV07?~CwCNG%a9FciFn2~^aq9er zS&vQ`cBBEIsSOyTL)eUSl1D>Bt%#$E6{)Y(BLid~QIZidly5l@SY%@CokD3D!8SQV zZM~5Mf_;q*v`n296C7%hNMg{4@yQ=!Psqs22P>4%&y0A9LG&F|QatNp1ffFfuvc`= zD98-i8g%N=CB^0JgSLOI^HK+86ogU>3iCp?gq%EEm%N;TdBLo#P+q>RdroFyR;Ul~ zZ-)*|ZCwY2Y(mQp+4%)|g{cJ@IoY<9P(i~Od)pA8K`n0fCwum?Wfx{8-&&q4bpv14zeie$)Wd4Cj-gQz+};|VB0#YSdh+Z zSl}hbfCVZu5To^goWcTNkBodXpFuWQQGNCv5K% zLeP+P_EEP1VYA_S=)DST00z+%0l=}d?cVi#Gb&`;Z zu^;=HGm7I3CmcPI#EW~AD>!rX~KYm_9wKx@DguNB(7 zQ%MY5CKIfQ6O*h>PG(v(&|rZJ51LIqMiDmkC`EL_OYeq6cplNgg;4>4n3oaCi-wI0 zGHit<1jj}pI`#WV2AzyjTTOv_2lNekIJ z=M)5y9Ed;=7nprytf&~^SQ!XJJ$ZCk;xdUqZp`6mLGF|TD|3hJ^qjmbJx=`8$SQvk ze$peM5bNyjh1NBWBX|^aa>BSNzX!zsZB*$O7_<{)hfPRwd z6g?{N0e>d&1yxJJm!1!5_#%^*jITkckj<$937u|B+>g}RuShQJ_2b``9FmGV8fOZCB z8wnj`OOB2XSsM7-P91Xe=%9(NK!;4@E`@oyIr*Sp8}Ola28}%Ev^_dLc%Q0CU9!VB z45dQoqoMR*vBHNBRQISjAnt&POW2r&uEpp?nyrq})&*7P>M__yGBShicO_M6>QBtf9h)4m965BB%=?V*HC?89M4q3UGp)63R3H`_q zlJKI|Ky3z~!@Q_CE6Ju%^PN<5X~UQTJ6*J4WeYq;HK8z@@SP?qs7Hl3zF=mN5i_j! zi~}jh@e~w%RbbxXY#X3?kgHTTTw7uVpgg9#D3IfahEfZ0&ywC1u(920}L$6w=rGd#TziVDFJ3rH~rIE2l-l;}{5@KoQL+bFaQ-MgC0W=~a zA(=K|WRU1?lCU5tp*S7*)F%4A$U?+>$$$bLHzN+ryG|P{I5IJTgI=j;W1+`Eq0~bk z(nAHQgES(@2sjn`45vFMf~wPqgAAP}j7+RHMxknhovke)GY2>U6o@W48DPU>5?I)m zF~38NL=dqok%+8Nz!@Ave7wiy9A7P%1P-wD>wGz(qJc_ay)lKW^z3}5?rTjDe-Z*e2^#|I37gj)D4%@`d=RV|mJ$pjN(r`Qpk_`3WfbbTpld0HMMg%Yrb^_&T^E)D z8l|HrkHVbZu`nyQZ74H1EG08XGoy1KW{o6pkZ*z{21)FY&B*~=W?+_tE^z3OmVq?) zI50d$8X6~@N=F|WYIWkwLS~ho8mP>G2OQvqMTL2DYb5c4)({;P6$y~QF@Sbbn@v)# zZZl>}DIAcF>?M4!rV&TR$OFS}#K_NVbd+!Tb+nu~3VEwfgUI#^)Ee8Rn`j3W7AF}Y zH%yXf2(j&qHGUxz{OK6uPEFj6uZFbcA}?3K7>P z&XFJhr-2_9JI?YPvK4?{#GnVRP(%@Mq3hIB(`wgQ=0y%&A>s6?4~T>V=v}q0vydyR zT{nm~;?u2Xb^YxU8gQZOEWFDqbc1}O5!!mfE96_7IHxe`ERxJBaD#XwdtXD>6^bST zE_9uRuUUm|kZ&|*R^N5SrX8RQqfR5`EVG8Z6wn4_x91yym<1(X+i+@7vI1d`M3fv&%h|OEyaeWLKoowymducsq z@rf3Jvuqbk%n)~918|j)1K@&y=TVVX!5j2AnlxEYcq9&tgva0o+OuFxtMCnijwW)} z!&m5WZ2-Oiod$kdop3BgG$%fp{d(vsLD#OUF!C&>#A??Kf(~C4kZc%vm7oLQLf2V9 zs#WL)K}XYg>AS8HbcrIsC=Ph&!6zTaSW5+{LwyYcGsFi(Iz~-5~F1!f`!xg}jT4?~vG}hmHU|4BjdV2B9a# zcsqQCMCOF`;}YT=oB>$qz2#YNQ13|GpkCtYBg{E)rv{vbyj$(LLF9qW6y=iD5g(Ts zRGhfPBmp+@{ju6{+EFfW=so3n*a~qMm#FC%Jh0zlziB@;*a~5X4WGt-Cv_2sZYtPB zUgp?^>};8%Y2@@BS4g|Kt|Gg!yaiT!Zjg2~ubm#aLfQdvq30~4fmPrJVMo(>>Vd0- zUH7g{+Ulk{Po-cL1B0xiab$W7RI&~*&|9W?D4kUd4DyadTpFgFO5OnmdU3~-M_9$c zAnxeBaC#ajbiB6l$?@7oo@cDE3f&;@6ail(Pqbm`we8TIiyWRC!zyfpxTCR4x}M`Q z8MdDLSSAjO@D1@@ERnVzzCz?d-!=0z%l=_i-Wme6XgIQ-@$m7Mq4WAtXPH8*_S~T1 zDWb1P+7$!vgv6wzWFz0RBqdgHFo-@y*i}FkcGXeiJC+s2DrAG)qcL*&aaYK_j>4{n zCt|S*+aU2s)(^w5D)^;oOYC} zRn#e54_qPN659&O1<&wf6}Z7%M`LO9z>x?r_Pjlx-#n*~RnP|MrU)?#=u?yu9X_$W zFyJ(&sMP^CgbW1~Aw#6h*llg+%o8VB?Y2RzDZ+ySxXcK;n|993Q#4tHZ4hgUP@n)V zGs4zfsCiN-tDp@+4M+DFHchICpTr*G6k~~~tO7TPHSlnkc5Jvz>bEe(Sn?~&yk-z= zifEL8Du^eshsJC?PnOk=8w8soT8E@clsc}hC6I^>->p!8pyRYoED+$V?3ar3c-iVM#WkO&8?_4EAoS#54!}qy^ty^`K2LFK6}my_(YPo5xT}O-H*I?X zSygH7PpiNU@{VK|7F9jyx=P-4OVkS-p2F2C0tS(X<1uvGNv~)hVHAx9Y7ZZ4$D<*Rp8Lha&3dgUFe4%i3J1OcaCpopgzlNYqkFdi6@6t_{mLF zMg)?&Xxa`>rfU_rLEy>J5&#?t1_`*fw}sTlG=Hx};QCMr0Hlz2s!)j}5jM*hY!$Xa z+L2u2dahH2N+cx<8yudb*eY;?u#@8?fEWs42kFlQ4WB1QwhG-K?BqZRfUXdB$w`oI z&2SH~_a@YhwSID{)%}noLdAqH$oIYv-;3z~LE;Gp4lte+n;EA&>c3dAc z0l*cSohoPofNLk;D6=~gP#lRr!~;PXIw^h}9sEozqh>JO_37=)c1J^>_A z2)nLAbRyg(Nc`cf&N$jzF6ia(2>`Cp?Etu-+wmJttajZX>E!SUfUb~qUE67q3;a?Q z%Uw4JIyrCxfGY$Y02jN?u3xd*b%Usr11A8wLewGXf?CV(e6b4NAnW9y2>`E$4~Sp{zpcXI3mfLDn--A0z*&}0?5 zLEOo4698Q$?u7V6?NTVKzzxDq4w(SpDq+`Il=S=}Dyz^9!cGpEpm%Z_)SIr!-8#n^ zO~w2UEUQQuWS$&50Z1rhUXRutgySiG+m=P>`p5}@q>y>I%s_%C#ZwA;E4{@`FSJ{N zGYU-traPQcMNR;4LEiEUz^ry%)m4RnOAZam$VVBz+d~Rx$d#)ZPuPWHqJ9I*lOc(g zlpT$w858;mcbJJ>-UTj_qL-${*pqF&VN(l|-vdGbvMIvtQ{D0J#Bs{r+2)kawMYpc zGpIo;cY%AD!8ia!5BFN?_zJIetIX%z-MTk>Dcm_6%1%SD;Jz^ICC%U_W@Kd)}#|6Yg5Elec0gKjw zcK}{aAMvC>zoU-8rJmX=Q3bf$lU_cEY9PenazrvBr~n}jx3l9_2)_d%?sCwbI;w#X zce#kG46cC?cY)=Ub>4vxcY$Sr7a+u49(o%ydIv(>#cqy=0zktF`PVTwpsxsVxM~_} zAqa*OAr80Na{@at;(mI)EvkVLXMSL)03i+|6zbL~lTd z!`4%eJ(U=iXTAN5N^H4x%nVgkn;3P7Cf z4oCRijS%<3RgVl$H$ohCY@8Nugt*s5d{q#hZiKj37mst}$G2(velnY?toxrdhPY*&I(r|Fj@F2u}z<=zO9)!5h zsWH6=Ar2}E2kJqH`+z}t6NEUd1m z4e}E)oScB7+rj6R6Hs)s`%j@Vpa@B(8C-zFt|)GhfM%H6O&k@WuP#6lZo_2L3(YRK zhk7x?uh7)ZZnTE4fT9}|1lm0`uiSomcQE{lPz(@@I(jppD9kYzpa?gMa-0A~kApa> zLuGiek6z^fUjRi9x|V=Uc{Gz?4lsU1^9ZsyFiN0VnEOH=qsS;g-{vV63rO6 zW0$uC6k$TKNsDF+h-TaY7+DXz*r$meSXa^g_KZmoyMvy<+X9Nf{0v18py)%FCJ{NJ@!EtA%Q*Xgb%tHpqG~cwR`|K;NmjT z2VD$!i6aPV3ta?c`Rs&W!OMvezz;~mo5-pNwf%r4Xgr*_en1mcAL^U}zXP72Ku}i? z_zsAoyXF}W_yJS+&U@`g!w!2|Hi!L)MzPw!`vD!egP!xBA7dNf^A^5>TNEQp0AUO0 zVitp*2N1UCrg%D>0fa5+6O7jb2wS*9oPS5y!g9%2G=Q*$#g@MxGpUzE3IH_%2wS)g zne{G!utgd(f`{NEY#n^q+QFq~;OGy03|JGCcoDRuSh6BC5vknZMF5}T%8LL6iY_mL zcTtRa5g>xOBLbKd0e-|&0nJ%V7RXx-WqN|b z{d`bH$CTAW8YYt(ybQfhqbW#o51t=%a&FuEua`PGn;PFX5IuA?Pgsfx(o3M&IB-ZIfnv^PtTq8@>?Km^ zp|*i3{7Bt!a{0s&!~zH1HiOqZtr&r1x1(#MLYf5>8^_X(Kr%N_tu|60pcwa3pf}R9 zq1f0Wm2S5b$3nJ|e!W;j9Q8X?Ch|yHb0D}g^MB{_|>W6)WU@8-Ef?5c))?M1% zfpsX94w4BQ`UAEhX!^oR@+xgU;}%XQ5}*J>So-h}psxXop&tw_Tu!uvq8~KA zp)IhA0Y6}@@N{QC5Of9J!Tp9KTkyfNDH}AZu(-%gOr%2B+oZ{cLl@ z=Y1DlbP11JZ8in+XSJ$CbV#U zu;UOq7~TV0P+Tr7;MaNs?Larfel4U}Vg3iwmz6_#`Q9tzh$PcW|&JdOP&TNS=Z z=lvyH6nZxb)UIu^hvt*|9bJ!FWdAW9Y9Bwyya72LAEIQn-e3 zhm7N-zM;Q9!k_eNBMl!gRFnGJs)y+YrUqOtEbg?Nz$L4@fIqf3!{Q=}8{jJt{^UKH zkS6cJ#$>2(>@PMUL%FfPP72r9Uu47r`jfCGpjQZ#1CJ1eX;@t$T6Q#pU!+{+S2Od6$ms|&hZ2vDiN4z zQ>%|xR(hie9RuhuU4giXh}EYnO+Zlm@cZEe4fBhj$I@~liG&rMmJ^Af<46P-nc0AY z1#N}aCyXj+0HmC(Gyy>)rsV{#paIZw0+*&+;N#`RCNl>~t_S8%-e0oPP%FGpPF5N~ zSWjoL?PL4}rIf&>D~+H5&~nmWns!ZtON?GDfhaF$D~+J6;`gwXMo<`OIq9!pQ=sJp zuAq6+azbZjT4Z!!D~+I$kouIb1dW82Q@EN0r{xr`IJIHi*-9g5B&0rFX#|agmJ>P) z8VM~Ya0QKomJ_&wMncO;e+7+%loPEvAZR4CoWj*4J1r;kh#fm{x}oz1;Ag?cK`etDP%?FHl@`~ByDIEeJ;xXOW34>Nn<&3zP z0CfXY0}!?*2u~;{<0b4&NIBIEggp)^M^=9%f3*I>Mc^<@9<0JRiC;Pq5cCIhto8rV z_4nA(^gPfe==UnVB|LXYRVr;@oLNG>4d#2ruN$j z&^TV?}*jtEKq)aQth;Izfwe!R-D3RMT4DMkJmEM)=&Um`^LUC*lja%wO94DIBno;8Gn zXJFjxuNji^&s1Ld-w&TjQ2X4=R{-lZ71YP~b`wq)mrAD`A0kkGPqnN5%Aa93>thp1 z`S0zi-96}*e}M8cm22t*XS|nRDL8a%3I?ZrZc}ZwgLmg291zw1Px?_f{FaG9Io>o; z{v%p~hwkKeIVaVgWnz%uCa(@T9MKXSy5(dD4!>n$1cz=p8G=K%oDAUJ9TeT!zuxr_ zKF5O>DZ(ee-USps`HhMw#}{-d+yD8GTfc*p@tf_ZZv>;x+rZ4=L;FqGuPssw8*_p(&`#67xnwr=1^Asw-sI5jG5 z<-xAgDKI_h?#4KyDEXmV+6o*gq(gOs?mEh#My-Wx@0I5Q zn;t;Br3Y4fB87AZaOMx)+0IBI9RnEokwX7!K}NEMpVAp}cQBG!eEzqgIk5WE#Tw~<-NyX(i8u;2fQ#+$Q zr^{=Zt$%3~NG&h;(k9K#?zB}m%J(76hxFvc5q#y+q4d{6kNGZ3p* ziZ1q%;Awdsn)0Y#%2KBf_~Nv;Y3PiqJ5D2J6r~dgd@=Y~M~z81LncMB;!wo3S(MLj ztGZ{<_=|^*z`Lkg_B%mAA`vfTge1X-u*LY`Lnr3w*nQ@HbFKt>7CR*UvCPIu+Ok6| z03vDSLntm*ek7;-$P+hVEo>%EGnI>i^7r`Y248}Q^{hPG_j1^*dF;CwM^JgQM)=Hc zm#y$oPc~}=_b6L*Q=hVy5QNyJT1Jlg*q5(zx(8M{cA*KMd?Dc#if)y2+Fx&qyYFk} zLKnODQD$9mMsUq&aJ$gN*5sFw@;GP9wt$E3ZAP#9V^`~<$KW^977J}^mNhf~(kxVM zo$y&5_OxbEhuzmHCzIxKS$e@4SLfVX>W>C|)UHMH+y2)we$;;*1ycEC>5(7FpL+wP z0Db6Q`R6!^Fv8NSe#DiiW2l4fIuEqy&T)c9c$6Q5`XKpp{JrYqO=^|XvTpU)IuoSw z%hF3Z&@D?3JhCRy2+ll0Q|Msos6UqHqbxnj!Qb{MKZ$Xj(WX9wU%jvlKIVlSAK=H* zqnrrKvh=`l0LpE#>Ur8s}=j)B-f1L`ppj3Olv5+5S={=+AETpyG zy$W)IE(KVJE8^Tof`{%`iS_-3+vuwfpzQ=V##af;o#0;nDu-?oGQ4VenS}6Na(yR+ zk267>AjxVzuM>0FnYwlHM_Ooxta8l?x+ZXC4`exy;#3EeY0gNN>De>T8W&fqs% z`l~E2m%~vDDWM)*OG@GH*0%M%e>rNQ{2p^ttE|fZrBxuc6#NojFMGdazumRU$)xjo zrR{^$U#0DX(_f|SgVS!M?Ss>9rR~A70pZ4-UVj?SqHz7$>m;zRDky{GmI?2@^hdQmX!#qhF<^DF^MXaE)U;;5Gj$ zElqyBbYJC<0mr*6t9O*ME{v$(%O692-y9J>`DI<8t7NO3Q-AN;t#SsxZ{&|cKHlld z?GN1{2jrGCURv7qiI489v@|&Dysz@dEd2MEb?0?^DdS2Tr;%^>`pduX{(97MfO61s zx|ev>|AjXZGv=!VbP`gd66qvpr@*Y$lG+LKCNk#AlfM(_oN^*0!FN$)0=hTd3p;^f zS8fE)dfk9D?Q$aoheA1r!BL7jPFrkCJbkeYm#=o>bX%#7lmpMTE(;#IryIaAHgphk zl#kK%RoXlGL-!1$;HE2Y+APO(zi-{S_`=O7FWbnEn^8XKiJPvp@xs#qE+=%)w4pw@ zajx5qTN-~cymT;hl#iQH-nxm0?&O!fTOCNA`Qf+Jr|T0pqt>V^|5PWdQ~pvX`Bc`= zQYSx4oviKIyE-|U#NM`a?bT=$uszxHc_Q%>#I1ZM)Wia+(&-E-)k2}ph)+>=wJn_B$-_zHvY zGw_n1_ACRyxE`gT{3Bq4hi-Si<$c;=v|Enpd^4e@LRp3UrBFgjRa*+>ZLgP{1b2mU zGD#qp@dO@$QwI-&Q~#Q9=da! zaO&W@ye-LZy4uz%L9qD6>nMFQakujoA40>2auE1W47RF2=K8Z-Ov!IW|157jIELu6 z98AW2`%k-5<#!0~dGsuU2b}TwEQ2Sw71^^49&px0&vRoMzJ8JG&oX$(k67Q&^j&tD z-pX9_S_kRx?e>epdY0dTa!@qV(<48I=ygkex3f+#y8JRwDF?Zvcp9smtNpb+q@Bw^ zUHR8DSk&{-eS3YSnc{?6fI4BF-yq?P6ep}mMouUjCJEu8j2IH)$a|I(U?(uT@B)|% z3#VwGXZhHJ)0?##4^BC?8V?TFwdw}nyd7z5oMXsRuSZRSV~$>NcrGEz?s;M-ocb#? z4sCZv1?7Zpt-6E5SFO5()BCmR4$i1610XnbOaFu87ro*~UG>LP{6zatZL&nlU)m%^ zs%mQ*{92pHop9GCCzEDJY3Jan|B+h3Q~%j+_|mnRea#y3W2VZ=oH*@PmQ(UWx2%ZZ z&@HP5oaK4na}7>^m9-N*bdNR<9=elXyhRC zzh%9Evpm0ID_!G+<@ptM=HQ__`Q=gP z>v>mJ2RM5@&$2qe+3V>b3g9doJBmVZ`mvT3;L#3P9jE>ZT0(sWzi&24I+6nAuou>$ z6u|NF?>Aa6BThFpU4B^|&aWGd;Kw=zkCrSq(ZYZA%C__46}{>&AljBXJSTL=sqF zbz}q!t!SB!Y7pGBtOFGUx0dNh1;MRlUgZn{N7{Gv0pr>6?~n|^J)07fAq=dw2F{ZX z%0Pa!&#Rmv!6~PlA;D?6a)tz_56T$=zUzNxcW~OL&in)qU61yuO&0ilAx@84{qpewV&^>FD;GsMDMO(c} z8jv4n^6MrI)_BG_^Qw~r$q(JKj)FtCtRrx=)vK(d;P8ueRPzX5!Ko9ahb$|2yNktQzvOW_*=Z z1CE>MRVN3)aR%v*=Y1S1&H?oq{i|qe;6o1R9zXaP&%bD4-4$KsU-kd}4ZpQ^TlJCl ziTu2mFYQCW)LM!BnP0~_jM#8^0lC1g>TFobO|5**t__Q-Lcd-nW#FY!cSP7#_YF(- z3%=Y#8&(qtzPv*lHpydNZ5WbQy90YqTZLTBzF|mSt+HWA4lcc+twOFg-?>flxN`z8 zL*SwKg?j{S*d&iLXTv6Wtf~#0%VSh+7?P9A<;fAr$>rLB7Hlr>Iz!rC)%!zdgw8VF zRtNbmzJmGOS0I&1#n0AS+t`A~m{1hP1s`Wn3R%t|!Lzl9B6M6qJGWcw*kl_v$>Rvx zFeKetXT0fHqe;%zT5cfKWR8htED4@mF7s3H0VsHIY56C3aH$A3&VA=nA%+*p62`8H zU^mtA|87{arbY3F-GXO*xnXG}<-SV;mF>Pfa@e`$(sm(7Ee9q_*3rrvb|FV82VOfJ z5^gn4q)L6cNEN9jS?F3GDQ`8aQFDH@v~Tiii`IFo^_17wAk=uppi!55ybdXWhORDO zd6&{t3GPG2$3mAQMfDwd5e;WGy~@@3Il81q!9tN-M|b(&u8y>sT8*!zSC%4D9ZJL- zb>|&xf)X4FFS_3Kb!?**L)%KOrn3`t9#|x$y-TzZ4N39+opAQN2%o33a_F-X#`%Kf za*N}gppmm^oPMyd%N;H$ylbuo7sD&R+c#Xe>cU5T z9H49Ar(d04ODO7d`XzYEIeiO`w?BexYJ(-1{?Z2dR8?Nuz|%z=dBY*KwL};@!#!WS zqAe4Hy8~J%1~&sO6~Wmyh*&J`#I`}p#pDm&*+4AFBN8U4N7GCwoaiFv9Ge7Nof&lLF zY3JjP56+TavFt`U99EVecjC13amVj+pnFWrjYAg`v+B>>)&g~u&p!0eS#qZwOlqB} zpZP=gh-mtY<6t^vH}c~El3#h^^zS*o7rHEU6fkM!uljR-<>xGw$*+O7ChE^wDhJ2) z@N<^R!7;(5fA019!2zV;00T#g>Ri*naV%ajwlm&z3p|43sE^e?zi%9KZHj^8$kr*- zTlv55EFsQz8uCHWjcK^EAx?rWVp-n*oMYZQfs>EF$4hc0c&t=3shz+&F5#ry2^LQO zvbk9Cq^>XA4e#oP{e{QN`?wDF7wq`!*xto>zR1WcV_gzYYFB~mX zk6vJP79T|E(E0464)O)vQ31KAuJ)C^l-H@mJMo9ZIcor(a|rn&kwYqm7YRDTK}VH6 zW8xD1bZxBzcY-zc4}OQKT^0|2X_x)2Dvo+t`cx+8ZM$RFiSvS25aIP;rVG|qjC}Jfrq>t0(`hp=ndt6_@V3}>34^K2jQJb}5d+vQ4Zkq=7 z#QU7~y%ONOJBd31fQ(7s0Gi_B16o|js$F5MCyC`aPMbO_v^!ts7)^(H7x^Hw_MTOi&vHOsh zMNXe<6B~(mPHpnV^FP#>97J~-O7MVF!19j-8nM=bW>8;vTvbfOO@qCpcP)nV1)E6~ z9rF4jMGelix$YsF_>gCQC?O1v9@MSie9==)0wX~nt`}(B>IxQRt8< zI4(?iK1Vqy3@!X8j=VVs1X6$Wy3B!@pZQRB26VAk_r#%FYxa}_zvr|> z>ce#anH!@VT=IFmV5*!odj3{9t1Ff`-x@~(V7j-c4>Dh?;z^hK&++rkv6Oc$;Zsgz z?i@e3_7#GElpkSnvyxV&aqYivR)=xg?QF28-MAL3EeWrbF9~j_zSzZnLqzw|!& z$N15cO5kJsIGpJ!XUGAWBFQd{-A8X*8gHAhV-F3o0Y&>+$Z?!-6u#WeS@}n2ZK~Mb|pE49?e)yg9Lb};} z&3Pg12tN4Du{-tWy?lj2CjS^ezg*T=JldH*bVvV6UAvr{k)iS9sQwxc~r-$57iQ12uSoOS6>x!R%2DF%t} zksm*ZP6ZE~djOioH{9ZXnee#Vryf~@`G4sVk&yMj^vH_l^s^53&y~wM9+)LU`R!Nz zRS%X9Ww1GppnQE!_$|e-6FgFWOEV*$g;9UNZ8BES~@sEm&X=y6?LRR z5x~QK7}>5e``Uy6JW5` zE$)k47W*x8Kez|0o|n1?0XW9QZzM4CB%~-x+TPeL$`!DILmhJ)2#AO`|H-1`>TX_^0P=R zV+$PNTfur6SKkOq77r3{1LtI!+>8VFe33*rLL9o@&smaU)Zdr9gpc|AZQ(9_ z^e>mZgpdB^l9%u?p1I^DeDtp#O;x)IAN+F3OZeb7m%Q?Z=~OC<=wC|3tot6=QYsG8 z^doEZu2fDY3B+2Q1jh)j#R>SwJB2 zhC~vq8U-A|d!1GQ!UkG49>#g`chtp7Oh@>N$d3is`QD!Y$H-pD9Z@ zzJe!wl%q3t)TjGF<>;wi+b+S7*P-f2Ka= z`>2=lcPFpR>?+PPGBaQe4YcW~&I>JARS z=ek=YX(kNouhag7H&K+A!7t%Wgpd9uyovC^?-pI9x?LaQ`|FM5vmOZ!zooi^!*896 z2oArf?x|ANp#4jw3W7^NzP%O@?s;+bW8&RQUft`XqmdED_x2Z#$SoDO_+3X^ znC~aQo(fF!86h-zr@qi1QYYZTageqk@ZBIj5JnTLE~yIcvUv3G)d zUvIz8qU`3-nf}v!`wP#53U|9}WgA>y9Kn(=n3;MzebTUXt}iAZiX*k!N|CYG7sUq= z(N{F7QIxLr`it6zg}CndkuZr9v|LerW|Qbl3}4KSdZ0`zyj(c{@={zyjULpqebfpw z?(m_6^x)t_2@tPS8|FiboF=Jb8#@68&oxSFwH|#lA9ZkJe_;ush_LrY)ECPdQh=p1 z)bj;8<9h$vQ(hxc_X_jHXsh*r8I3rG&pO(*5@fO5iLY~Kd~x)riLaw)B#cq=#hYR( zYS8#%e@_XcR!VSNDb(G4Tqz-QaEy{Kt`{ms)u@%un7LLJJ(Ffv;F%&dLBc--(s^iSn?{iniN;9m-dDz8oMrEnbJXgOo<3g=|f2)oTj*Au~2 zih}$I=8969da^dFD54u@Ue#LBm{ngV-bfeI8JE}&!aZkgT6;IV4gZE!8c*u{z zTk*S7AJp8xTJ9u&=(bc{?Sp()9Ny8M7`zI@J8}3eXC>uh@FsqD)gNDgjvLK#;J18s zl!L+huQpzShwdB?7`zp~JMG}RD_{T0@J~6RJNb=TEyJJuK1`#x5L)`JcJtj2;j@3e zWiEX3>m769W4;p?L--ia@^pKgl;Q9CaKlsiTOg`F+Yl?mpZq=`q5Oki?M%D;GW^N! zjcYA+2fu7U2_O7&3Q73jSBGsVfAGsjit-(E-kId0UCvNIW^h|=(utd z?*#0D8gohTsk$$Dg08{16KrNy#L!LLr;t{_nU61^Q67q2lm}SCuzDgSA(F91{7$fl z(yPCd28(RH(0xx(+)vDxTJ^gqB~VmJyCm2Zs4$>s`tJmDUQuk1I#|{Vj)JLIRw;k! zm1q(*&C)B5AS7#G=#`U6<)5HGp&QGr<}LYSf7OCLIQC5W+JnPa&D-GgTg_W=c4u?~ zwAxzpisSEk^Tgvg-Dx~@dmI}N-6N+`e&}{5ul!j{l&_uq?Br-?Zq$bbns&k_4&9o! zDF?dD+p0h7iwYr|_GjMeJmM(d2LKd4bMni=P}cm+AG(8IeEce>2cp^mAHVRyFL7uU zp40rE`V)s%cuNJB1KnD%yB%Uz=``BCp0S^_Yc+BDw@lTPLp#?(J9y|$ezW+(PObX0 z;C+<+L^&9|6$g@j;b4hG*O9;EXBnjx^{Br$xRrnOmqLmxxm*E_DgTMxqXpi*9EHYM z`5)LZr~V3Q0Y3Wu$zFjT*B<5gV1wrSy*_$)GeZ27;|P;7{4C@2s?b%?PVllLkxe6L zmZIQ+EXCD7EbK3=OB1WqJS4%VCsv65NdqgSqNGZgnFiCc!lWiarySj`wPf}e^8VA{ zwey+;EHBCAJ8|vq!g(!K@&)TdHRAdrd@LD)ttlZ@B^ifwsR=}Knzpn=aO>=fp&Aj+ zn(?b_;^g<(&_j5GE`41wRF5iHV~?>kb;_Fff9aHH5mjyJ6bJwL)F~&^D!;pPwL{vi zbanEl{%1@Er`>A50vuWWRf;+|?N(zxxMj#!>F?mstwV{yp<9*?II>zXQAax?tG~+5 zNq*>-r4c-IC%=&uFD6_TRIw?HLz6go!`jn zM58?>Mm8_h%`<=K4t@!`DakVC5pM(uAM=R)Sm8&eQBNO+5q@+QI98Zc`=}2_*jMRj z@@M{*Z4J&ECP5#IU)B-{`gq!3$8s%$N=LgKUb&XQY#FP0@x*&nF|nDa?2GEHlfl=M z%^fL^J6})SY!wqbhRwc;wzG$59}q zA*^h4HS;2{6Z}QOvqsRMQ{1E#Z&eb=2?*%~Ts03n!HS?FuI?}J0avKkD+b(L znO6DT)Qc~4N&?DOJFv%I0cBHv#_)If(I_9CqMOsBoiQ}NYsVn@X}27PSN@JRsN}ebV>#1C4Y2E z#kCENPSJAfUeD+hU2d8T+ir~3hbToBWG$KhWz+R)jgyBkw3KyLw?q+6~H^? zqf;s{_Qn}kWn(*pqbyQO?+P6HFA2=-iX3_+SVL4O&?~`XF|k112fHXYQU%AnzOXdV zWuU87_ZM~qsrP0A^FDD)Ew}Oo4$TT&8X9j_fZw&^^%r?gK&yo_6_OBH!5aVYp~byx zrE_5SS}6e)SljXz%Gu5Nu2p_;3aV9pggDB#R`bD`<+TkSoY7aiNWqb^tj?!aSwr|Q zt-_~k=X2Z^+5z{P{)~F!Xwph-;284HGJ9P93p-xg z^q&9a3(Il@o%w6|qw_76a4!am8At*r{wg>i5=*Cc-4w4H68Qqx;j6|(5)dk{I{c9Y zq(K4!9;v94yY9}41h|PapA_cf;x9!pfL~0U<^$F7s)Yex=oNMkfm)p>A#GJ#R!NAE zE%oFCZAPNwYhj&)h_zZ-?*w#w=|(5$p|T{n!>49hz517C@wZwRtJS1he5*p9@AuFw zl}l5oyedgx%lKJtl_YQ=MQ=&m8(p3aW=lC%96*mJ(M94&!{AsQwP^|NTQtglW<_vj zDGrfzsuouqiZQTWePRS=3cP-IULvm5L&~ap;y+1YHiTC^X=xKaRXtT@y=w_${j_IPF|k zQSi{U9H{yu7GGr*ksqt;RaOx=R?({*j^Gjc$KiYng5gElOYEcj~Rz{@P@=mi@#$)ltapt2{IYaR-o zTrPDccyhV6`2nktFwc2!bx`yds9pORl0@1}`A_Ey zmZ@IxL`l$@FceIQMt>o%n_605oMVyu3(hT8%<3yawO?PH&T+&!BGYJ(^UO=*v2iPY zstQ{C#&#(o7Cbzh<_V5Xa~!cLXY+gpIr(FYl&}e|wp0B}+ALfb{?*c}?cCH;AFP)C zOCRJ@NsXlsd{uvS?`;Fb^;G%Fw93D?n{c|OWTgw$d3Wl0hN^L$tpmTe4>3v?Up*+6 zsfI19i&U3%O*zmlSqC0l7GdXs7(8^(>uO6g;-T#-(}bCDsDPEY-{ z#%)D3-MHmgMKleLr#TT#j~S^QLCPO|Y3B_5r~r?1A~2~wL!NxIahiyxRewC7715OX z#N$|x3T)i`)}sO&PkoMDa#%Fou<`)Aj2;8Fx@#9$Om zjj~GrOQZ1V8dOW8#Ez4ezN?gzO9aZk2+q(e3nO@X=%|R`>7eF#spt&VTJez|5m>>V z(0l|H7Q@H#b$;7~swYN9x>My7JXrV{+R2|@K0`Y=JyVM(a70>#T1|aep7-)8`5AX* zdIX1VEuex!mlagiKO(J667ol+)fy^z=uUn!c*`_M{?I)l864ZJ*HOXg-!g52Lzk6Q z)t@t?SxOxX#%=-iSFNQeC!(}WjNqX=#?Om8CB2+Ve%iU#Q^Dc47F6I7)tDGnf1LXj z^eX+1JF(YPDF?b`Vg!e7nHa`%F;C$bF4HME{MNcEIPF{}M)1(}cs}))?y+DzXSk{V z5B~F?<3A71b%mcYF(^NRsZ0!T&Ja}4tCW-ZayBo69dp(faP*{=9~!pUo$izunG? zuX>elc`FUz0Z~yZ5yZN8?B&wCR;GLrtD)jlUSC)iSD?x(!GowGRg$3l(ugT9gT?HiFIQ1!yfXmTi zYin-4%e)G1U0DG`DMvn9=Pz$T@_Xzhq;Pcl(tXV31mgCbB0tO7iZq<^(Zv;bbmP#i zF`sgvTLuw0vO;09@9m#JBo+TV<+H`}J{!6l4_)_T)t^1g1S&0lkwq1AIpuI*;$6le z^>jeVFFoEd>WMjAfl7Bd@LQH4<={fEK&8o#tW(^|ResfCo(|57Pi zhe#4Gl|nRz<Rr~l;L6;+UZAH zm!-B7(C}rdB>{Kb8+VZVH3^x}Wvn@3%vN0G#|fT(Jp(N`1EKsf!KrE)Q^8SC=V+an zmIARCd9~{ikipY>M=%?I;}@uizLfLML@A>(`DvjtD#0=86==1_lIo)tTjN+;;S+Z` z3cxyWjCyU6Pu%VEdrr!wo*4DNWl&Hb`d)t2Sx)HoEKxmkMnI=N?)fzisejKA=VzFf zIcB;!BT(;2P|utZ$XgZ}K&u@5NAPzny+b5btl<5$(2AESKy^1tPGp&XnFzqLgaJak9@ z^4O!y%-O&ARe$liRQqFA>$6cG&Istl=)|F0h86X}uliet6*vZtPLhstFf??Mbl@n8 z-!iNy2SuH*uvh)H2(o;rsIYElMb>4$m)mWXqqqmb@BF?M;?Co|466umA{^*C(^b)( zKo8eW!4~(6P=1i$@LMV?ICM*81&1ywy6Vr`AaP@_L8n6w&@FR< za#$Pa6zbrYyV?~uRs%)ttmhQn4yDq;F(ov*M>!D*=PrB7@!CMXhhrt34?44}P`R1U~rXQ8Sfeeoy@gjwpQaOL#8f zqksJ>?5gMBSI!dCEfd3h5kpBHkkP+7Jw^V>uh%NdKl%0AL%8{>`rDKfKKhZEJi-TG z#LN*s_>z-{`V78!d`+QJ@BOGX743YE!Fil(jTC`fhBQ-r5B*_oC+ytlLbgVd+|#j9V0M=qUqP6QhJ4RxLLG#m*$KBhZ(r-cX`gSDuH z(?m(Ix>v%fQxd$Pr381rJ98({3uSg&vJsVMx+j^w8| z>u6YTW@~A;;Guh@0l1~2%I`Lk^dNGKZrpYg8Ha9Z!;}Nv(uTpITb=^&NX62U!I2VW z4+Rh1BMpLwZg>8wXQX27YLGutu}qlY&@Js89J)11gG0AQX>jkzjIiWlF)fH&+pIJH?-{V_>D>b1JpqhE zw;UM-zh0mSpZt0OA$;;{D@gd{*B(q=>@>gC{NjKW97CLT+XXH{XXo4?mS;Ba<=TZX3-JV06SOx#3O;V&p?9wI<2chGw zLz|pTi|*(bBKioQ{bCQM@Yz1m+U0Sk{8)qKSq_f;FVAvt=4mZ_g0BUxaS z06*i6m_6Ti)oYP+I&3GnrL|*x*|R&rO0U##e;PB7VvBO0lG#i#5Zofn@J>ASfMdd%^nsV%h6%XA` zs~qGue5a!2;Gi6@(o~Mi4+AQP(-ES3DO`OM2i0ImA_6om4Rc6&LprreiD-cuju!M~cX!EGH^ z1klve)}qRp{4#I;)jXpdN7hvSF&p8I6GxEDmp-_KYqsl+|^(hG9JpooZc9;sE{MunEeD*KKYefL1p6uW#|KOKU z^1=tdL@n0A<@{#Z$W+eYSLe7W zXYz&Ku1KG$r*|I|=wR~YRlacdk6o_bLDAcWvsM-}1_kKXRd*F4H4KFPOxyXBId z@Vi{nr8esyX{M6&T6J;RP4eW-7sC5|+v6)SeJ1KoFvpKthwlUrp+_ymo$%oKSQT}6 zaKef>znH72_v$lgG9_4RRMh*OfOt*R`}DHE!2YYK_jJEn0us%J=CLFS_!*}jF40Q~ ze}0Bh?Aixj5BEdzhw0Pa;OM0ayq@}?mlXB>>IdhKUXl)~2;ZaZY(xYq-%0VwZN}mV!xPH_|MsVoX_ytEV zJ<#)2fAms?_D?&Ymnwk&#zS}XJE!wxWlVn2OOKj2)CaxvxXl~u8QQt*h~$SZc0|=5 zz4RzMg8b;EN7?tm8E<7r1P|RY&R^)I3ay)VKrdBfUGV6oMAkjyKYA$pTW^fiB>%I$r4O|=@UpT z)wT4=igBPfPUorNlS!hi)&t;?OJ$D)r+vyE0Y~pW${qp7pHdM@!x#EWFDKmRWAs%; zFeZQW)#F4*4356igBYV6^wp#64%de_D#Y)-A9E^DQndAny?gc}JZhtPl_Py+d*M;u z*x->r#~ugA-|=9@;&DiXAjO!nKrhF@POt@2VYStfQW-MEJ!LAGEeVqS%%$>e_=~+< zsxZTRA$D`uzyB)MPUU)iS32+=4>g$;wx^*Oz`Y>Vjmcq!7<5e;KCmyl&46nfcZl;a(6MJ_xOiu$u1r59~xe(09rk^HoC86My` zUK9TJ$jcW3s>1)K-*HwXyl2(l$DCEq$*;q}YPmo9H``Ga-jnjP9i=#-cfPV6rTCr` zhu<GTRMDPQp%~{!%0YmtPJK>f>lRD(47%a3Adw?)EwTsCxpGGwaX7 zy23b9Po9)eIiug-7yuQjUMMj{nXCGPGBU~*27MKbgfxQ&>ecW zHH&fc-~`3bXRy?6(N2Ktaz7*iKUhUc)+k-hMV%Rj#u-N>Xe~v;>9{qnwZgJO(OtT0 zq6LTV+DQUOVd0G1@CfQs z=)ptR{8s%D)Y{;>^`nk_nO|+ZPuz61@pZ?uL#B=EiJPvrCMIrv<-(tM=pM!D`e%nM zL4;5JwRf`mH!*}u?&7)8R?;XR0jtj@4&5>&pz9M}a+geg@wjLjjB>EF<#L%g{9;H< zg|agLrBJBCTJ%VvtRrf_@=*ys zr`gIL32vDszshI^1dvwZ3$Jc;u!Zl0w61PM|5QZls8t-z6)H72)9$;DVNf3y+!Z>S z{E9{D;Zt^p^DDR{_!$0ApY;4ri0D)QC*ocT{m$t*^yK*0q5qR0Ag0Cjqu$o@*)nXe~3kWu&tKvTSX*DGDk`_TJ;xbM^mkLte zOCkhSONo_hpayl7&+HEB8lSlhy82!vj5;irgwRXgCa)XrOqZaVrH}WSEDP0XUmE$6 zRG@1mta7go2c?P6^aNeAK^#{%S*4hZvm{7f*Y|7*2Bl_$+EOyA?~P-r47cQ&dX%sf zjPi~;EcGJv))y+l)Xrpd305sKc4|~DLAXY^Q1{y&4?<1tOv995YG)D#h1#7rD-@M` z=S}k8)NUh6!We3I-Xw&b_s-iA+^R2z`jr2x3V+Cds<|}H8t*HFf$jy5w|P$<6+W71 z=^~-Gp{+yMuJl;}qy$&`*#AMP^k^c-%z7UuC3%$}f+i^+;h1%e4DJW5M_n-PMzqruB+wxiq9o@f{ zMnXsTF9oERs_v8dn<;bLhpw3e*DCLwH>)b4B`!4$tf+)qT3+>iu4RnSJ8z1RYxF*} z6-U zxce^3C#}D~kFRnkuy*-Ahr+G|l=wMCM#4k;D%9$XDHB(M#)PVS_D}g@J;LXN8gKqW z4iT!$kUM;=*QWU5=&}8URr&YXQx6Sdey9;g>uM3NR#)qm*03zd8m!(4P)S~!h4krC zaMob;9zgQ@+KD>J`NP91C&u8dyN%NrXYEHhieqJ#YuK5t;wyol0S%tD$5|_;oUA?0 zZuQ394&TQJ+IV{HOxl!BOP{qR`QzNFcMF31%)Z*8yKKo^oS1oEp=2@M|L?OFO*uGI zzR%h}IL=DN;l6~H>$zn58g;Dvr*2qG{iPf7shV~v2L};Yhv#q3rcIajKWpQSOD-LNCn0+h%7$@2F zsrM6he)C(;Cu}@)2fs21sn1aa?yom%={)st>YrVudg&qMvzD%h9ySi$v&P;ybk7<) zIBV&8U|{1`9`!IlaC|_|vcsuA`!sm~pz4qCu0a1O2ig5BtC@1xT~6TsGvB$cDLFjk z120GjAMKoj>J^|Ly1x$Rru;)b>t3Pz1zY*lUv@EgD+bA>U*t(Wgpl%?r}e;q#Dn+5 z*iNrV?NEE;OoN~C?^DNUK`Qf)rgW%9D(*T_1$n#umNcot+iH=|G9~D%l0p;ND zdmi_D%E90FJm(jJvr4Gw_`y*@iH?8djMo24j#YI0$MO#JJKT3ViUZ*TcY%f-qgZvSqv!J$MvH?EwV0A)U9R&UkxrBd(s3N_|)x)zeHH zPdQ`$$`W*aYDocI4A)n!D1swzYe5m5cCH1*!W9sMaa9g>?`ugXIYIF&uMXG=Rt^g8 zbx+U%Mw3W1WDg;>$aKwR@t{My_b+rx4H~sgmM=KHP=TH$q_tA~;$=w{b-EMj&9RR} zdM>HXerZK|-qPOssv2ocd>BC)f3ToW%ECai~*1 z26)AF-FWDZ@tlQS#i8E$;kWD^>WO}+M|_eW{h2t_ReufuRvhZ&&pa)Ahw{;%^^i~U zqd)5>8Jog;zb?Lw&OF(glQj z`B`{Xyy@g;;Z^abjqd}G^}0}S?7IrB51teH75Y9n=bnD*l)$RL4oF5TopwMDe>0K# zl*dl63g~klJHaBQ4{z)Q>(=)j`LX?l$#?sRV}wgaB5m^i{O?D6@6N)No)B)#Ok0kij5P^ zUiCb)Ex293eflQ2N109sui0?wpZnSsIT^aS7uTm^D2Kl3vpK;-_eka7p?l08aPGzB zG*7G#YiYO7yNtKwX9Q!rRsFf|*(ZIHAG$T$z*+8BB-QYXwOWyxHy*k@J6AoCUKN9T z=MUYy|@a%opQLZ-Dh;DKW?d<(y4YsYQD?LAV0_Sbg=o7g28F$b4)*U zxv$-)b&{X{#mcDq;|@y%=~JKFi>nCIl%IQX6+wFAv~yV*rknfPedH!M?Odw@aNKYe zK|1B5jT1ro)L-VN1z>q+D2J2aefS2P3rZD1I^|>cR|M(c5#nWKxSZ@r_8}Z_R)c*E zXW_c{6*=Q^c-4Qq?fMKJ`ElE61M=4IS~k(|Wi>}i<9~hEnU5r}2l%da^iHq{RdB?M z#7>}ZY9*Zn&Xv6X+?KaJ{g+bN->LzYQX!~^E;5c?l*%S~S*!A$fVQb+`A(okYF)k) z7#im;+E!?hA*F`$1-{sdZksQ%-&paUNzg42FWTRAXvakMf>df*W$weAVd{4IZOaO5BU+*AK2TPYR0KJ|%wD8DV`#G)&|ZSc@N^E4tH zjq_Uz!!6q_6lG*xEwmHp`Vxve!PdzyzVNwPS*HA7ie-PR`MDGeQ8{!0awwL{rr}l1 zx)bP!YS$#tP?9i{4Q$rmlGOVPL`AvilfW`f%Yj)G;R-5TGWt>R7i=&5vZ{Blx+ooe zghshBlE9gm-`clH0*>Y1+PK*XNQOGf;@B+FIKQ=X6Flslkq^Ebsz=DtI0>&D9*je` zERvMN@F;^MICRS%0Y~HfmS;aW5~*y6;GugYdGOHf@w)1XO{j>XtJkXj(Kuy4P);;X ztzv^iw={Kd=$57q4!@-7WFB(Vq;8$m{tcl7)>G~(;;xFgZPW^RSj{Kt?qH%Nx?>-)$kq>nQf%4Hf zTGGt?jOX%1lAksEZ+RS%`HJr00a0fqjxtyyg^@n>$|C!hUfJJj_8h&UGmAs7RJKLp zG-?vOPo{)3igp6ipr*}EFdN!!y4oWN7C72yJQHUpcqUX-&#eW$8K5st)A9v#SqUCX z?$e!+qRwF22}_FTi!+*bg88V>o*|9}sV^qyTuoKN88|7*t9$t@C+C^c|7y9rzkv7& zXR(RfO{=0lIA>P>t67wyY)I;h56KSkLQ=56*pxUkOKk>@VorI&HHPXx*}XcS4ANaBdkQ8s@zD z@KpgNd~oi4Y8_?%=yP8pP{If2-WgQFk(;TN1&k6tIQN=Y2_Kw$b5IE%eeT^3CAiO< z4`fV*C*1?iR*1g%=yNZ8mGHqilA&VLT}9FC?4;$xmi zGSqh6{vyObM!OG0sHl%Vw>_eS56-;=R>BA8UIXiR_Uv<=r1zk%LrT<2!h!^IUj3mF zMZ)ZJktJdFxlX~8F#BA`;7ORAbA&_Hot*0+JYP)CbrPP0$@x+rONyc!rzToe{ok7C zxlI0}iPpoO_XEgjDz6MgV$K^*oG4+Ao@b;|Dc}?psZ<(xCxnfUHjGsI(9Ilc5%G^U zj8tOH*F9?&t-knZL&wzAmAa47<7d~D@WFYcQmyU6hfQF8@iBVrby30`Jp?pX!sMKb zZn4G^d*y|(|wR&Z+mk&1y(OtD-+6fHAS~Bef24bz5b^?RF7EMX;xg^bn zDKT`C$V0b0ultM8nDgcp-Bq5~{Y8k+dGm_ys-;uD$SA3`(@ubREuMA)LzLB1jVqm` zG#|Bm$`=_WwSL+Oa9*2(J0ZkBIFIi7um(BRA`AS3^XRS*Ymjp-5<$~4AYzvi=4j_+ zixTEM=V*%(=4kiL2E|0Kl~fo+eN^1hs3=}@(H9?_`~H9uK1Mt0Ls3zeRonf6`lt|~ zn+EEmVv=47ZuSbccO|&b6LGa<<%{W@Fs7BjX%k%_o%5XICQ6v|oIqMinDd;6U6e36 z=fsH;W}kE9LZv2e|yjhh6!D zQmc@yn>gYsFPRiRt= z7ns_K-P(L$iB|B|{RNij~lqf zAz?}kosJ-3N(>!?AYt~o&O4AWN4r9fIH7zlw3XtEkT5ydQ3VpF#Nbkf4Al?LGjXs6 zYh3A+0Y!aqo{95e4eHzgUwm+m8cdwlk}(SUz!x8!vxKWit?5B+#`=p7YfyWxB$)H& zgC*SK!y4orUR_K5=<`gR4{MMIQuW2ukMB&J4{J~x^VI62&!dAstU+y#`wOhW<|7m5 z!y4r6daWZq`aBco!y4puDt+<6c_z+>HAnzKeeuD0bnu5YxYWnecKFe!CR)Y(Z%w3a z-K~if)9{~8z5KN#a;`;T4j$fVeb)0T89dq!U3IGWRdxbnz2doE32XmIYpmISdVJFf zA5JZ8SU6!Sb6IpA>xo%X&09MvK%rj5JN>xCpySi1+_NmTyULdMN?y(YKwo9X{RXL&C zL&-Q(tfZ+4%=44#?eJVj>kt1LLjg&rq)bxoNjZ zx0{1;m6LIDvjdH%o|E5*w%g2K`6Iw?Mxb%(ImWGZ!cxvxugFS zne#)*>`bft*>3F4eccR6<(HKAIH~P;_ls8N;A38B4GuoWiB{U+qusQg25*VE=>AK7 z&x_NKT7^3Q&4OI{+132Ixf2(j`Ev6n8mFE&^U*kTZ@xt1p*#7_{JPnWD}Uw}j>M|J z186>_3QG&E{1NvzH==Rc`Q}A5PW^9YqjBng^C2$W2l*61Y{&sULE!eh*^JH)znF|w z|IE9a#klhOM6=4D{pVk{i2lI z>}^xI9P?H2ijmKn(5wCxuXy9hKlx>RR=ncmcfeVdGy3-ny`bZT_x}AtFI2qZl*9O} zc*Prce^tEVjZ@E?S-tpWe16|-WaG&{6-4>=%4sm~ZE1n^NkhaBMH48{8y<3w+oxt!Z-WYI-0 zRKVi24|ZAwEZ#WnHtjt{DyIA~PKe>B`iya+NWbKt{Q7>W@=tz!?oIgQ*Z08`$7u44 ze)(p5`OKr7%1I#OQv2wg3S=C9nb#G_I5_jV0vT_d_L=q`=S)@4$#3TMwD%OO(Cvf0 zSM|@lp7tIO#XJ?+`aU0d4o3K#7w9iVbRPN9JKuF*+j8t1y`$!v`Kjl$_Y?%s^~dd3 z^^bg*_MU?Ak$?6t`b#J92VLw&MU5PIGsiiE7$+FiLCiWpl!ve8sk~M2l5Yob&$aLw9_2#RB~zBY1()s|LEVG0!ysNQ-5to zkbm&2plRTve+m38x?}!2((0uIO)60q`5ep!EYbW_@sQ+09xtbVEbM?OqD zO`6p8pLQBA4J+2`1AV7-qAM~fA|(@s<5Gw9C#jeNjPn|fq5!vEAG zK6tm*BfP1!oIigk5{~CRI|wHYhXK(+ID<1#roqQ?K9w^BfkLjCv1#xrlo0qFC>YA$ z)8KRHTs2%BucbaLP<01rG;|D+Y48!KLd`t{fkKnHKDQm>)sYcc)8JF+u$8}FT0(H^ zPT0chY31N_qyoa<2jUw8?!P(V-#OknC%h^|`C|eQ3+egaobYejKTj?nMWsN7L@VdI@{BvQZ z3u@p)pz9hMxCLC*ABCY9`}grfuu8@9921@wafDBPQ5gTt3I9f6{5L238-?-TT$sJ% z$eRl@f@JFClgI6;KUW2X4}Q1Ldd|~d6oy_Mz4NOZNN%4wPYJ@Q@&~`VQAGaHzrQFR z`SV8kag{SqtNv_B{Ws^SUY>FJbDqXe{@O7h8%?Gy5v!;B$$Uv+)zY{{^OoE*NgQcB!0E|S%5T7;icLKzj`OOC^@mW)Ue*y8D`#S;Rb%-koXvJq8;@Sxz{=qqVF_A30KUe`h z%QLf!3UQD2<^$8DgIVSabYn-e+zD>>4rjR&%z4MN+zBS$0WFh&e(VU6JHebM)MYb> zhD@x>=7TlWtM<-zQ6WC(`439+RY&^s1+r2{8i%a>K~28OPqV*(^V-YY2@wB~$ApxT z)IAmqU+}n|5@w%kmt#$}S2TNV?PVqbk@2cSTswi*{ZIz-Jex*2TJ{$ZFHg%( zfI$v%ReuocM%rU)BE^Y-#?f^t3X$=u-L;(%;zzshgb<$+j!32=KKuNQQhSxhB}K7B zdf{@JnrO-O|E-A>si8EHoN#k(vq`{4T@Kg@uuRry0s0LqR?Hhy2iZ|7+1C4+X-;~VGZhG5NJ$^p)0^7d~hE1!J4o6 zi2C@j2KB&?i~6tzbwq)LDJyk9m4q?cwPV77tA(E#R05n&YfuRxKKfhtJ;!1&?KE5zOlPb0#{8(Ro!2tRv(;WiFR1k{ROqcu53OK zB^^&RUm!|4;_6O-__Qmv<>+CRc(OGX{zH@`NNXkNYVMLTI*mU#*9}|}W}oL6REHU) zR=U5*7jxby5T6sKU8z9NRCn5yN}#RkazJP>1v{4EPJnoA;_ZYGpAthCBB_-*ul~@z zM-o0bXX11q*U&)EcO=)H0P)(&+X)b#)}XEpLws6;3I&jaIofmlNtXmx4>lhx;eMty zsD0Da>Z8w5gNh$92Lo!bL%N2KOq@EywG-%%X$>lL0mN%pZ+}6PU=21O?29F?YmePb zoZ8q+QJFZ?8r05kh<|XNi8HN1eL=I=<{mV18mgna?gTi;8Z16?9_nXWgWA}J^Jxt# z0pimd)YCQ0+S=j^agKNETEXZ+o$L0X{!md5&cb%wNs3zPV@U>7)YL?46#j2b^tDdK z9!>Nu8u$I4O~NNRJC@{5@Bv+|xCa}Ge@&%k2kOW!33KS`$Sw&}Q0uTV2~%9HKs;i+ zBVwjj_<<7=vwBuX3HjpeSmq0E?sdS_Bybg`pT^w@A^yQRx6V7n>i)t_(jiuNf}5m6 ztR{gg3kluVd~lw)WB26??incJ?d%UO=ycT2{RK_(p`yMRh#mKHe?fJp>qB7!+=CtY zGhcAeAWs@MAKWwOM~(LvwAFNdhz7(zRMZzfdmTcYoO9~D<9~(*6H3PoKfZwYhl=uB zxf*b|K8g<_ohf0C_5`ZvAfOQE?F4->N4sQ+hv;;DtarMd@S&n~zJ-Lzd16I$B+wAg z#NmK(^O1=&9TqyOL9>6TD7^v?@ej_qr}q9(Q99ni7ayE+o1p`Orn;F?A1X>GAzTzH zs`(&}L&pS7QJGQGVW9^ona&?7O3$M}W6pCOOCaHcb3}Uw1`YAds1FtO%|28@gEk+T zQPW|e_amw9hl={njQUVf+8lRvKU9=NkL?l%gq_zv=o|Z#|MQ=Z$VMkTA8xGqa!$ zAcsa~!E}AB$L}c0ZCE|4Q&A+$(W7H3BuxFNV+>qGChQPcoUHRhvQwMELt=MGmsI5Bz;vcRL?Npfaxe+EAnlGlr;3XDq+s!_g zBcGx?+MAE4s1Jw5i>snK+AyS2LPB)(*6_isP59Qbb`uh!-3jifj^Mfz z%(rM#q56)489mh3apu0Md>rSA$b0bVT&0VVl z8IunLK*w@TQ3!wz=DHK8?uWxd?>VhL|D79QI?os4AEO-spoi&ZpQ8plqHBnw1{2bC zYNFLi|63FJoytoS$%dE@JZ}=P@!|TAqtg6+*p<04+3{gh6jCVxVta%ll{!K!UnGV^ zhlt$?wEBl#nHUn&vW37zPf#90mgVprBoBToBMuMU2EK}UVKJ`(xi_rtEt1y5}k&7zP>zjGr@S3+s_ z54%!9u{{_*?8-!b_{H_nd|*rH%+e$tsr2Fc(1SV9_~0B{;W2_@C*qboMW78+iNyS1l)%;s5fIMYDx?} z%diskzWgi-0jIDOE1~&_OYOrf;fZk-HJV)yZ-mAmt^{-bGtCku;6Iu>GOsMJex_NX z1RP6qM@9*roImWy96pqQyK9;y+HrNW|4i#c2`Osyhu+l+@ej^X20!zBj;4pdP~^0G zvn#<(((iZlLF@I~KeXn{cqF=uxuKUj)OQM}i1a7+AI6U0k zG{)Ubihfos7*9|1BwIN4VZVm-UbmpLW!A4D8CSUUHNzB^^j5y?%h0u+$-q=O(t6H+ zb6G7shuLnP9^;gAbMzReoSQy2PM6)(v2oA2ep#OSXQ1F{_KVHbMna#zcJV<|QiMqdVuj!1(#l=o=w<%c0EQ&20 zNy3kW5I+MxMRANmZSe8MA};^HF}3#>a9(@rN$@cWeeuD$rKu9k`BW>bs(-1KU8ox8 z(kr^{Hy_HWY^%0MXYYitaYocm2pb=5Xj!hJKHAU+GL-PqhCYy?gpW3~aivqOA8lwG zN(r7v9-pa|1-cSG+RzeR2_K`!B3%g|ockDs5q_|G+;UwB z=DhjvF$y`6J~;Q;KqVXr63#8!mGHs2FY+kigL9wyQo;x4HmH^G!MWXFN|>DMjDrU| zE79VE9S(glyH*!t{Y7ay_`nCRbIFqrU9IDb$p>z5eKGm?#%q@sBhD<)c0TjJY;%Yc zA6V6O4;CL>e-w?;9}gC_l4GN~N}x%~e{33x{=i(OLA5>Ut5SgxMcFs;Gp~wJM5{ zST{vB@zqiKVvNKu-c^(%*TkC-2U%3YB(A+Q7gat{XmE~NC*%i%S|l#rFV4rT)mo4q ztmUJNk}_C}K@lI-RRRpok!bbdFFZe{CR#)1e`+Fqt7=FS`9ZoBHsZeJv#XT44hf@m zD`RKQ0VObYY8gsVINH@vqr>$Dy;P?z_7}^Mp!OIYt}oIVp9!=%Hp%H%eE}cSCegiW z+Pn@?gt$!)efPn+*QZJtT18j45G3V?a#6avMO&3Myc1}vI)bwkA{I>i@S!~-ujREe zyqh;l!0A%Hl@x`^+RruZghfLJ$mAnoDt~8xXv3T@%31NdVvr>^hi>#$rHtG9Rj`SL zhYRDYGgi>Fdwv}%HGUf*%C8^~9tX9ypRqtXxs7qj+Q|Z-$%VJgDs)bQF8Pry5EANe(Jk*qM%{auy@+~WG zv7zQ!&XWK0sLdeqt_>=oxB4ot#*|991TlG+%2M7zZ5gaWtK}E9WvvRWhBvy(&7RN^ zb4&CJ9raxnfY4hz$X^b%8WpSDWu7XpTW7bgP*Xd0FlcGZzcQECFPdTfg7x(acGoXhT)!?F8CDCsXhi=mY}1Ij^?&`-k*rN) zznQbJO(U=3{GWww8vEUwg>4%9Wt)XvG}5)g(w*zq=3_rcv#`y_esE@CZmU(ltVGtY z-B$b27-3mltX~%&Sw1Z6;v)-$gkL*J&?BXK^v#?nD>zDah^^4zX{kr&w zZ)#z?&-XJa3%mG;k4ad(O6%9fM|N!%cJUE?xUh?lcz_mm@qrCI2CvQBkHLGHGn!3n z5dXLI(@PTjX5274*E&qIXw2`m2op+!oq6CHcUFHX!87hG{Xi|+>*30vrgj#6K~3$f z_<};sjdEnn+6#Hqb|iV1K_HaIy6U_9X+j5YOD_r?ysfkNkJ^Gvxu$lOgP_Zgro2OT zEial-ckHR}@}UVGvTKG_Cy-ZGX z@r_(QC)YsN_M7tFdGkJuPzLQ)-?jD?dgsjxU!jAyWxNZ$^X79-Lhro!bsM3FxBtBT zpy(CL0*VZ96FcFdeHAAhAC88+1fml7;`nfOf{i2H&pmCDFKj_7fjPTa_3ROso7*u8EcW>Ur^Sd@H+rjlacPS4gv#EhXWnLnw#EP`!6WIl99~`6K@e*UgsE zS3O^NZnnfeIBu9NM+;9q-8t!lC0*=eS3S?rb3I@7vA^mCtkly!cHyI)U+J-$Tqy^- zXHQ|5qZz7ps|iMaFA;=~{(9NRE*x!i_{xB&X_j(Aw+G|m*EbDR&g9oVcHPz<{YZdm z;getc*oDvj@+|}5XUb6@JBfsQ(oJo!3ja$R_)`a_UJU|;{?(Qa{k zDHPLQB{6<}aBpk3aN_WL_9k}z&^;mxesN*xOzJELe$U=S%3(F9b^Xd;^~dj{mLBE! zIFau3k8#2g0O5l#S)Xp7<9C7X+q>P$Kl+gXO2Y5$rqdSm<8dpy9Bm1LqxP@*>j7Tm z;qlTYf9M`3t%jjQv;+?gR4ce7_#nvZ-`R56U*KW*ceY%TK%54h4Lp76q8>a_A@}29 zItjQD^L&-LNJ6^jEasu{P^eS4>j`wE1dVIm#x#DMw;N}?oYi@794r5h`)lL&lKiVR zG3EQltav-)E;#jJ)e#O>eY^**);sfw{OsENJ1!v8mC?a?DX+lFFSCRC96wL;0a88)U{?>wb^=0QF4v~km|SDrQ=x;-WqUw9eo zji==Io}ubQ=cqh^OrtJk!q5qPaa-gsKf619=RAi z^*?$bIQ1_(2;7%aUiCOs+Kq8uZ$oXI{;H)$%7JbzHiCz)$I0o(c-`tXr<+LUdua9)sNU;k6M1f7xqZK;zT(_=2Xy?7Kxe{ zMA{TS#y^oTg%7?I6W-&w>>$&9vWJ*=nod1+Nr3!gK61!i^38hew7+%^kgH>y$nr8? z&OFm)k4bXx@by@FMvDO^UMXTH$m2l|l;x3xh@dh(k`Nhjc8oLymM)Vg$IP9Rh|CQ; z#xx1e_76C&Ud6FFjqSMaC%TBjk?Xe&eBg1_kB&^r+}|XD;I#V?xWj!51dO z1Fh{rL^gU>L$UMQ*3~Y;J%1KIU*)|w-7HS(2_DM%VK4iuj1=-SuIiblZzdMED*kMsJIVYP7F33zqprANPI;A>k6%f zfDg|KAN9!sp`PY}u115Kq8u2Wk_!1s6P-Q-r%mfgBc`xIwNj4jbJbsm_1u^Bq|VNt z_Bk>!@c@otqh`IegZ89Cch(a}i(aaj`Jr3tKjlLg?SJY|6qHvzg+u)bf+GCh z{<;zczcn{pzU&USPtA?sp?l^Sc*aB73c=yG91p=m*ZfxfagcnKZIS%YE!zSd2Z>JH z+}n*1F0u?JzdjZu{65~a(+9uhkVyHVJNeBx#CDmwWEK9GE}_V^L%MW{J=$`$4qb9G zY08&O2~N9}trnd6pOZT2ch0fsb+^$z9H`RzdE)ffIi{0xkfC2?8$ma6gCh;qkJvzp zkub`~7O5w7Qa-jqJ*fjua1sUYJ37F0wet4(EE@K&+s@@k`5LcDkIAd3_-fs2RSq1l{uEfmz9uwL*o4DJjo{d37{D-}uW1%BIn+S@bGjZtFrp)4N z>$W@_bG46f=wcIG?JwN2Pp`*}^3iSeY)tBjZqutWGe7*+PABzY6QQ1s+2ugD=7#e} zx8>QGQ~&6;JR5WBAKg~Z#!!BATRj`I@z5RoYPZefp_~!ahxKbc8t2TFnQ~%mg z4W9bXc0)%hbjWB2&a{7*ZA3XZYZSX<<*$CknXet;Sq}5DY$M8Hon6n*q+ifI-{lw~ zf97x5K*r^*b$^u&1n$eaiqJavk{6Er9{;EQ(J}SR4E5oeI$g3qI+*gYS?f8RT2O%xxu@L}m$=BJ7o>^OJ~&DA3Qqa#NqJNkPfiEttVnO&KxNF!sTq8QT9b} zI4Ju9JhGsCDZ$BKK8@foTs{rsFP~?Z2kFd#g-e$pgUX%?PW{U+3!d^%p9N>m)CLQ9 z#$5R>g41rL{)5x^rTv44?yNt)4qYO+<}ms3btneS#7$Q(H%;7h6`E$^rYpbk#Lcfx za@=tZtTnFc0&7Gl<0SW2JqKJ*ol7JC(QUy)_sII-p*#51kq(zrHV65!MV>V$gG0AA zUV=lnHeP~57u#j(k|nVI(j|PlT+mCGysS&KAiDdwPA0WmZQul_ziJ~kcsvoiU^`h3yPrH?0g!1tfYky(nXZ@7R zuBC$U9o1#m)SnAQdIx=!gN>*skM1~;6koa2dg{+pvBJmr*UpW{Rryz3{|D1-sLYPplrYVG745mW#7j{bko9gUX)rl#fp= zuh<+>5T95*J(KcTH|Sc!tv-9*pwQ0)XWdZm&ZHdH4fX8I#;rS_=>Dod>jphKHp^!Y zlrA)1SvS;^GnAiogW_%7%gMSyfh#6%d#c`>+2w@p;5X}ryf$;{uTyTGt7ThIPu2~( z!ZGNwZm6ebLYLce&$2lvhxMysz>RV^T%kSAiPO$C$5IabVv9^&vKr?vU6N1LBukg9 z=j47@Y?Qk$IhmwO>dpi>>jvGl8uet|P*2sQ9auLgblJ>L{c9g6<Te#9qMkJO|-_{4N~X4D6tSUpjb{H#lKmv`hxM(d{Qz?r|g-F3&~E7Rq!iCZ`4 zb(&NE2fi|0?Hc*f&Dz@@IJ#38y9SQ0On1Nrj;~C&um+B=EEoNcoQbcjF7DGl5B7WN zV*SSH-}2?UzrHdr%9jfs`B}c@;Ph|#mV;xvlyBMiFMgnBtrvg1yx~CsJ^XMQZYOw# z<#7`C011yYQMn47@bzM)q>}?tkso=ljpT_l9?QmnE_xtu*IeR5<+~rG=I-V2{POEn z{tK6rJt$p8z2#TrTUrQP=&HYP+kV;{8TpZy^+1fpnXcYZpW1_3bcNH)4SH~=Ul9yqwc3XxD_`P4&={xXyzX%UsJ?579 z&ipPv%i>Eobn65PIQ|zIk)!^cbCB`6@TpH$W&YA9DN#|7`!rK-E!`LM{bmF zCphD??7QIfZ`pUjY3EwY1P|T8ui~M5{A1sp`tz3AOHmf@{OSS~`NzC?W3SaSF|@PZ zDR%x^6S+RTKC9*&{lzP=!te6um)BT@&-&x{e_^kk`s+dzbVvPlZOC}7VO<{rC#n1~ zPbJBmzt)%z2d1$97H}2JUh`3&6fIUssMY^YaFu>a#3g~g|DjIiVJBEfSD^XO$PVqh ztQEe{)wosNpE_pbgoMj{{gfS+1Qbfb-$$;94_QJKRXWsPD8WPEr*x*sDtzWzR*#6s`bXNaOzXGA?0w6ClAnE^_R(Q8K%Iaqa0+FOsR=m z2LIe-*s3QwN+#CKZ+>O_4m@(IY(wgq0bQnk@X$5CRe#nnnozU+(7jKLUp*`3iCQ+M z%O|d_T6OTNC!)yTGk)1VkpyK6ryS^(jSr50`UfxBslT3XqWl(4t9;gwKed;aa_Hak zkp&Ok$uH-h6<+n;K9abmTQ(-;V1vuEF!MvV&NwGObjvmbM>qbIZ5TXsC%^cWf66vY z{?Hx$8{7P+Y{TS7K9p?;&h4R}vJHbX-|IXRc*bF^b%Q7WsK3;w+p}yv=jT;c&B{^# zH#UW?XW!fT&4tYh8OnU;C3=Y zDpGssvak0>pH=;t{_m3Sl!KgomwXS-IIMH5!6OuUsITdVy;X0tq(0bH^+e0Y-EI!QuBNPZz)VE_7dZl#lP? z&Drv*KfVhsL1+HZz0YfvAG(uYd>8Lp`%_PrLGN1o2dABD?H?Svwe}AVUDp0pf9%3{ zt^LW5UHGoOo#3H6`Nb}L*V;e%LwE9vUHC5FDf!XI@7mi54qevTQ=zQE@V7#-22qnp zpRgaQ_MGZu=lQ3H73MT$t4u+-?%kW%lO6KP)zn$YC6+qoRdB>`Z4m`WeAe0<95bfF zVFjlgmPMx<6Tq?qWs#p{LcP7hH041kML8J!DrmRsf0jl2M3Tg+F4|n$h0{fHB`)|3 ze#4T@;!3*_*VqrdY+b<~3ajoLmPl10m*u))Nq)iCL|^?!zzm^P5u+4wapSx?Q0fw{ zsT@>Is1mAjD#3U1gkHlmd0hu4A2nWiS0}46Zq#IeQHM+OuM!l8hK4KVjA~Y>glkke zuXU8rTYVFhObf(40+UyIMS1VkbOp%hwRj@$vWS%T#<8NGyOYiVfaEn7XIcg|wKFM$ zn%Z%Hfi8c*Lz47f-(@EV9b7Drzfg0$+c)9TDso=Rh1w-}K-V6CN*KJY9RQ)X-rPad zj!PjWn789l2x@9)OB8f@86LXfw0Jx9C5T$j8TE03sGZHwlmNBSZv^W(&IR%kMD4iW zf||GEa0sfF)Wo}wv#+(v5b8N}>bou(3%$)Dg$NLDYwF(nZJoOjI{Iy$b{9HE>U#P@ z=-_Q#T^2g}ZJoyxI{IyCJE88kDdbmm{}A%9zg+4|qO52gG8)GH!jngr`9>2hLsjTa z%qW-==)H*?e@S`oO{90;T*AGH6php9y@|e;x>X6Mi5!>czb|jC$q8{rRuWjJx4_#8 z>H-$s<`h>`F?1Cy|&_5Nb%Xn96X7bU^|Y9*Xr+6hZdDB(=7oj_5znLOfl zf<}S9IDV#GT^n3VI8B`|>={A+ED)}QMMFh(voGDt3ikJSfb@ZJTYkUily`6} zzqSs@kLx?;!8Mts@mFhTpu1ty6{~!9yW2oWIjggkzuUt3Gs=4q2BllIf-T<11f zl0Wqy?WSOIu78;=19?N-K1aOjr#0?sM8JY;drN3F0KC+9?a+JVy> zno*Ylk#a(J%!|Z$m!&lF`&5Vg_bo`5Qn#l=c#E=y`_zT-maoQFI|y(6VSLp`_+5^v z9OOe8HPqjdQu)Wc(DpIqOnx20P5B4EL;(;!(HMD^~_*Hb^Ri7P*_=rmHY6(AcFXfnSf)tKFCGr#C4BI!P*A2@iyG=_Z>!|e;FpuT!e{*>AIdhO9J?hY z56#!9|Cil&!vFtty*aY2IgbS9YZYy_)lqji(+b*CyXmwobzz~ zq5h^nWQw|)7Ej#4ifv{aYH1wbGfGu*$spd>+Q><{aJ@{#4V#Ps`Pf&DlAwoPe8zr7 z^tNR=xez_>{jvcg5y>SCZ%SOpsI9+W8lqjXL89jg?rW6tB!AFrZIAtm>9w{~&BpYa zL%2&b#)iJldfsw*+YoXyr^R1B52IXshWgVBu zjjO#QRxqyijx|8sKF>uwhY6L>`%-KX{q6Ig|k~?Ax*UoeGQCAmCZs#?{{8`;Du;!`B+8EkJm-_18;AT$;Z6Q!g2T zv%S$@FBySf?NtR7;CudHUnRLCM@oJ4k`eS*d-akL_|;x~hk$E-#3JUS_KuTPH#K(S1dU`#M z{g83l!*S9=T(8YxXRdW*pE1fBOP?Rl^Kr&QJ~#xBKItEpz{37Re;A1=*T&K|0}bpI zfBqm7H^P_m2hEicztj>qzQoluIR?bNpFmd)3CvkpK-RG6wV%Lg@lDS)gHz5MXP@G5 z@WQqK7*$2NRA0`Ajw+#A!pGJtZfgD8){pp$OCDchtiB#qLd{3}16K*<+A}@p9KwdB z=h+`%3fws77N)?BTkag=@`c*-Vk=wpLx1JOu$A3>yg$HJ_QoxD_djg3R)_9Z-TuMNfaB_YCm8*ARU#%shz z#;yM~Pw)d5jC*{(^nYPx_h&xQLIWclC#x>VR?nSt5%E+-0KfY(= zMheHiL|ruECgGmD<7CRXz z1O=wooER~IamgLNpZM`T!>1aT+%eaUTW;32am!5%Vcc@Lwxj>?JtLfyunI4Y$Ym+u*sQjN>^j7q7-wSHYGHTv84 zpxF4<)4pd^N);dbp5L+0V87Y-j7q7dm+u*sQjN>^j7q7-bvp}RPrUSz??F|N-Tyv! z8C9Ik$1&*GZ%I#`yNoKxqW8JW&&WBG-sdi(`tqT-9ZRfFdY`-ej9j`)X(^%PlBgU2 z|G_J4WOmLU=wg1yeYEoj&504e)DkR z=OyAJn>DJ6ir(igqe>`oJovKySsOGFs_=*!9I;KtEjMf1xYp9hX&BcU9ytx+I(Nah zGJ6ggm+cr~fpN(lISu2Md+V<}@9&5SL@(cl*Z4iBjazQ!x^c_B+p9VKJI;GdulA0d z266kg-;vWWF1c%t!l!y)^*dq?)2qEB<}hx#v1P(_?lLNkYTUiAas|EHmsDf_@+D@8 zE-7D9Wg9S|pO-HQ5q!y5!^WlmI5#pb{l~eHaQny+8yQ!>jdLU88m|%a8MoYPp7_p! zEB)CNRR7zzjo3(hbZ5a8QHM*lZyOmC(@XA%jf|_kBQ`Rw^*CZ9;W~Hu9kG#c`<`*$ zBiz1c+$$sQbC=(-KM<}f)4wAI60UQXQ7KjP2j9G^7-dvSHLi1)-;tvhALY7#$N7_S z^@H|X>3`?eM%85V_xc^VT=L;t4a~Q(PZI9=KXS;%nP>VPIb`CvSjyOIn z=qS~R`Cr=-9F4Lk>i?Jaq99nT!j~aUV1$v2o zX%x}xKXBeX#{3nlk5YS&5#0L^%BVbadnfIvFI%&LBRumjSmhJyCSLI$w0IsPc_mw+ z8}RsKaT{Ob?%Nn;;o3ZoGNou;*&HQ^M_JH=tDDAsdDE*i#>Eojk~=Pz2-gA9V86))h=B|c#Ncq`B?7VUOC0bxF;`qUY>qEMp$B8?Hy5xakX~@CB`Lp z1Ruh+s2;=F8&`YBVm5BMcYEa&AAi16r>FeOshA~HE2mQL|KU`wl3MO(B`sY7H9kns ztY4!9s^}e5J;uQmg{ho2sw^aFY+U^|k~7BDZ{y%fxQxpv5ooyz!d#NiO9{===Y=5m z>Nk9Af-7tsT$#V+Uh_otWxyxFis-d*93@$;kB){PBRCU1AKt$nBRCVzclW>(oEev% zBRCVz?`VVmTGxoa*sgIINAzA7BRDfIr!s;w;X0=nrCrr7)Z-TaX)n>ws9Q~Z1ZU)f zy3E3}Q4p?!F_(7Dyn3(<4ytP;2o}8#u148u>%(W$5T78-^s>?;*)Ckpdz76vpJU%R zKQn*ySOQf>ZvEZQW8*0Pwo4;PZe03|pv1VwYXl|6H7+A4F|PSJf|A1VSVZ<=1SKr^ zgxhQU!*fhH|3NDNg(Ta8o&Bdu65M;Jb%zJIX=sM%g}ip zzJvX*KQO_E4?Wi(I1Uo-=p5hAynf*$ZhV^a{)6(wqaLQULM@h>lcUU={(yKx4IDcF z3P-%b(ZI*ww+#G2eKqoq`UUDENe0zTJHFoT_K|_|FSJrzq}7JGqMf&v%Ba$6b>9k% zN10at0Z*AId_-)r@ya^j8}p+XW&0I2jk>O2WS>wSr7+~$v2eTfmviw?vF^*c)GKZj zzqn6QLR9?c6r@~r-Uwz)uem&eHREdUI1w}M(TTAlZs#@Xo~pfeQlp;V!Np%YA`d2- z^;YEl9JjBFKkD`|13&8Fk`HiA?qM`cZ~gbUfL_yXWSdN{2|SF9aAmnhJyFZmZ5Y>Q z9r|mBFzT}&dduDYh8I7QJ5J&x*UNkad&bq?5$qXPdq=QmTyn<=nsLkJ@{9f-Iipc- zSNeZ+a^z~eUP>63k8V5RBVcR(SK6QJWdwVai=$lPBiIuzXNM0&U3`?}8ue(ck1f%- zU1UCzJAysqmOIT&>2DJ;PS8ZJU<1YQFMar;sXj-0o)##1Zl=)A4V`H-1BhU_%kkg1!J5)ESyxvwHl1q zu-!rY1FlD@1;fqy585w0$H9pIpnx9FOK(e1rL@ zpNDTSZn;-`zm;@B;$ruYPkdgfVOL zZ4+e_77gf7uFSUzlVq8Rwlbk;_Lf$rzR*8CMuC5L46{RbRRik*^yeQ;^>y|h8Zd9W zVHC>?=vEqE24GWm7a`6gI=UGReAWa3hlQdzjRvr1cS-xONFr!A%GzA$IL-l$6KMGX zZP1Q+L{we0Gy^SLk+n)`I4v*DvQmXsya9B~xZ+zOCD1jbKq)P2)Ep#@JsEw=Ac4c` z$q&BWNp$xPzR*eZYMXjJ(VV2!F$lg$xTXsDQd+VcqOEKaXs@Y8aKYt8I0-1!-5?YC{Y3YFh=*K-sqRZ*69A>FpY~I^zL4%wWyF z3L1d!w&CQ9=pG@Qo)F#o;`3ibx4!t&7SXLQzIR1*>x%=PLX)s#?;!s~+PyCL!C>f{ zS)$T8n3jye$1wX34u;1_pFg1Q;6`$o4I5U;H{U3Kjqxty*4qdjF0r?T>sI1x%mm`B zDOUp0GsYEvj`1j%flu$tBU)BJRO(6h8ZcVLK*J;ae_^GaJnDySD{3)PEWI zQUSYpuq-RjS`aA5aXtO8_17`(YfOIsT7g%Nj}JY@9g2>DH@yPBWNJ?AW(Rq2%Z(Y8TqT)a**}`zfmilx2e;fza`91S`jxA7hn{jrq4$Hcz2h4R#g@(x&CfLPefeXXM0_le|TkWB*y0)4j;?Sf|mZ;NW4>r&Ggzxyhng#ddrQ8HLmt1 zP&<4iSNrkkkHuH>;hp`g=<)hbcuWELJ5l}4M)c5I?p_$Uc4E0#dwoR`b-7P~Yd+dY zyt5HKxZ11a=+IvY=XW-uhhB1XvT<-OY!pVmwx?zECoc#a+H*N!WjSJp3 z$&g*`MI~qA!|ka?4Z|)>pb=C056bk6($lhJUktwL7g6>6g5F`2MDJg~E#Vg<;yb_4 zXpW$df4~8Yrgd_jHluivOIC`-IS&4XG8FHzWzip4IJAVP2hSg@Ri@1OgSAQ@`VTsZ z7}c7OaaZbjlxseJPRpe>oPbQN7^`z!aIBCl5hcNdv^)dZVK4^-7c%502C6iQ!ecJu{`r2`I2Gt@yO-`H*O<;DQtW;)i>Xl)E!o~Bn4KEO)!(u^B} zQJTgaC@q-=p>@KA7kX?(^sSr{&@~o#&QEDc7@7lHoJ@*QNn3LVA-AMmZL7!{($NN^h9^tv=u_d+BNd{U}e$9-$)vh45QS8QA$ga!6>CA$zYUi%Mp=L zN#nTPHom|=Y`lJ077^>S&WEsPxpodAK})V4VyeQ$CVFN+wYuU8#G!JFxLSYp5I3QC zalQMEo6u2Qha7O~LJoUGakfH~t?p6cmvSI&>x%?CY4>bJbVPJnnGZHSM0>9BX2Q=8 zvp!$h_!wr&#4k70FHHt2@sFtR^CFncgp7C6&?#5$XHqqO(g849I5oTH?luvT~x^k)>8F>QI5 zQCh|*dpb_tXh|DoWrVUBE-F)V+Gq_psts%%YAXx0XS!)6t!KJXNo(6Bv^KGLDSfrA z&ccB9sxU33#rGPev^c<$Bw5x3u@uSEDL{2&fYO@Ali zFv^xB;t)zN@STW5XdRP7+8%verYFkL&nR)#qE^OQ1$bCQ+H3S_en&wZNz)8W#6k2? zDGa>xZ%-tY-o>SGdQRZRBx(2PKdQ6AfurC0!a5S&ZNr^ipkH|=B5Afrd;Ta<`<1e& zqOG%eaM){uS2Tg{et59L|5vhj=1{HpQcf=VR*DMs3od;bKO$|gdR=K@)VjJ*8TdJ<3LECwk5U^4E;VqLca%GD>46ia8!km~ zq;$cw``D7+a5)qlS=?}q39|hgelqa=Q5HCQql$G=XI!P=lL0ZVA#Ns$e#WC{QS+t> zm~>|!n3`n74Kri^6aRQ%X|lWOSLbwoV49-r@ zgaWL`;8HmbOKz2lmVpQMJi8&4>;i|e2#Ny(4_9_c^E5f)vdvf0t`1`00Si>pG{1^3 z0}n(rTL+pY`1cFtR7`qS(r9W%Ef=9QDz4-beJf*LQT^u2z++T#F$YQGbc?=P#!1PN zR)NP)60$}qEzZ^`r*WLEQA*2wQKRh1I9s7S_xp*nH7aRrTkFeLASl=}))IQ1Z$N;K zr9@QH_PFu=2WW@QDOx%@d}40(q(X+DI9q8(OFpWr7-fr-m=ns7VN_NT%8+5yRWVvi zd{k92T7F~HR52=PSKG9dbK^&}nmgIv6IICIS}NVYc#l@nk^mMhLxxdDMX2mrw)aNG zVb4j04BykFqu2462h#9JZuPoChEd&0e3{@vGO}o+FHgyF>QM*6k40DQgO4oiw%FAZ|F+m-Re1$92Y0o1Ha!GA zBLvm->uir9L?C^iq@XA3SND}JL3Qrbi5NrZuFk?3!l?Fb5kqLOHuUcM=Xc$_=*_&S z>e@wb^(#3Db^-41s;;EDV8t$76=TzE9u`fqf?` z2=QQLE*OOVb=AM`8knlsv+vJ1B0L4OwCC&Pj&$_HN{M!uV+icq{1^iJwmpWxzVl#) zpnV_x3#uGwzt1vM>{s72+14h=RKD_2Pcn2vfLUu3NG?8wAe>Dh6Ku(AkA0T?S8edJ)QWawbA$DmGY zQv=s@l(9_TPnm`9K57tMouc5ZezmO}Woq7sLF!@9l;7{Nuax z%92r0L6XYGsvX-A@$@n2WYbZ`8V_E=OkzWH3@PM{TEDUtD8;(kQP(e%((rFf#`Z_Q zWh=h7L*i~UX?p#(Y(;YP#wcTtN7;(hl@(ptiqw=fR(;hQbz_vV+d*5g9n){Q`|s_U zerYSVYx=G5@q7EG-}3n1a!%cUv=!Ss{nA$ShFtn_op1m2%XPjT)Nh^Iq(ZEJTYa@% z6iUDpwru<_*SVZj>sRNdj*Z(8mG4iDL&>Ohj;zL-2j%@;&Z&P}>l`<67hSFM6mb&2 zTIZM`o33Oi6?xmxBK!|Fl!x80p}ZuCoX{>}U}>f4wq|_Bo{A}?dsHa#+xNkZQPZ`0 z9%U_lw>5jz8SC%1W_%K#DpKNC=d1YMX0`l`0^YP2wtC}HNvl+p@^4E0CK!SCne~Dzf<9 z){OTgQb|fO%35HVE`DV#TtTY!D{FzJyXh!nj|VqgJI3an3s;P4{mNRT%9C^{Yk_aG zE*WJlu+DmY0lt;LSF zAG8*-X5D|Z7TcOVD!u%>L+(cp5IR@me&bXQh&+bz|@lZ40 z==zDbU9I%!A9nTFQYcHyT8S>~#^)Wl=B@_yn(|MXIvT@$U{Ytq4_v7qNVporYc{_b z_d{KY!!h&+#$*TFt5LAhG@bx8p$^PG%p5o{Mi)%3u6-~=JZ1u^k^7_G*{TqJ;9CpD zBqZ8Anb9uR9_bdCe@G<-F$i5C1o@ZUrB3IDb3XV$#xlK zH}_exIWR$=@|g#%R2v>dZyk6_^Q**k^womt#I!l6<2x~ZP!3*lY0``jM@BZyDBG5N znozBjPE4CG6Z9kCGOeVw@iEG_CFn9rY1#e}Rf2v5UPjrIeF`icbTeRtO{S%^zF&H1 zC2fzJZU(r#X7?{EJ0r8*!KC=|{oe?nj7r)bH{A?y5Y@9!3HlL6iG$W?C#J10AGeKA zO0-PSJD7^TywNklDbdPgCmAVJOFto%QTPI6?R%?hlm;tdl~J}hft6AAZ$c}fnmgJm z-ln~l+n=T#OOiQ!*uuIR%v&9oWj`c1Q`oCkY5ozWQ4ie=7=fBlrukD0R6Vbo0V7xw zEpG<6$a0UU()=lnYz{p6c46cmC1iqW0e2tkWk(UesLLI?6kznmrJRD3YBV($)ib{7z@<0huuBu(pL@nP znYu2pgLjXkU{pRSxKCrHH|{w|llEDpMhDh{!-d=u*?I$J55~J6YBoOkRl{7%k0db< z%pUCP4o8!U-SOptt#`fpB-{p!OHN1UDWwP=bd+p*+>uc>G49AHn-X_ql!G33WRyB2 ziz$>&HRThn15dqEJn^8CHWoqY%Tw`7gN)~qNUcgJM{6c6>RNzcAlb$2bInp{+b=f zBTmhzbRH8P(&U;`4AFe)3Q`L3pp+J;Ccd;HDT8QQx@MU3HKI6(tkspQfjH1Lm*ArRHsc}gCtZE~t9cz96p-7{O) z?eL6Z=_{xD$bp@tscms{M%gyqjOkUNv-1>>wGKQxPw7~r+{mQh;z2n}`VP!NC2h|V zZOYJ=-3r;tzU^WTT%ULZQMA#QC+aDccW7&bQV#E+Wtvml?x5AbsnB-N@_4CZh&6=c zGaQ+fX~s80j>3ky?Luvc8zyVT^riBlChufX4RflbB-x>^+N|qgzLzqOWY1yMOyh7>1o=K(}rL-7cqcuIBF}y}8Er!=9r6s8howHHY3ajKo${buw#n&=gO+WwP)6C};1HioHkseX_TbB)W6f3z`7g-g@YhvD+b<|~tw zSX(+URfT&KMcea1J`B&kHV3AZaGrdjwET&4#a9L;ajsF?{hU_myTQD5fYYrOci`&b zlu(d~z(+v~#uu88%A&?sXb5){b>MOyDI{kmNTLz$zCYB}n3Rz_)KygwaGN|+Gvod0 zi=s_kHo-OI+O&P~%oxqN^=6%=6rvE5?|*n{JcarM<6&m?VCrohm`qaju;E%gsoK>r z`y{~=n*-ZR-pLyum>sK~2``lXI;Htc%Untd^BuGdHokgzrnWh-vk9Xi?+-a9CJGBJ)hP+DRfqH?q-dcHK%3on!^zfksMVjOdz zw8S_@C2hA&jy8q%q^}%p%IqCf(l~D2zq)9RvfEoTUJrgHIFSx?w5SQaY3Uwb2~JGQ z#hc(nC><@T-fj+bw66pwqNSrn&92G`5If-9IEj$HOpG0(y=!lGc{YDBG4xibCmu-m%g~IjFMI(O2_1R@$_b7AtL3(%MQ3r4>ni z9JhiVD8+FO%C_Z1${Zx^Y8zw8x2$yQD-V=HHsUJ}^p2G#UnM=?vC>8{?^tPZpxu1ON*m=`%ob88J8q{YJ6lL`P)}wHDO7Ds`p+oMRII;Iwk>C2LS;3QsWQqD z((QrUpx5I5)1cFYV0A)PAG7HhRHBrMWa;N87ISB=LNm|c}KM>An%A{4p2sCjOM0+tu7VMwH6 zCfw-aBNd@WPsq)pyyAt{0*4#lDBbKQ6P1%%7j{01b=23zSM5%U*|asOQPb<XkuDQOK2jLZuXPV#3;utp@~sSOK4(L()PH?&7ywT>K(b+PtFy^fzAQ7 zyDnNT(QF_^OE-)9Rz-W8_UeW|OuK&wHDOy+?-|_-Oxwjz0vyrGY9_!D%IzZd zMVo`hBLR+R)wW!HG|IO1Gk>{GHtYp2TFiGWJkF}4FN}m-O0RI$&d2vEi#Yks17mdnZq5fr z2jSvn8_@$}^a1x=J2098xCex=(thB*VO+4JNIADso78}*cY4&YJY>4ma2<5w9%--C zS|MmU8=0Oq%EqLpiSij1v>Q#N6=I(z1~9EUuR}?r>btffLhA$ve0#Kwszb-9l(eeE zijKQEs5^Jto;B-1yZN%-Kcp>MI1{y^W2({tZUbQtIP{z~s(v3cplJF0^YB%{;=ozVZ9!~lxNAj-#dvO65KExtET#b zPfYTa1Gk!wO#X>)GY5`G4uFkH=c|YK)a0M|Hqn;Vgbr<+jIxL1+cHXZ_X5s)t)mYg zr~w_8qFTX6C;7^OTT!+Yv}1jWJ6qB(H&MM~LX4&%lND)Lrmd}$|8 zN*}mJB!yWI%uY&;+lI?Br4X!Pe(4AgMY^NK8|P_7ot`#ar%Y&NFJQqay#x54Y{&@f ztJ$b?#I9<+_04R+n+afcY+ind3BQ*O-djPWEY;sV6--@^XrbWlZ)7qB@BUU*VoYgdFss)b+B@tO6~iC3|98N=sB{lx<7gB($EPdb>T{G~b$E7Ir9kYX;)br95ZB|d>Dmpog#apzDExr=VN(aUkDcWofj4f~izojv@ zaM9-W(|T%}qRr+|M+yj&uC_6@_)0`49cupvTDC3y%h=*85uIt-wnSb+`Ro+;CAPkd z3S6`~`Z6l`O5|k@9Jk~Hj8aEN!0vU8ca*~KMO_jUO3S1 zf1bA_n_*y-3nY; zt$s_Yozk9~-?_z7D3W4ad2z->;S8uldsm~JTXOTaJ+JBVhdtL1E1iIu#0prrC$%## zAwjEG&tun8y_u4~Y&FmtQhbW>tY34`-0GPxlrujif6akCl#;&(rL<%x%z@If#WqT5 z@iaoYqEhnLeCrYt-c4R@t8Y~SZBJtkl6JMNzHS2At8HAVDfw$@v@9w4dr(S?r;)U} zuzlc z_%#+KMdZZp$rkItWjj(*9qXIB7)`)zvJPDKa@1`(Fb6NEn+K*QI^Ha;1><{9#U#Nl z;qN#1x1&anVNIfJy$x%$lUF`4o0H8q&6QljDA!|@!=02cQ8@~i=aJGK_>aOnre(Xc zk2K0=XWwO%(h{~C<>boNO{k1xyrWUl=2VwxnPT*&eB*7<%O?C`(EUSn)qnr*&;Ouo z4sHc+dmajmcCS>U9KP(}g=&~`Xk93>G+cezvk8^8$WgY?IwQigXgelU>o-2$C_6rn z?2WRE<1>wtZ*DjX<;HtdGBLN?LmwqmtGZOQ<}50ym>2Efp@U1GnZWM}5$e=4#Yu5n%68((oGdSVHk-9yYb8 z&7r~t&|Yn0ERagnhgQy+lGfhL_0!YKk#!Xpxxt!=R%}C{s%?__pxjHo*VNtBq(J8 ztS?0ftIZQi=2<6WP$^HRjG?RYZi?8m(wq1Q)BNqTIs{+vU09_y5giwX@z-xFv6+WX z#$cQC%*hyKob_gmGM3JGzEQtD^HFq_G4t)tk3GV)AcGM*Cu6l`VO;Loik{Itgx!GFChAxS;4(J8*5aFb-<#S2>br zdvNiq3<+l0(z&9lJXtbb$!PaztYox57gm{(XFE(|C8MJ;W0b*mw0@Nnd3GFznNZ;w zE7jm;(^=6CgDK#%q#Ney?emX@Ar92tM~62pR)+h)Pz2OH{SQpL*=Hcl8{L3czCG@y zrqx0)eY*C*=pe)jx8BU&FZQG1)#Vq1WZCpOthB_oz606ma$XOeMCR+LNj+@oK2V-G; z=VI&3y)2%YT`0#nhRu8>?P@jWD<1h2hcim}EFPnVm^X2#CorgQboDV4ba-R5b*M{m zpdHH^V~08;M8~QoDrviIcqdNU-8Q@vC(60j`r<`6(cLzbbSbp=CF)5>M7;w!D5dqb z>!6g@+pdFBTBjt9Di-eD%0bz--mM%|(yq2~>z5Cw99l`c+NM~zcPpk$l-32WjVc!I+~YyZdSS+|kw@@B^lBT=weiGp(=yM5dzl+$z8sf%7W(4I zr(BwJz#|n%!^XX6dp_XlBGEl3ab=Kb&q)@C!FfuVj#Tu)LZ+Xkfls}IhO66fymFKi zHS7_Ybo&J3UTuktxjS$%ah1{1`oLw1QzNTk>fL$%hD-018$B>*M#^M0Ojncmz#=(g zQYcH*@SNn`X|@B)hbzz4dVhcDBK?H{nL_j+;POH#h<2Fp=nr*PR}bQ&4C=wQE>sXM zQg9FDfs1#lT!1$`hCFBL+a8p?tt{Sc*=zFtVcE?NutYi*xPjylgp)YAW=S6Uyye3N4v0UARkrXt2tu^2$dlxsevh z8tE`PpRTt0KE-BDtL2$!uynu?YZ+S{QD#(us_JgaVu=HEV%vIwlLOx!KpKs~(H94q zTtSH@O-s!Zh!`c`>?Dk`&*FTAYMI3O8kMwmP(~$f^}3S@u8J0YWn|)f#eoJiWo+FK zOeUm|?LjFm&et5+wm4s-Y+IbKQ09AG(DsBT2!`3VzEWdpRpt!S;c6QX7JmCm&7qaF zVdQFzd9aYuwx;F5LWhHid5wO3mVY z$w3Fjsd{Q!jeMW-8r4|D`HF-3OwPCUeX?ySf-B>PTS{EsF;cXNfXl0-5LZ*zR7)kU zhRZ5Gk}^3=s^e0N%hY@U3hK5JF~gwN8PaXd%liCb&Hc;j2AFfc&&L``;Qb^JGAU5ZBc5( z$XA=a6j?J$8=G=!2c^CVCB%V26h7OuT7eA`hh?`7B{d3-XDbf4WXtK%2W6DzOSUeY zcJ#%CAoXy23Ua{Sn9|zv8m+mHYkyNS93WF#(iEblr@&XxFIr8mUcsiNw0ISxlqRnd zed#G&-0X}HUX8F7@halLv~!A^xgVHzPI0q?O4`*ndJ4Qd+pVCdz=x_XlxKDPB7JEuQryg%(NmBNDa0`Jo=TDDDFy6DSoJH9BoX|)34 zRm4~JFYHq3nlj>Bs?rZ;f%hicpQbwsfs8Rs?Bx+xXElaMe3*3=bxx?0d}&uhN_Vb70N7#`Yl zMj=;*e9(aVzZz6_FlC31E*!3uUOO-^**w$R=FKe0GdpO(_zX<(&NvFjBl?2-7NdCM z=$o4KwWkAflHq3O>T#3|FPr>Kl7YN&ftNj=DQTEdMoR0M_lIYXsjYWl+T|42YnZ(I z)evAhGY)2-x*fC@3`*-qYtpibeZD4I-M@M!B}%^9B0aN>Fv>ZS45LtPky0hfe7PA) zt*e8on`uC6X^;a>k~mbOm!U7p#jzE=Jc||vU+AL6En6*tiMZ%$_h&?_v*Z*yJSayf5sNvHZvqyhWg=1&(HxjR8z*|A)ilYO zo>56#{fkp$N!z`nv*dAlC=PV$e1^3C4_4n$kCC{(cZ)*SO_$N3?Y6 z&jd|IC9MMsqmp*DP4l;J*tj1we-ku`1D*Of(lbhF+KS&+y}ImAt4=!(g|I&B@%*Qf z74wy+^L0;noKushscTeSN^VkD7o}E@sqt=~ zOlY-hZn=C64b!WOeK%Z|^wl>(4oqM3>X$MN*9^r;@bL&Nil7Y=GP(Ek63TL&gPQ)JGq;Jq9dnTrMDliL)TGcDf)q{!Ss*|vBZ>C0#$Mdl7I z+op5d^shcnm87pY`14flX^c`@&TWmdC*x_1Qd&HXQTAj!jZh}@UpXi+Drp?IZO`=_ z;17H5A3`D6lzO|(S1HS$hXfCkuFVf%~wj*nU?W+O4SL4<*Id* z)G;}{_zvfl?YvOU6#0}MCFn#Bdk!PBPIR~8rB-A+PrkTyN!s3;vSbL}CviZs&oIo? z`YZd41J|bP)iq7f#pM#d)M{<)O6LQ!+1c40nASB3!vk{~C1WUA+P(2k)HNt~di{D-1=b)0tv1omD686qEnwsg+z`KiYm;;{*zO#)MElt$B zFRvb2N=tZTT8>aZZ#lGVTf!L8YCS4wx=nkdptpp>R_iRjDVBE=>TEu|&&lD=AV z{Yb{N%#x;-nNh98gkIvny~#T_V?!e_f-buzCxVBU8BxnvyrR9K2X~C$UT-348gmF|vbCtta z!}M6GBPb?#I75+LNU-6u{jMZq>>=l7*Nsnx~Y|i=$4G-#iTS*>DySt&F9@NEzzNk0#kPrj;~9yn^vXLi zj!;>wxO1ayMM4>)(s%V4PLQZsyq#!iRZz&_czC1Llb~%y!rP*!IOWi;0xzsGfgGtZF#rRg|w&x+i z*FCQu;)uFf)S1IBK#*cl)|tWcC;rNO*+cPHLb>rl(c9g}j5AUV(R}%Y%!Q@SD!^q? z_D~YX<|}FKX@qj!rf#D7^1e*UCLXlrTB;@^`G)Od&w=RpR)QbTav}{1~n#?3t}X1ug{G{j0B5f8uGxfnMno zPa{-gk=xipxj{-HKx@VXT?zqWPI7Ouw&QcFt z#x=Frnwq_w(^OHTh;>ot2%7LWV&a0cfj7*IC6fAQPz>|b5tpQHmZ(Q50EDt%n&pk*&5m^TM?(ol_j)kl54V_J5Ra=opuTz%^6Q5p{a z%O9tzpiyScKiLw>1Th%H<-*wtn8Nb9xa)VJ7*XwXKpFK-<-r7B&Lt)wXi**e_pgE8`FJYFl000D85p&c1=}w(&MU(9ZZ%2V6cN z?QR?M^`PD3#?xM)-8L09sqeQyT#gM7Of8blJuoahsGGInTDgF4-nBOZ%#N!`aj2o;y zw%*?ef{Qxm3ZmwP0>C{H4$Rq@lLf=IsOsZ)OF@+WqJo)Yrmo#PsBhk!>0g;;v~fSR z%|;_fcVn6Ivl}mo(-1fc8=rZ6&UOZVtQ^1l9uZ@0UUK;*(}hup);yha1LrU6bDY&6nmtbRTc{$AYQ!=l+toDU3_c53EHa_ zm2ChzR%%J(I!LicORFO?&|Yn;zzHa)YxJ%CCeW*G8qK_Tm1dQZLCSJiqSArOE5#nI zL+v*~yT|yUxsxzWd~2r&+N*7~UjWK+i@tIs3BAlg($-Q$Jju2t^b)O%TS70Rl$OxT zD0?!Ymr+Vf=0|Al6cL|r+@i1MdV(g?O4>CiYu5t~*SOW;7SOA06@mi2#!agw`7TPs zr@4zZ=`N$}^z9ydIYC%We(UVszbY6Pb3a$}uiHEwZYwE5wb!q(-r+lc(vwvliW|(v6JC^3a)urEYoPzOP z0>Zt{1+%~n_R1XC+oG4&e^_+>^7~y2oF=_i+VufwHApnrpZ5guPwU<%;GQ7|X7l5g z4Rd9t2;kve2^74+=^-|C<%a?99>E|LhCFHZ5zE@((2R# z=uKN3z7yDz1D{4hT3gjZk&C3XWJ`oHF$AlnXNn7(lTSm~Xo&;Je>MMx|N<;Wj zXfB-@pU9Z9DTp*BF8Bw(%Mx&^7zu=_T!I z8@DN`$Ey)~a7KN_%SzwcG9qXhmZdb)EQyyDt)e-3+2~8#gi6OTwZ(y3Mm&4DP)WPm z#w{bhRk>-oW&Dbll@2oO@v=tQlku`fDNSBB`qni@bmyLvco0PN8n;RTg7#_~rlsLi!6(ui=7_O(^IzQxH`3 z#T@HJn~+aMimD_oRS_~3bS5^b$`s@ak%Gwn47(sq-FzETP_gfYDyZ0Nl^(rn?cjm-e-yb7_ZEwVYpCb*H~-Ex&rniHhuxHx*RudzlC- z_Ps{1%Ib6gfio6sYB)9wV!+MwP*<>>DvpPmp3r3+OHWfXk(0`bhVd0%^6nv5DH%-t zg8Lvy)HpDv$UX@=utKc9bkH!m0!(@W)0XhF9zc5*7-jIL2vAxx5|Vbu!hkVR^g8;Z zt%lKx!|^?mGrNpZhmK9mfnL%jG;0crmb>qix;!XG58d3e!?YYKWzlZCT21@6T@^dt z357&f0YE-#*PDv;(thwq}CXRDwLO~fR0AeRdRrP%YSHVeWnhnQLX}49Mymo2PPO&*VD9hISvDG zNuwXY_Y%|5#eoS1MDYtn*~jA0=O5$?Pr`xiWQ|d#cvD5xD7Q?mB6{?NmC;0M`^Qod zxRc8kk2zXCj-gU2j+!r%2B|oDQ1)3uAMxcGOe&5ZTF&tVKBVQ=0EeOMv({HhgP%@) ziGz{`Kb`qHC|l9zQ3s{8J{>a3q`^<8p$^JkPv|7g7%kx2t#gE&G{ARC3yr>vA@DuY zP0OUgPbac0jWNVeC$bL8o=i9^9W-}3wRC9Nwk}R&lre-W-N`;-G@P3F@Q+V~AAoGc98XoXqVOGluv{)Fci}di^A7GD>NEEPD8|ZJi1;$`~Sb3lGY1 zOVlL3Os1t|=Aq>ZNYo@+o?oY6rfKPYe-br`mXT)4Wr~*Htive|S6270PO4KZ)3oZH zL`~%DHJ_+SnP4LaI)4?{N49D?N*$dWGOU~e!+w!$IDZQwTyR?|T%7Lyc8x!X32ci)+G_66R3z z1u5gSu%y-f7oZ)Fh?db0_69{;V^t__#Fb{LOJ|hFb+|<}`Qk=g^(Q`_b)jn8M)_4u z(6-H`vR&_Ne&QC%oCQ@XkJ<@W?oLuR!YM`h(BQn*yDcrZ*#-BP2B2vWB6 zppw=rTKdv+qzdSvm9(pEOjxHjsA(A>rMT-sDXlN{ACx1X9kz5}1|Y>`4{e2jsU>Ta zJ9_NBc2Cv=63||4(|pMGTGHyuGH9>1RmEgf+*aO~-a&+w?&MsXZYLbG4U-R9+ea!~xbgHXC@gf=wqd%KN0Jc-X5*8MFkJWI zQOM|;`8e<)-1hTOQOLdmPn4}=x@4$N1q`7q0&)?!O6c&Me|-AXOCM1!W*VoNx9VD_Nm!J#)Zm;QW9qKhB8o_xXOD5%Aj z#DjTju_Y!vFnchm2g6!y$vqrc3f`^MV#}G0sp*mYS(l+VJyJf(a`e_>O9J9Bp@KQL zF|0*$$56_0rmoZBO^=jsv>4_W?y&{rj7@*DS}5SFl{yE@$6A^R6})38zT&}Wx33w? z*P4K@8Oy3XfUk9lPl43@&Jm6p&oA8rzSgBun<>t86x1TgDUO+Fk>nWXz!aHtoC9+e zU;6=EKIAICV<^_jMlg4`QXc8v51`0C&-Y5XP^``y)uZJIX-+rK2{3kzvUqUiASU9o391HHbFLs9iBb;36@&w(f;rbZOgIcz5Dsb%gM#p(H}kgd zD+vF2X=`@{K!B@baaVBnH$Mh}sk|GH1P%A~Isc`C4|z;fB3VpuOO6@A%RmCIKEX#+ zi@Nm&T+Knr%ZmwDCUQeJT$&)+a=}a^r>3$-2SZuBQ^FZ=Wi071QM)ueh_a2-%oNHq zZWni#(nM<#r?|WM@{Ajmb~az0Af~wcp{2ArL5eTWR8j3?b5L$)P7l(um*?yt%FOMY z97H8;jCP?s9mdJjmd4Xm)Kj=nNxRxcvy$TOZX2`rINRDBXeRLT{X*H3%CV<^l~bM@ zv(lH#JH_2CO>?ua&A?59pxtf5aR51tr2rkuNMlB6M|Ru# znv{Rq`ubrVhuPGlc-3_@EA4nx{ehr@XT(qS#&h9Q$I`7eE32@Nv z(bugTyd>EiwDp~)vYMoP%G}Ns%4LrCW}5@o99|)9RC$o;XN!Xp8`I4aW!w6(p2wL_ zCGyb>quj^g)@e!WS;c}!uz7n#(cjf)T^>gqpefOXc|#uLBkB8e^cPLn?NPgHo@l z*m+RVt}#Y6s|pBSd%Xdz-bqzUbKnL#H8c-O4tu?!9u_(5^@e&_Y};OMK-sn#IG`u- z@x;<$#tlRzZOvcMO4`*nu9nncwZ2>dDZ^@%F@CDB9+aAO>8gWLhrKr6y6W_riH_2j zGs=}qZ!2Dd`EM)!#j=C=0NuZ=`2YF;{y+cUfBoNI5G9jW|I(DIf5}wTzch8~Uz#rU zFD=aam!^FEOWjrf($ubh$zv5-BgV~X}5XC3?QohYYsBRGuc;*RE9VQzS4{vTo=%7Q?3dGs4})pIo@@Y zv>f<~1Gj_N1zfb$Y^M33gAOGSmoHk3EBWrW>7)Wt>P5?b(DuFc#Y?{8Ff)85jYq~Q zpsV5fmeHPDo}!h}o?CaK)NJnDiAvfYH{5`y4p-Zl1$}AT9(}nfe$8Eb@ud@g%}slu zGUap6PL!I>Ejv+aHh1hqsoC7H7s_A;G0pB@zN__nn&`_wVmztg$m4BKwRrAVi?8PT z+^!O(X7fmtC^eg#)j}Egj7K+;rWLRQHPCXN$v#J4t$>-yE52F*J5U2E&8~4{e)P4& zHY~5MiIz4#pjWvoh zaz5m$tT<=|%o9*(hRdJiyVskJ2vU+(t3_9g{8T6UDs0&s>$i>##)BQ{z<_nU*)htI zpGTjinO49|x)iDvus7B~+2Xyi2FgB@|GKSsE!h8L#aXH2^f3}5e^_x9^LX_OclQu8 zq~ke|+NX82x950K&7Qx4cO5OHoU-|99pwU?_{wKofj&OCTO%qjn^BS{xdMG$@+Ivx z`dUX&LE(o*Txv`SCPi$`B27Ux-MjXu{=D&2~M z4ii%8_Mp;iw@oJusdRg2soCCGBLQ6VCzWnZ%XNeZyliFb%kPnac6&)&7bAzgYIU}N z&~sT^9W5XbxKL@fEfTI@k?++udJ=?Cn*-Mo-0jwv>j-Xf({dfb0bVHk;R^KeS;o>~ zZ^Dt-7VXtGK03(9h}@H$XU}U^qjYoeRlJe@RhIpVH;_sr?In##vQ&+gG^HKhSG<8F zLUEWkJuuHyJ>`8_8N9qH+RMu5K;tbd)B5T>BNbn*1GjA{_-d3_o>TAjpqx9Geb7bd z_fFp-EzkLI^`zcZzc2fsS}mz?dZe*!mwiy81?9}wd{Dmrec1=6>o5C&o1^G6*C%by zr=(2klUfH}EKYsWgI3#IpY*okwJiRV6-UaN8%lmK1cibAbrYZln)&pUIXxn43VwF} z4z$%pDRcTu#;7|67$58Em49GNO~AeM4~&E%;GVI9znF>qB+x%FMP@A=xU!BZY-vrt zczZgPEgP;Z=O^K}nQ-Q(wq;XGWDohFmdLg(hB18@LCfGuEmCjAU8Ed zCJH~)6qzvGux3=^@B>R^o6iGFWZTaJOXS^3KK*kk%%L~We^3MB(wpf*yrsBdicC0e zk$nD#mkc*GTbY2|)I1zXk<8PB%p|5lWy2E5Rt~*+K9ZW6O)Zi4Sn`Af7kn=Tc|?L+ zyEjacIq9+9drTLSbA&Cx88V=SSCB=Z6y+)=7u@J-LXA20b>s0lJ)Lb zq^8SoAho;t8!*B}Tq)aY2U|8Y9@KjxD?1J9%>+lo(u8p94Op7&k;ju_SYF%>-)l#& zhjCqP>y4Vz)a05FfXO>sHTEE2%t0{O=27)i0dKu&yo;JWc>92A`X4^Pa+VXoEZMRA zAOiclp=Iy^@4kgHQhhHUJrKrqy}J|3NC3WjOXGG;EHL2!UCsnB=R~e=Snql}4BmHd z)m#R==Nn!Gb2xK`Y9_T`0QKFRx(kR;K;6wLYXEppL%hu6;OE5DyvrJZnxowF4JG!d zN$#VXy6#LEIL<;4%_`FTGj98t^rivK?OuMJ%#57P!B8i>!kMz!aJO zX5b3=>J!GOxYl_yDW4Ab*1M{C0KQf%gG|7;-dy%BHP*Ho-j989nJYZUmPn5L(7OV{ zXG&6es!0smTR+jxq){o0I&T=Lr|!Ipi4BoUyqta;Vu=w`J#3 zcih~)`@3#40KQvU`!~S%gs2-%fbZti;UD13^dd+ke3^o>-=LO_z5PJZ{zpH6i{8B% zK(LLaxia%=KZ(Hpn)!SP1^8+xUqS)C76eQwb-daSYeM*HKUO8-tNmC|gs*9ciAnfc zC+NR|lY(<|>NzjqYus>=neeT5s_gAz_;Eq61NHGi&0QtO1c zD&f0hwd`+O&DU9Aax181pPj24U+0^kzI(7TbbxOKx$MtDQ?Cyb@mSGTR}S5@7pLpxxP{Ear+ot#w;e+z7ZiZdEd7B zNq0O-(pVF1Y;RkQZ%32&tzca~#P_o=3yEt8gl`2ay$9-RgV02~o^56dt_hKvvvkLW(kSK5l$h`>61s{Vd-(w- zI{$+oKnPS#N zHxyjf3e>!ugHjfY_pf~5KS@iQiT0j5Y6TP82;8o^n9w1mHnUoZ?+cav!TqYD?*0Z` z1~QeA54Cn>+ciRDWz%7;_UPc+8XakB2v~0gZr6msb+)o72)wr5xX4xTwHoT%&;{@G zAwqRUC_AZ9aE&Dty?E1F;Z5lclX_?8z=ZvsimUDu+vJ@B0JU}rd-o2Qme5yh(%(4u zEd{R`i_6T6pZ5k9Ow_S^OIk3!+TLVhpHlZF5TR`AgOB+UzGoEn$=Hs|zb^L$ z-rTHx&m9PiCQm-$Gsb)B@3Gsw16sW%)DLI@wX@xf~1SWn-NB zXXX*5<-ht(myJI;`<4#nBw<2^ttbx4@8_gRv@B)tnISi6F#%xrhM^!zOFO3=QLIAH z?h#etKNM2ht>9+$pPVsD2kuq>$wgnIHNpSU8Kag%NmHsQXN;z;W%*Ce7>(AXhb!GW zRQ46<2&;-Oms39f;c-(=X@=9JrL<{xh*H{&nhRyTn~#u~FT-72wcVQ0`oWoBD5cF{ zmwXxVx^a>_pjeoc+uXjIG-41s7fZo`~* z_&djn`C~KMky^u!6>^ZF^*AoQBs>mDJ=#>C^xjD`k7Hl+ijzp zK^A7W4YiYM`11tW93<^(8)Gt8KkH?{H!XPA-3Ct_xW0aJFeEi)soeinVXha1(;M9bWytDp5U;Omv=sD4ITULyh8 zj#VhP0zXNS3S|h2i|tEaUVZ?Fc50&KIgzVGw!X?z|Kza1wCu@*3A=;>QFhKSSM{hnci?K}C>uu@ zUl(C>va=Gi^8XINXl9M#bwk7>9 zR3;!{k5Nfu+oCTW`zXgJS~~XMggr*7L&6@RbnK%XpHMn>T+v(O#uDZ@^=Y>uTArf1 z9AB>%K0pQSUT<>Y2qqR^xp3TH-6&l+E_ZB{_5^h@HY#VG9X>U)v(66RD6M05_(J8Z zwZrfJMcE*%Ze*0VG+x|5w&Fr-XU_W$p&|l`mRJ>osk@l6JL?UIO=( zHwStNTt&H1wk`Hbd>LXQWWQ)BP4=qwm6sR=G{`|-V${ztDrviI@)F~jw`nD9w~blG z=P24KS{`TOvf$EJ+dot!C^Y(V`!_0fnggX}n60@S|Zob3KZIZG7Zfjmm`@@>^hjb*cfc1?|8zF&3S~?>cUe~aqE!kB~2|D zC7D2tn>4502c{v8%R6xSF&rM>)U`pvIoA!BFT{aX!8KN9!o(tus4i;W7a1iH4>d(* z2o6k<8G{2$WKSBy99>-AxkS?4<6_YbOJuK!!$czQR`Q5=lrl6mkD^8?!vojc!L5@^ zB=_y3l;NSK$VAQuW-H^04AXn!P_%fD{^nj1+3QOrZ;#=4>4qipZYAgOC}n7o^vt7_ z;epx8c%%cfW8;zzOp)DzsRf>}i!*JR#|(XrLo{f#@sr0%SfscCocUEPZ}LqqJ0FPO=hXS|DHlk;H|=rt-9L%lMuJRHY^qsSv#b7a)p zH3v#dw#sOAsjKalyA#SQhpwu78~>WWe;9xLuxvQE@N2rrJ72MUXkc{5d@7~sHPPL( zDOfUU%9v!>4gAAfi#YteXw{UlG>Vp91@})jt)-25N7@=y92*v`<`+>e(4GDt>@KTTl1Q!l( zm?9HP942gKVu=GwB8}A9oOD1E@@#Dg2=Dh}M)xQ#LC5pZ8Yc%Psda#~(uFgyR2=(g`KOS18`l*K8jZbd5@1TGpd4XxW)L zgfK1VMp9@-*@~noh4Rn?m%eA)Py&XNF42u?rSF-c82z6oUhLz`%RN1b;juI@wHCS> zgQ|WQubK0M%i~Zq&*DW_-TLlJNyeZ~p1BtWuK{IHzwJyffpyjN-5!q^%LU~h)PY>x=n|wIwfQ(h$+ObJ`RWcP;aB?&cqg0S8&j}O;{5G;HIqk z0PxHb{k*mX0J$t$$6DT>b}=S|>`$j%jY0OOPj`e>^6}H2#TX>#?yxZoy)O=XD&Yn& zI`Pw4Qqy5-`pR(YSG(BUOf(((F!vITRXch^YOLDP9t0TX45xZWO@+Y*L%;Xztim`Z z$AlCe4JSHK*LrXBTT|rU=9j-iW$0skQ7>qxT|$uZ*~048=%)h+V;q<<<#EBc@=2dg zLx`?Y;dlXT8zHP*VP5YGD?f#a#HkczJMpm_Q^O^ua|e(P_nWOT~ZSn=z7Ey8N6;wS#CU%hF``+n0^A9SkJ82Rn-C{vSX z{i3VqnR(N1jQrM^V|NR>-g=3yHW{Dx^Tvu_dwFBUZ_P>E;3mI49<_t;W#gU)bBw)52S0U|R~VwJghQ?(8!P>$&&TDAI*-UTWYbl9 zr+Wt-*Nn0@w+$_2{IxXZ-J&{s1jw;$J!`uGaJQ&dBfveiD>V)0zeiKy;x?LfWvM84i|QQ> zg1ot!QALb|tCjC^i}*ELdDLVe2yp+r76QO-@k7}|A0@0H-lD2F;`-KeBpZR7i{ zS4_KTd2*imhHe{A&Qss;pp@3B%Y#yyO#Ef0nPmCHOj|UXk150tuj5|kF28t~vx_?a zDO3mWI8$81uLJm3XZa7UlpbpbL#hLKysf=BNZKBL9l*b0@~QnVvjHxX*wab}@F|mM z9e4o$?%TZwEomu}Xq2h$ckI5T)#D$~j^$Xb;1N*DB$@+Da->Y+K_#t?xA^iz2W8P$ zKj=DD3L=UF?#q-8oz^tU!*9gPn}cmoTSiJ_Eqxf3y_i``ALt%U9Mji?@1u50)5J_1 zD89;m_G4($BB+EN#}aQHIMGl}94;8I8hb(*X8QOOAAaEK_|&!2Y(-g)(k_MV8m`Ia z%62s=4wKTvrR{pkgGsHN6s2qGvazX3*KiGB%F;E==ESU9B&`Te6wk067@h=iWRLfO z*~+dbb9gfsH+Gwcnyt)+^T2FnUqNUlY-P5chnlTSI^n=O3SO}6&DBa8>7u6Dyls71 zfInBcEjFerIn?kXu!SAuYbxDvyu8caP@eqULGbq3~<@YUTgSxd=11q z2ERUL@0bIxWz%}1G?jgC44eyP%;ArSX|55aVNL?Yw6#L=39-Y08YhWjT1x8|;HG8F zk?)5cRMLj+DjgVe`0HV(lYOV#9}kPZd^3@6hnX*}MLr#NP)bV_FMW9qj?$^S&sYT_ z-wit)*tVoS#DS~!ok-rOq;;HTls%c`hf(%qf_bBowntOJ!aH##X%#HI^!cMdbArfB;{eq2DIkcXSa<;xKOt3 zw&ex>Y0GK7p(afEcUi^KW93&$JMgZSeEN74w6!y3=!Lri9eo&9=#@-6VI1z%G#)u2 z!K``@xL1u}CX@kh-YE1zCYdj!228)K2~&e*m^EI|i)XwKT#GM{_tkW5SVx|X9l{4e zXk$+#q2)Y)_CzuY<$w-xWzK8E3bZ}7Y0;%XdwLm#WvvQqw@f|$Bi!*fbMbQP7~;E8y^G# z-EHGe6XFwXGsQpjX>!rwR0GTOD}< zz1mjJ1L)PZavnh0w&+{V1L)PZavnhUxY2naKYg{WoCna|HaZWWyKQtHKzG~tMLM9n zZFC+$_j=P+@6`O!3Xtb;T|j%3_(fCH{o>#yvnH5DW^fIw$B8hu zC8SQoWw`7!?s9b-56nfHRDogH8U|wg?m4~NE-H!C59^Hu|o5ZfcMp#vOT$SWmc)N_jAQwZ58eMIUYAC#*jot z>ML6#zVZ?MM&O{77LRXQwk;mtDBBi~FH}Av9^WYEWIVpnTFt4eY#rnywE2#{@)6l& zi-UYbJibu*hT)@ty;@Cw z;!o}NC}Edfv`Mc(+8daI zq_J)3U;2peB=bc}AMu@eWt448GT$hr&3VN=2c>0eXSS5#QNZ8kIDTTkETR z#CN>7X(ersn|#D~qDj%xM|{VN8>O^(aieToytq+HON?ohZBvXHed#0eg)+@*R&>Kt znms3ZqX6h0Hy#oIz1l_}@f|NN9q1!cfYQ?FBV2&IX9)|x;N4E|Bg8>IB3|5R#cwG` zYrgUk@#3VlkI24VD8on?inX$o{rS$mU9@$}57PE}V?{Thv~{;FFSdW!a^RhHWxVWxDKaVJ15;#j#)cXCpa^@l za_C)8$?!<-ZY3S+PiD?xB9V71>*hC@+^ytf|H+gxlR9q$_1#MDsDCo44mCw;Rt>!w zko;uBX=;W#c!+n6C8L_u=REz*Igf7>-_#O$x3a#GS~a&X>bgP>xEGE!sdGNSY~|3q zZam>qLq|-9x>j|{bRGqH;*mO?h7o#^`Wmz^O}5;$6>ok<0K6}~5tEY1r8nxX6Tb9T z$~YA`tqDK)hvTqLp-Cj7HZr+77J(w+JvxXj*|Eu@kqJI6#2!q-%y8YQ!AaR}4lQ=U zY|d@?i}nx0FNV0BN6TL&QxN`McN_;z-Pc%SkIf8cO0svP*0mo{@8%=IrRMR3q{b^I zPKg8+hM6S!NmOxQicDBxSVk;yg<%E&DO##wLpcKuL{2qL&CobyOAocyac(w>`l}8X z>JV}D2@<7h&R*X5C^M&9Qc`A8_X5GB>gnK;~V=SdzAFUo;;*D@GCBw{w{3fzGaOsWe zeoG`uj@E=kY4!`|ghU{I!)53&0WX*#DUvuhOx_w=>CMijBFO`=*b!@Vfb&qVXc>ycWT z;c*xzL9#`pRpu6-Q(Syef`{X=rJ)=S(bWpwzs1<~>LXtn-rwZ0jItk+#}dkT4W}+k z2Ywy|cb~Ur*q)RQiIL2KZA*wGRMRJ?1V%YR3XpCiUk(1l$oq#_;h6Uk(dPLO4kIw!^w%BT(>gx`I^pbnp8z0fi_*tK1#r0r2+v3}5UnVwaEgJ3S+ zL|4**@mvakx)luPeiK`nmeLZi8)e%PSqYVON?>J_$0_ElNT{&+-gybDk4aU&dMu)f=UsSn^FL%V<>ATNDYF987W$0Ly7z!D-WRQUsC&J%s~)qMKp*%7OixvT}r#=rqQpw%2&3~ zXWG@hhZg!gC~a5^eFjw;rG-9&YP-@xpFzFmYOO4pS97hEQ7>kn)K*@lvGBU@)L1s` zqt;3-=)q}xCpl*}%mcOPZp^gqhi=A~G zS}?b1keW=~n5$QnFhmnlNlxj9!NjRLjvpxTU@u zmzEKf5yXZ=U0SE<7QD=R6dY7eux>XfxwU#jOM#}JP9{Tb@LQNR!m(}|Xs@?Y3-H%Od#5WXqd~lL zHxxlOOSBv1_~U8ANvmiDFM|_3gM<+^w>!hd4G-z4g==+#n%mS3yKK=;EfBg8?K*V} z%Hy?;tos4ZPqf#ggjU?V=d8RsuEkq~Q#aWaJhiz{>DE1*+f~xA6=WlW?iaLx>_Mel zliY4WcBSQGLn{lC>amqqwgPWuP03{A@#ND&#jUZDxhZiAI|7N`cpmW?%XiMmvlc-iE zbgnmiVk2~}H++mKbgs95S+UoRt_8wRBC zef`cL*TS!yjcmhB8~>k%JHLa}QVa0}KG=SbOG|);XRk2oM@h-qf9mO;P~_**;Kri{ zxoCd%!LERCe*h;V2JmzhzdpnR3((}n{ScudgB8VY78k#K;Y-OnSA8@lJ0%D*FTqancqX3}5w1FMp9B=;O;#GDw+7`q$5DfUQ}6ef z<4A-Ue1*q7BTdrL$@oxOli@~iWQ33VyqI1&0ctWhDoB5#CZE>LHMROVp2LAieeF&l zUc(@G@1#MADiabu zx7sWxLH1Iw2!@hB?+rnN8o0icY*5RvxBCQYTZ{SmEG|6$!n1Zr8x#s+~!TkA;HW*Jh@N~mA7+?I)CzL6d2{3w(7a_-o0EowQ9S0ahuG3;A;Q7Zaz?p zK;YDfXK0{&XB{Xxms*zJZE`mQaQ<9zTX)l<{HG2{sfNgj!Q}MrWmHlEl)<|@q<}QH zy(1P-R`6yw3^Ei2<=b_rDQrgX^adzKH<6s5i;?rlS59sm@g>jOb(nNwbazJnxfU!` zcxDJF&FG!p0L|!~-T=+$o!+R1YerKenOod_AfqcMH;nkU=(X$kxfs3i5jvTN5}=$K z$y)y&W(@{VT{p}cKr@;eajS?nr$&m-a;taSU{0H#Z7^z~Kxx@vEKJOZ6$e8D1=zob zy##1s=Qwk#iEpcBuP9}N5_eqv5;!!%#V>(loN-S|pfoyiI>PHkV7JLj zWneVM88>hQ4vlb0L*Uei^=i4hdv({10)RuKzr3x3Z`#HfDf5UZM~se~e=YpGS%VSu zy16+V2@g|;Hc`#{<&G*l0DsNVM8O7uW7Q(LE^z3M5?%z~@Dzo5WybQ=z>yQBV)gUU zA-cMPpASZ=83AxGLWHQFzg>qKUFQC31dwy-*Zqo$x&!3;?nhJx6-L8cvqK^$N_I)k zF&qHJeM~S^@!}Za0@>4_08RPMIu!OYH2~H@Z$$&@dW9B$d2EZ2hbb1Yq(%xIJApX%#6_9}fj1g6z7!9U72o=IPLF zgW1VH+F&*Y{bGZWaM(6D1Zeo_X@czO-Vv|}+2cRDf>IL-m%N5rTHk#s)j!va=zWHO zR%`v7W0L1gJ$JxJOBt49Fp>xT`x<*tYJKO@!qFX5UK%~K43tVQ^>Ttm(j@KOgn$~8 zH|4^gbJgY^#FdljIe_orbJ7uf*CKwdJyb3$TsdXi{=UYElxp){V6aG<_`SanP+j-h zA;iBjIjBIvt~+w-ekw#7J+C(j01n|{yea%^Gtj2e4!sc}?!UEt!ol-9Mo41l=a0?c z&o%C^%^)b@eGYi#lm|fF^wFj^{wOLvLG{wT{%VnUW`P~q)JWleFXn}k<~BFJ&T!~r zD!?hc!u{L=ymERWykRsnl4<<=?wtv0+Pr&bg62Lok`3;a56fwP{%#@gb4NXIDuW<9 zP-^?Ocj?lPjd5&gDlr`~UD zMTryx7~$irL8&mM4~B-4^YP=B%L>Q|l~@Emf)K)w;n2eqXmgA^5;$seiX;!v$5z!L z7-UkuyKHdRTf1gnD zTge2xA2NO}*WEJTmQ$7>&jwsMm6`jR^HX;Lunn0VqAf(<7jT<$Uwtybpbl(WDL){W zT^|exNYnUr%a8=*q;0YPfEwaCy?q+`HCKlo62{Mmr^4+5wK;kTMZ5)$Ij@JA@bfXj zeA?7<_Yw(DXz}OwTaJK|b4KAfB^BiucbroS9KQFT7FVnIyGIKBhO$ z6xHUd5%Lq1GbN-m?f|(EQmQ|52^%_r!}r3hQBJ&J{%{A#wfQ~PJ3w+rmVQ#QlaW!M zASVNI+7sktK>nHFw!!SBpKUNgS;*pC1irEUPaA9kq<*Fb%DlJCHG3LcQpxpZ^9f3> zVMqX~Q%9Tukb|Fcw?`zD%@X(yJ}A}G_X`tqS26)cV)Tmr;+Rl4vn6-D#ycowgFo*L zDYc1gDk+B@LP#$wI08iMr>Q2c+q`W$35VzY2%fnEB2e~PzZoew&h7o9JFFrp$8O=b z^BW)USr^Wu9DKaFTY{9cx8}{r5y|W&bUG;Y&WcVK2QJnf|Y0o+D{05Gbhe#UD z6!bqD;lP~S-xI-4)Q^y9oc-x!0xr9}Z6XW7fqU0QK|t_75%% zYcb;-?NJk;X5`3V;@TCL)8}w?05wD2+dpVivFPV}K0=Ul7vItjM)CpJ^G%R)emMu0 zamUYP2S+vnAmv19$nkS;c1E%i=dKF9MhXp}BFvFB0m!-37t%R!0A;U7==H~`QWO#+ z_ai61|JKjnA^wBQr~pxp{go3HRs_Bt{f`r%D3U!X#r?hQhkM=L0S(iPduUn+@69|= zDj336cgU$|=Igx?14`M}zR6DAu@j}7Ekr^Pp(R12>>fFD0R+b&6XdufQkftJu0`tH zrcV#uOX<&Ctbhw2Kldew(IJRT*(O~0pwy&oWnMGV4yc8B19FD6F7IcIr9S7!J65OF z+ECn({;|A<5=1{(;`2+0iFnwLSs~yU7bG4U>`re-24+|f_;%%iF&2RDDmXAY9`K!X z2gd3Gd^_~O5DWX%ttX>*z_(LF&7lH(r__PPvXkn-V%gPmV6)s>>QgP$+*>`)6A-Z6 zTGAx~-dgGwJu*4F5wYy0t4KmWhyD20Qe|?Uw8^0s%Wk9&EG@g0I)pLmfPn1ltcoGpTWthhzEKqg1Jb zf;@)R$n9^y6cF!M&bITRNIkk6!5tJ**QXy?ZP%+GSZ&w09hkEUrHm#^Tsr}bCp`+| zGd@?bOc-FXiE4J^LA^=KKmfWtV?bIueV`9Jp^~k;G#OnTeW9nHE)^{$`)sl+w46=I zeh(RRP6kwxtvFmE@Q>CXOmKT$#^2BSAYHkP{~#gBbAy1O z>bHi3K{e}|yfVt269(^D`;?5K4bW$D3DxAU+f55vg^go^Qidl6)VpZB?L@c&8^(5y za7RQi;z%`pKOFuH>_$4r4=lsvNyMoY*rvU+NF(Cf+E2PQsKvW;z^J|l#HS@^M1ra} zx=4a*)_08r<>)pAD7p2O&O=LXeWmlDBig;7UH$qZb7c850ItL zm<$1}tZU`v9t7wdH{9hBdVQYTRjryQPis^`O`jz*H`h(=vt&VKsh%W{T5#ReCxL5J zm6eiy-nN{}?FU=#A2J%pOwkKB*ho!VUJ@LY%vI|O-OpKzX_@hc>6v}(vZ;NXIr=$7 zt_O1q^71*Exufq_9Qq9NX@NbT6yO~tobL*~z9;Q+uJsw_w4kq!pOYBVs@_CST`Ggd z`8gs9t+>_81QoaD9`v9Ywu)LZzNAKh53E_-1iGI;vq$;v33^~z{XXO9MzA|qBfaSz zYFoA@W;D{eM2+se>n135`EFt;s8(su*Mrg}eK*}?)Hb(yoS^i%eVoy=%@wTi5Yh2H zp>?}UGF#W4K$=#2fj**`c9|tX3ix_>(c;WP=;Vg)p(WX!E2h=PuuoUI-A}pfKCO6A z$=kzCx1hO=GtQwKl5^zN)e&0RrQYKWs^2BPe?^&r@$(&SrnG<@q55f>F`CFqCe@we_$ah28BNNo z;GBM;W_V|uMh_)#DRi?OLB+1|hf&#Foa)bZwMzROwP%HGZXRK`z?4kZ72n9s7PRtk z+&@s)r~KEfhh)H2OvyWS(^WNS@pL`cE^jJeKo+g`Nhkm_x$!H5FMOO#^ve6`dDSBs z%b*%B_&#>EAa1=lYg$!|_*d5&)ZCsKM0r)?Fpm66F!{sgmj%FB-mK)ZBP zjTe+dnk^`T!nYI)6}PSf%iyV9A8b^6I(z^$TTonuO!z{zLhXawzlwAJ_0%ZsswaCP zz^HOh|9bB}sCu#yO;B;G7Y{1kx|)NETXRE3J=g2ogPPmYO_2}AZ)&VP9W2N~&27y| zuZwzdbCaF?-Ps;$-l@PatUgh;81Pc(k9)Ae>}fsMn zeE^})#1O3}Zcm%cje85$ZyEiIoW0Oz_}PL7t{I`UAa2bF1r@gjT1FYQfSYuyyk0v! zW(uuzYm^n#+?H-O56uXf)@GpbNl@w5*ej^G)q(~UH%DaKzH|QmVBhmYsE--1M^?CJ z7_oXukN|y_0s2@w6rgkTwL<|qM_=9t=$ymap#Ysdq#X*-xsJ3$LArI0KJ!EvCCAQp zlyHYY=v-aeTY&a4htz`SL_n8r+*<%$x-rKGbm_*=bbv11coh-o(v8tOQ0Z2A8LOcj z$yII zAs7{g*a$w0JtoC(!{Kth8o7NT7@ysVx-Q(XzT!lx`}W3xIfb4tr4P*6f}4GVC5}9$ zP90~c-KX^_fz_$l_pL^lSBCPdZ5?(1UIPBn?7(T!Yy^*Gu#sL2FiY*%0N?q1U=CRx z4Zi)2&(k>SxIMDlmVmt&;6`+e2kJYg53EL-Vmh#U@XqxEbDH2rby;FU>39OVKO-zu zIyxS))Iv4vy|W>c7~$$tgG#o#RHF(BaS>7H<3UDQdLC1WU;Fx^P>fRai4`o8}MeWeUCbZ8prv;f%+`XQ(GNFB* zIkYmNeV+NCo)^yyWnVA@g0m@2qB}~so+0#^X+!WN|-aA{UyiCF2qV&>D4l$)j8s6CCHC5tvb zm3yk;9hiFL%VdupV&j21`nYLx`t86}@cEMZz#ONi8lzxb3pdL97y5&3EHktf_0Uh$FNJFZrf$LQj zPN+4xL+BP1K|S9=8&!0OZ9ZGr2A!k*gF)91bxeeT(d!%gr5T%fkwE5W$RQmrS*!c+ zRC04#?6?s&H1Q4&ACD~5!##V*J2-smv1rAuj^5m~*i)s`!$irn*!w_lw9sOIN}{C& zO`@LD2Q{~)n*y0WoSAmDgL}%NxdomM;TG=Fji*Cc@zSmGY6sWnG}Eqja8GG8x69KZ zwD8PsYRuCiUhkcK#smz|Ie+we9nh(p_g;N4*)n+Vl}9$Oyxx1ILfQ=my!U!aq)A@y zz3@HEkj#6pr%0Mz-O?LhTar{_*W!eWZ&P=D1-psogPdNTaED8s4#8 z%m|~4)^oC(6TOp$gB}rg<8xr?(QM>_)$z`BQse_OSk61PoD&DufHc!-*v9yG&rT04 zY%;Zc{fEwgBz*OQAKl>z9I3w?4&a--s_+iFPw*TCGZaR6_e! zd6mz2Dx`;2+?pQ^DsJ848ReNFxGmjy)&X?srak>rWj!sJ+tN+>qC8Aod38GQ6k2x+ z%BCX)e{6S9jaxULLB*{*?x51Gn?9pT$LC36+X{}cBFMf-oL=XdAug+F+$yhZEIu!q zl4(T@`5?zDfpUCxl*FKQpGMdvFu)vOBOwqn0tSyUo!*MLw{o z8~z`d7QN5B9%`P<0=_*LY9+o<3h5|wVD(4OPz8U$m8y{&+!)qtsiYmGE>sYYlC=NY3O{B4gSuDmT#Vgye>{q_1Kxk$4zgk0e$8?{njA>MCx}gcmJ!dkU9ZGMy>@t#=H{o_wlE8kHaHyR}{$K7Z`Hst4uj z>T9+^l`iY6vq6>C>U+XLwdVTrZBXr_P}+9(q|)hq`PQ^3v>+MgxS_n4(79?+CJN}E zCT^s$?gInWTE6|OH_u>|=4gIsSNxQya|^bPz0DF-=B~F{f@%dcaU&GPoG4kpo(L)v z*pm#s+l4eD8%_aE3VdMAh@SKuSc6o9d0@?y?m`aCAo!`yDHtD&iMnT2g7NgVBW1S`f33Yok!f8uj_6f2k^dMUv0`tYC@`A+7b7Wq!*pceVM zpr8`DuGgrH@lzu-sJPXs2NgH(yF5xXrP{9iZEz7(K(*D)HkF>YGasFz%~jYe&Z?HDVs zjd8b)qKz@`w$Z4J@$)2+Q5oZ>40BNF)^t!%TaGyvHpWjCWz)(S=dtE1FP;IFk(sMS z4^YC|T_dj+@cIO4oA?Q;S<O~Mf1PQHp5;C->!xqz5ucmqar z1k%c6zzzZYcdwfTBqhq5_vfg_#he97IGu<=lpjob13bZyK`I6CbfH0RIFXQ_l)PO4 za8mMe0rI|LbmT-uK!Nk;v^Ag{KUaL6Q%8UDZ1dN@xj?^}vj8|X zV$cL|GEyO#-n4dysAdc{!lOUA?0)s`v?)~y=HHDn0?NAFoqs@BH=KMOOBhfl=LQG{ z>D@s&X0qRC`*-(sp%f$gsoS5jf_N`=^pYy=_R^?+uDk&5&>Z&}kd^@Vao?ZgixYvv zkl;fPfuobLtOSluR#8K=Ip!yxNFe20asTL#0S@6+zYyRs0;*pKa0)Lo)*Fe|h=&ij zH9Li89uMGT#55kjvvKv$d9?@NWW+OL1nskzWY_V2+vqx`Kp1K^xxjGh6`G2sfsQT-eft}uWalgPH+n<

    be_NhLT;^%WDBSMlCI5khgCDzWs^mNk)C> zGL+P)SKI1BZCit@r1_#LEI2a-9%v?k+2|JfEE^dn36qaXa5MlNTkIq>A^PRaW!wOKs9`3mF zC(2=*Fy#eKMzR^0Y;5z6iEIW2aWLYYz28uBfO3|-y<#=xEFt}%)KbRpC&-@ebrkq{L_j@5up)6a(?!S4l*afjLjZ{p5vdNSM$ok1Rrf^v+z9y|m% z#vK=8L@7q6KULZleLN-@uDFnL1hVJ~F&cp^K*>4WEI@VLaI*l_OLKjrd&y|7Z=HLw z#OgcldfO1-)JSgO_st`@L$vko-3xf>qH=fRj7-DgfuSQHr1^+HO-GAV=4?O?iNxT!)gv;|l!fPoC`p zoNCIh_t4otmtF7avOpD+#M&Cu2Dca3=7^YK6{Y)#n3)0)<>*h^PySrS=D+0PDZ?DjcaGa zIN?}cpctLCi1T-WlaZ`-k6GRQ+647TJD}#yn=nHTLaVk%&Zg9=?WwgvhG^JO4?Cn< z!EirQPVZvw-4FfTuUEG)fI+$i*xWJ8{IVi)JZ~rK#w;iYcRX9hpWn-mZj*~1;P8Ha znDL*d^XX5#WhTnW$k~9g0mQX8QO@a%>@t5or!$_}5;!$d5!QTcf7aq(@7%`*5cWr%sSfU3GDwfCpG%|Z0d!vkl=J6YG61KXOeO%F9pYrdJ;Ao{+W%l% zNRe)TI+-xip@nlZLkQ?@SG8HZ21WEPEsb}Ksklwc^bgk(~9s=oMWivY`_fr z@JKX&K60W6t}vkhiqRPpXWsjDq(%?Vh?8>q3OzW*eeug(SMval?#C;4{Q0!3x`K!O z=J238*9JIj8=mLp&mG}iIh|UY5uRS61?8AKs?C8Ibu!|z12`FJ3(+svt-F(O_wr{z zb;vz%45&8m$znhWe~%CiIx}}K(F9a4&0tO?Ik4Lu!+79==UG`)0%pAVm=N38&k zF+$~HwmH|P9<>5E8EJK(n*M79P#{)6pS`3#RX=03@LCA{S~Z}0-b-fNU>cVHVuMjF z_}F0F%bg#Zr(wx8mz}QdV`DnZ?U&Cq=d$~94sR~IFX!;)vioulZ+cL@bBzqaWaM*F zd(bn-zr0zS9#lnvu~#1J8zpSI2ma<{h6y#weawBjo%p+ zz3T;VjGx|pN6v785`ILONUuov5n4tl8jdn%o4PL1BC6u9Iv>Bjrz!cStHaNSb^GQD2j!Ry-^zu6 zp4?A=qG~BA=fHjQ5DMT}qG(Ov5FWw^9KxewslYKDC>APk%BlQHE2m0LzrqL=UO90V zt)Gv9^W%t+_U%t3W<+^=KC4sp4?enqJ22WsE#9kDU{yf)=+5rIP#4r!vjbyE02WL3 z?18Z;0AHC8Y?ikt0&^On{O|R#2NugF6b~$xb-o7{%igFtuvpd(1lBhvdF1wyo6{7M zY%O&IvX9ywE%hnNM=i%`+bp-1x@y>`eorH+h=6;DXC%`Im%%r(92=IF4hXir<{zA5rjZ+S_hM{TZ z18YL`dO~28)`J`7@_FR;9IOi#H4gVAU^fne@%*4hr+bvZo+l0X46Ek-cOQ_qI*3&y zclR`Ua>Jh*_ZfB&^ESPEyC+zFoATxGy9cc09m3rhENuicOX4oS} zl!+w_!8OimS0O0SyEcNVg|3aDT;!i!8$s3mT^mNVHc)YE=%&;V-VInn}q3pr}Vkm-QjpV{b5Ss13+= zo2``$sA3^>>V|Iyg-+dc!5l3-7M1NP)ap~EkwIQAPqJQl@i>6my}HSq;Ji=lnlcS6 zBi3idY$(JDT;j>p<(ayiU-y= z{(WBY!kig>Uh%^8Jbf1Rz$)6%_a86JuEJh?3h06^FmfA~fR13dVdp&f!LUm;+&6;8 zQj}wft<<;|^3Y)P?{pB1*n}$!FI@~-aD_v9HY5+V;sulzT+}?6z-ZnH7;1eQ+K1cR zE69wj=akIdX!QXH9iQTlTFSYy5>_N4ZdZ_Mp^-&z^GPRT0uKT!t z*|a<#M9)7U0h*JSLDWc!YY1(=Dh@Odbfm{i_2yv~bOPXvM7|M^N=-Lk^=hISo02 zO1I{MgG#rC96``yIB z-B6f^XhROuDyN0_K&i#D=dz$b+H(v6c91gXsvM63i~nKI4-Ht}F48M4$V5{^gdeBp z1miY|CO{3C-*nM^dt^p$e;im7qv_kgOf-Y~j`o4osXajDa|sxIbCe)7jzQH#g9M>A z#VCqXq0xe?<@Omzx8R}~Up>=t3o@^GEnv35<2ZE2om`?#)KEugRErm}7+bjV>Xr#w zxXVAZ)UA&&S~BcFgkIAYT262j{vVQkYVr0F#X$?Y=Hi?B`OUwO57 zQ8;$Cpv8+qLJQ?`!nc|WHMeIq{+ij`M8q&~tJTJ4ZfH*CtF z9TH5#LZw^Hwsqs_1dbDyZrUNC_UmjxJET6s7#UP1JC86{Ugc)66PPWiJY*kXbPF!y zh^jAx)^(cuP-18mt9{o%OADv%zPl$hs?0H}c+7USVsnId}aOqJa-!RFv3TN>Wz>y)QRdEsmj|F=MH4?le^ku7LZTB^vbv=~-k@N!EQ$P|46!)1c~| zdfuQi19rSuUTpU%Z~d-ml?3{(+YKsi-RlGux4PY+;#Nm!)KjI-IH+{%5)5i?cM%6Q zw`U^Lh1kT^ahujAu3v%$HMccFGI4#e(6hw5n!Z?gQ0n%tX29)guY>rEmy$5x_+ZNEqXpyFv?p+pk2E03J}o8M39@J&(w{mysEAEeA?i4 zRVL;sCm~doCr~nA*azHC*No~ZBWdyZzt(Y2&Vq`z2WQ9V(wICY7!ItSa2UO9I)(Vb zrk`J8#AMTeClvu30TW%7}G(L+@TcgOaI?b)eOO?o2K#UR`S5= zlkO!0E7s2Ymsf5SSrp6eCRI}3)f=C0PJ*icI;V`LpYCiAsx@1m6I5%qIkKRVxGA!r z;?_fJqq4OqPxyb^%gE7rr z>Z`8=V!8+ww|B)6wXN+*wP|f@>p6ppTRmq`=~mAf)ZC_SF7=fgM^|2NET3vgYQbB` zr(9A{ajWMHDsJ_hMr~{BIfH84>N$f-w|dT?=5{@2P;**!;BR0Kn)D((Lfsi$p?b3c6y zYELry7==MJ&W&OHf(5EQvEzEmbzt>Fnpv+;_h6GdP;W}9Mt{vXil@miG%p=QCz4r>1M_)coe;vvBaWP zXY_ask`#(F;BERbyKZJpmW^*^0ObH zOE)?I>=>4AJXip_bfW_Rx^%MxsB5ykb^vxwmDj7Kxnk4W0rc}Sqh88&O+w=Ux~VhD z)Hx)Zy2$}xdCz`O;a#AcR=WLoQ(cqq2cvG*yj=u~q6Z;xw7EXoEDa3d>`n&u5V|QX z)AD{A&^h7dI)Kgzk4r$LZ7i)8lvoCOCxt}Avfw)Cdt#OtMI&g|`h3}edF%lA_L3U; zttX_g<-616z_ggZs^Educ2ju==4kazu)~sP8i23nq2^~IfUoKYHcKsbPOt-;bopX+hSQ48d&4o=fO@Ryr+vswwAo7 z3;3F}(}-DaEt#PM_12PEQovhF-mk@$d~3=3wSezr4NGph087iKzxjCz;A`1J%@i!) zJ(k>71KwIPl?-@mDZ9`Y#7kmo-m} zYZzuzjVvuytq*N4Ep>Yy@X`_+XEn04)EfezUSs)Bt`m21DM{u2Cm;8G|0n_d(H55L zlp8x=uKDLP6;tb#W7IF0a-$9geIiN;4CV0_HAnuryPHPT-_K27!Kiq^{{HAm^?@nL zM{V(e&2o+<8WGDLVIOJ^!*iwdz?={tJq-!WOe)~nCos{RC%L;jEjMBH$&Ttdl0P_r z!w0Ix0O8{;xT0}I<{?7!b51}Q&iv<+@}X9@G^!+w50iOg03$I52n&HpXN>Y#v4ELs z2Rzm{^77Wdw`AG&Z+vU2zO}7B^Nq0jwoWS4?%QdrLG8Aut;Smv;Kgw1ly6T)UW zEDd3^+*;ZlH>Qd@THh(9_Q?3xj-Sty4>h$! zorXr%JC0S|i$<>ii_f^BJA?)dr6`M_e?^YR0WWvjLxSX%bPJh0sH^J(*e zIjf$Jn-6T3((>tVIl<=x=TOTiKUL)p?6&uel@okEh(6R(rzhtJmO9O!8^&#M%H6Z` z1B<17Oy&O60>sB7bI@?jTaB!-#JzRFYsM;}3zlof;x50amzKKWi4so34$@GI<@6f1 z-%!V1WBUP$_h0+~e#!NJ{Q!$!|A!w45t?7?8=p8(^d85j6JEFDeivwFI0IK48VZ zd4KWgZ^gb(DZ{j_*!Q<1{6}uZzQ5-tj%}Oep7~z(Gr|Y8V&A9yVOVXV+I zA8N6j5k9KudD%O{uiSdv^B=1J&8ex^Jpr#7t9v1U*ZTg_cK-K_@WE0J7T*@Gw!LM7IZbreEa|+qWP{`Y=?-@{OT<|gaCN0Z$!}S+Z~JPF$7e?Se{K^asXbjnC_PXUa=T% z$f0fQAm^&WWjMhruEqZuKKV2%7t13(Qqs;6kkp*Bm6 zW!E<@4@r`(r5x2$@HCCcQ9Z>c4y=xyJ9tRqBu(@2hgwOR9sYcK-#9Z5s8GsskJue+ zh$}aok>EANI4=~uhWH;Zo}-a9k^gBgowwaOIw&Ja>X~qZBs`G>ye2aC`GVJSyV<}S}GOw)I<$SUT(q@DRa4E z!ze9#OF`6tO+B>)ECH802FxKwVUQspY^(%a?ijFHPAvhO<FWYuuJw2P~G$tphg8 zxxSGtFw3o_SJiUItO3ccTJD%tAO%n3#yXII`xNIHG+x#QJl8jM;SpYkljr0KiL26ozDf`;&rePf^TH zebP_CqK;PD-<28xwfbbGMpz({ZLib_V6mL(cer?d(g^CUrDxT0$4K$G5o!6z?U7%p z5kASFw%3e>K_$FWBS;8Ios}9vIXzRav4r+&WCk6qvnP0srAqRH^5BNs@XGZR#I7DWb0v25NT5gT>XC2`SRy$7B|Ru# z(kA@t>XGCKu{$1#xrkjolIQ@IV2XcTJ#t1#?CO!gh}hL5*$84+59b`#ELIHipR+io zS?^ZdXogpKeu!p7gjjj6d49_Y*fQNlFtmc*2@mWAXlWnV3((zDSTV%kd4!MUD)-+v zHjfr^|9NDyRucn8N#+qg8c|g6cP01$OTgZ-wr{}5sgbRvf`z_qd?c}1UkN@`7DYA1a;?ihUasqq6kgNq^=fUA_gK!+pw)alpk3#;x-U&Q%E9A#x z5i>^Ca~f#GcCRDvHNSTPzIKT>YgZ#)^SyQERqX4tfA>xRdu7SJwe*^IV(yV!Yrby} zpLwD+j}z-9iPk(Sd2N_1age=XPBzrmSg_VS&bK$rvBcXJ8|GyDaP^Sw2Pocu^#i)6 zk1qelSv~IAB1`y#9}p3m-@PTtpUok*u}#$k!C zI5bsYoo2TnjR8fU%Fu4)uc8^;n;g^#OgPGU-RO=RV4hpxlj2E@kBbCf1FNsk-BR#o?a2Nug-@(3*DpK^VHwKaU|^&MC&9TGfpD<1sp9`I0`J<<1`|c-2(}U6YhgvMV2RyJ?b`N-9 zv)o$B!QvM28Z_k|KYOZ_Mij?=b`N-9v(#jJOI zuV^)5w>B*V8<9Fa4LXfTof%qz+6&O3)%FAIsQ=;z_)A#1>j$`z{trJ8qJJo)utfJl zo*^2kE&A>)?Im&dX*Hs~B#O*!SRQ$XXlPq|$)`wRx<$uFsnz$4oA#0@)UevNk?3AB zjc6~4*Ah0hR@DsAAc<{9PnAw18lV}Xfm*iXsd5==*^X55d*qhwK+(G;iEPJH=R_yDR)nmLak`_DK2ey~n)3(=6FUlTR9ow5C z2R6$!W1V|_Y7QQ1vFxc*V6PpYX*_bv?>=P*54BjX7z+1eB;byroCtj?54sU2LZ8xu z2eyD~ES(6&(`2&;oe2GM47L3Ld;7oo0a)$&0X%N{`I8?I5u)uiCtwS?Ao}S_MEBBc zK0dIQX45kVR&VWC%n4O|ld=@m?g1a;Z&?R&wThxj; zQNnD)8vGrLIlGA)oSRw#?pVy(OYgm5l5#NZqwgh zRXY~*r{zzj-cZ}%?^x`Q4ZdTsM{XPZ9g8`;_tc_2ZA-u%i#faZRDd?Mzu5lTv6w&E z{@SsazuErUv6w&FMz!qO-+vVqe(hKc7a!PzI~MbI+bE|zhr#wA?Y2s`jGo zHI^#g0eH>WzdBy{atzh}_FnpH$54OmsCEqHE%w*kOXJ>x;?t+dX8K$4>DP{-aQ2Tr zd5XT98uJV=?wU>IRq6-u?C-z+iu+>?8cx}i>{vz_UzBkeo?4q?iHtKB;8Scfu(}Et zfQKabDAA3~js@&SrmF``by9Hi_BUXTC9e6dwiU{zmo&G?h2pIDI0^U3sWOpc%%L+Faos(Xaz=qrD!wk7SL%`9K*Bij+^hk;0%4S)cXEz ziam`e5PfQS9#||_V1(}m)h8=3!sFi>&J`E|mX`g3C~bSvIxu?VmbZH?|!d<=EKMOPXSIh;y@J7uuPT?M4t+rhg!q1 z0vdeMs`P{$HJ8 z;0uH)BW`Vqdd+-X&lJ3R3->SuuinCiOTlY>|J8S5IMpP}j+@@{!eQs?t-tzC48fi~d8yhCe zr|@Y;M<47zl}`_B>J_E@RV;?0HLGoXM1%S?8`g2hJpY5-`iKT4nKrdq?y=N5!CAZ_>^WfqOaKg?HCGCuG(H>`QcNim0UuF2@OMTsNQhX#TW2_*J7zPkI$+$ES5Wl zaybRu(OA@4uDBMmVfD#ME}^cU1zcmP=Xw9(vkNxz^tYBPJ~3ErYq{bxfDMb~j-gzn zlkv!P{tv>hf?&FT*5o65`D*FA38qAS68cT+m0QJeb{z5x%7;iZO2M3 z!P%MRp82*NI~KzeoRWKMY1^@5u@Bphm0ZI6uwuDmu@8NKhZA^e`N*vx;Hk5lVNei& zP5J5*8=xJF;nE4^Udbgq{H4wJS|@nnmaJt&Qo(&ZX7DI?dQSP zP%CE7gRR#T;;fM&+Q$mf0JCk3Xtl&Cz3RpTeRLPfIrTi(`mK7jzGe0XVxVeg;b@Q^*H{4i%bwt5A zt$Bk_29q)$9O4nb@*NyqNBs8P!NHdJ?Y-cf+QhHXJb3ut6>{+Ky=L>^;d|G}!NXUE z^O2wP8+>ayL(d6L{5pt(n{Pk&IJo(C1A1`tt@SjHmtfrf(iiVS7+?D84L-^veJj5{ zJ14&M)%W7WucHWGx?141eFrz+8oz^E-&((eTi=?$gInJw*Ml?0CSU2h{Q`ym!7rSj zLbU(+-<-9$M7Xj<4+&e}y~bFb_?jii%NXCi#;Oja-@Qgl1-!O2d>OA3U$X@H6XR=D z=p97TYgIg>$b<>;wJMMi{s-=H_ZL%-{LFoz zohA;YFOcA~oj-pVZsA{h(@ylbI_7@phT`C!SKUzrXY9vz=KR42G3MJn#XouzU9Tni zGkpY`YxBFIcIS8V5I8)axgg&WRc{DsYGzl!vH^Zzx82yBFm`&Oe#Tp{mq`zmLv6Vm z_Z#LdMzS0NvJSG~Wnq8;4+{9yzJ+B5x4i`UMDp1~E0_gm0)pgsL86j$q@9V8pH$jOM?JtYb) zbB3TD+(4^#eMTgCF{+AHKzi1hLJB=i3Ggg3fx5~L@XYjpmh}v$L2k>S!2CJWZ2;%b z8IRx_uc{goPEb9#9RY{y2S<<(rnoAmBe>s1CQF5{*3yOi%Y($f+*SO`s_-xO3IDPt z{L2%Ae@T%gp2qAy#bd8vLyw_?aQ7|q_i|Gypm>K(KVxU&NHHO1DBW-GsDS}zX}{49 zCDsbSPH48teH_4dEC8BO7lbIq$Yv>X29#oSZIT&rQR2(X&U^lxK{mjt5%*jGr$*dy z0h}6X-SvBHGvaOwlv5+EgM5p91s6Y9#zofW{T5j`xxIYtaR0Zxs$r$TA8sS$Tn0H;Pw=l~oV zp&*68F-9ofAW#||Id$%ZpAU_67Yg9J*pURHS@?VJ!>E^RFOhtFdr5~lIN%w=;{#d# z{D#*4InQ1IPT}dt0ZRBQC(kDVj^X&tV+w#ncwLJDIE2@E0l+aF_)bwjAH#vV831uG z`D&zl2v}UB=VABw^P8-J6@U{${dPIHUpE{uj!*aGvwn3#iXJ!ykawbQCm2_X2zZxd zaBhi--@!dNrVR1hMZ)pfA?5<{I~>BXFyvbCFVvL%9Na-WZpWS;I5;{6)HP)X$Gjl^ z@NL-g>!xsHBpo#3Hf>uMbsD*25tC}3H6hp1N+k`_w%63>2Ya1gVirPGJzLHl`7qce zfj+e+gz*5OhqcDgFu(o<^^Lcr=J#KkKEijxh1zP~5Yp5ij<2c}Yt0j5H*{ ziKXGYkV6gCNPSmB;7qU4;oqtiOX?}0lADCbIDk4V@2YUy{A>%b*Cr6nyFVB53G?TEGY z>?0uEBdMR|LoMkb39qrtJgHrCSJ6q5dTB{FNqA{VM@e{T>FfaV z0pA=!)S<&9d`+JPj8VB6f>6_Gl6q-Lw@G-I2)yqYmP<mXUy2W zd}m4Ug_EhLmU{OVlH9#}sJ+!s;rbKN;VnjY>^+nYHFK40WU7PjJPb>n%FXN}spp_6 z`$%}H!{d9xb86z&xZ$ae-XaI}-O5`)J?_m$uF3x;*Mw{0Y^5cYVF$U3Qb|hU*P;l= zLpj!{o1}w#Qgk<9Jo%?z_qfsR`(+)-(~W}cuO7<*+j=a+jA%%Gb&a*wh~iq$@f_EP zODC@vgme;5X)<&AtQ_JLTT2|U^E4>fuI>u>dNgL?C(0rP+QA7$iVK{I>^9^$WKwAGa*n9Mm<;uX=Wh6+&(XmpG*i#f!2=P7=jh;e zgy7d1C?K)*sV6kcBe%x#%B^EXN^)JEtR`QZP~%N(9o#*BufW*Kg5LVyJ1_@lb_?w{ zndWwI$3S?Kcd^zPqniVMtT@~8mEY|RXbgYw25FKP{xGV4=nn9U? z$xY?`hKYw?^?YnDFK~L{4xr)8usXiL;@Jwm;K{bV^JoR%151)r@Z|u8S}a?^H?U_f zuIin|Xlf6~pSr!knx^nji#jatG!1oFE-ig5@u&M^Q*+QCLCshPB6 zBU4LVjv_p@)CDHMb$e<=my8JCXwR@68R`-Yx%G(x3|8~{ruJUqWUkLD*7X}w&#}}+ z7{XIay$45lspGBa?;ewwWum5g;n1U_uOiTh_W);};97_JJEhMZMkBxa$uCPueHV$% zDS|Hb?7eIeGmAGDjNdpl^wz&cd=I@1Dk9S}o~B1}8@F>qkeYFhsLw#RKj0Ml!5`?S zC{X~B(eZz^J@{Yn4kK6e5V8Hq7q(h4MC&zMei-ZEcdn});FiBTO_qf`{-YI}(TrfP z#`|GQVEd2O2|lnbt9=tk(2c}@)Hen8Lh6JJY?k+Hq%;!$(Ftkl_>WG=z_vN{=7GcV z&eOnQsbyEW<3Ad5m^%KW5l3LNY`_uNEE{hGHp{L7!|@;82M2b?b{iZxEVTwIx3}=m zX<4Yl@){Sx@gL7IT41q!GSPv>GUscKVlYqibs7@0P1%N8ET8iy!=9U|6MW^4|9H-z zLLHXRN+(PEkLMgJ)M2@_be0C+#dSHe5&I8Z=w7gb!RI{6ZQFn3M5=Pze>`VWrndih zPNfXne>~?>hHd7alc~T8Z(AqWtKqLVwa@94sqI#t^C`h`D>uPaXH=$kTK+ku zGHkcLQw8#z6B*Lh$IelJbAkx%>imQ+2k z-2C5WOx1|)f=RswRFB`=PoZ{7w{=1fY?ht8Zrg_t ze;c+0Hp_dD@-$+W_mXH}$0qoY;H(!n;zNkm2|d)-vI`^BVY#&QAp|}<1ik%@jXo!G3xb*@9%(mxBS|-6!{36Sq2?FGY~=2MP3?%W1wljY@T~C1y_-r+0Y;`JUlR$j0tn6J8U{5~G<(U*P?99i!8 zh1x886l~a03r?FSO9yy3Z60v^0S>qqtd-k$fh`HX3Q(_jTYlw^;qRNnp-!K7TP^|h zfdPi7+33J#*=%%Rv#fg$EMxIe?{3(JzfbW-5*vPeD@H9Vx3{)9KW5m5A0N`pwr%+FvCD$Pa%t()XM7E` zsLitL+$?SQk@lF>HvC9?EZ8jX{p$>aPoF;;lcepiTyxNd|D!R9scrc25z%DH+yQ1) zPjN%-j%`d5*erXBD;U+h)QDq}J5Ro1R~wU;{c`2NUd0X8 z-H7)G_-<)viG70woMWkn0-?NPlFIFy1o;5Qku;3bmrr~91&k%hQSwJ)5=o-x>Ckqw z6NKSCVw8q}$9v?x?!yw_*STYx{i_wnsHKkVb_ZC(O8kq!l0QS+)B)D@iAI(x6+=JTSdrF~xI<^^eV zZhf8wc zg?M~;^f$KgnpJhmrgm?RA| zfAJ3I*cn(t->ktC`GGt`&}5e(Iq%%kGuU(vIn?VMAb#Wy6NR z?%0M6fvsi3hQMLDw6tSt{nQBPJ#p)&9$2C6SC81;w%2Crr{4Zn1sANhh7G3nPPFw? z)3!}E9x9(b=y1RFQxCPw0RqQGt<{Y*)r5V-jwo)y?vs8sVF4YFwSHaT= z7!uqAR<#l+->^Y#f)CzT2E-jt4{_1gtsE;#ms1;Zp``v5&fu$uXWN^7$ zxzB9tejwD+5_L1WxM*GN%=;FM=Sj{%TiNx%Vfk1RH6krr+4WF| z30fcaP`j_1=Lxlq=q;^qsBH`SnVYE{5+H{+N6kCi)^$xI4hc{aYEpY9x2~(H@h~0@ zO6$4?cCr)?zm7&whSt<_b9c6(+^gX^BXEvlc+Ptq>lmIh0!ImkrrncAqx}`XxrLS^*HTN58x83vT!1e^)z6G}B=Z;})NDbK2r+Eh#=FnHT7~`6}gDZdj-TkZaSfKCP*@HWT zsG${(V>$D!hY;?kcEIiVQdbk$jWiK$IM%j4MKID7Qm5f*V9VWodf>Eu z7m;B{;VDJQ2@_atw-n`pH4rUDd0??@Dar$j<-a-)x9x0KOHqc}v3W~T9ylzosYoMX zDO0iC0f+1dcaUE~s{i@lnkl&ZwX#Mf!FT1|?HhJJt_3Y4p?420Xn9}@-ras0vEbe9 z2X>Tru%p|``SqgTHbkrw=XP-oL=R2DBgmWVd-!gFU(Drwx%s;X=((PYPTeX{ zc^kpOVc?+-P6#!Y-;Imih_B*&J1&OYTCI4c6tfCNFU~yn8cwN^Yrcb08GLbe2J)cr zNI;K<2Sp?S^oV>=*g&9X6c38Q1$w-6Q2G#{r=Jh17Owj{D0Ies{3U~Hj$D^}Xwhw; zoie2A$n^)NP1%v#l?OGq)9(jWPufSE{zZswZfB{4mWcq!Z~~`;Qnx;;d{A=h6{>@h zTc1=uD7p0lRZu!4ptFBzr-{nDZfnnB`%i0snu9=?KPsVtoWs0K`p}^9)kXY#66l<4 z`0!Nd>LR){(5^0`N5f}~a|+^vQK57A@nNXYIR)_ns89`mhlzd&?XE7O8v=R~O3{s* z5}->rRXajW->lI?Yi>(7x*)W$bfX8tx0p*eIv}9Zt@1MT2D)_P7m7gF)aGUc=+cdq z3V<%%xE}_(bW@!k6!Rat;Veb8Q#XCjT_7${YRe1*@QZUIFOT?GH1D zK&Ngx69GDP!>2c*ow}i@7|_3W6BBMRfJp-K3jQoZwN0 zdztB=8n>Hp3(9B|v_m&MVaay?-V1@D)oRIYonU-VG%gCW+{V|{&P38!+?*HEX zmYkjH07A3&qbSv3cZ*<3w|N8pfUhUo1@LU{B42z?g zC4MC|u2))!-{lfqn+xDq_=88DYYq->dF}zivADW!ifgD#U%i_`zA_-&qH}KjVA0JA z&osoOV~AMpl?RpW%YGF64pUd}ayZ#QpaQAX*pfM(!=R zzzGU(%HzOFr{Gb=iq1xy@@RqML#?eh{+-koT-0XSwP{HbaCUVD4$GybbH7-BQxYHA z_kHI`;`CMDcMhCjwgI47Cct+DSh-oy664blFx1wvF<@Y8*&xtx0_9qkz}E7nvLj&j z6gM?+;IMoa9y`{#U%YiO`a7AIzSHGKa*&Itd-Pxqa{K!Bp*G7#nUXs-{wY;h9w4J?BBTcvMTj;Qds}Xl>V@*>lU5HH3jWz<4CC`#(BlH=B=OWQFAB3N! ziH`L_F5so5mK0!VdFP4CjRBrBR&Q6Z?KxxNIV{Q2QgxR={TL5Of>>JAjTfaQ;zz?% zOQ%p#y=Q8PsKwNCUE-3q;i)A&K46%oDPU5l|88E;W0|kl{@wdXfn!hZCE)|R?S=$a z#|hUKw?EVzG#G64_x1;r`bU4jZli&M|6^4RJY6{}Sf9qdx*J2>+*o&=t@wYYzI_g=YmKnSsymMR(qc#WkZEWk@k-5CPBwA675;5nAQGX!{Q={rM! zrw}HKez)8iK>ENd{k-!-;K}RK}p#7oDsfx1bEH}SL(!A&c1RrO~A9S z>?1KXXJ7gB5%BCQKF3BIe(#oe)Lg?7u=?tbnmiq;=g|Ak5a6YbqG-TN9o-qiB3Z)| z@74(2U8STtsMqj#M)bu1bT@q=s^d|glwqUb2lvvf*9fi?70}<_I(XW--F0wwWdwg~Z!C8FT`PhageN3&ZL9j1R z=abq#`&Xx`snZ3Ws(~}IcUcFv(QVKY*haTA*sy(eYH?O>-MoT;OG{7e*5X8GQEt!R zUyZi{n`Of-!}i&~npq0$f$r)EY%RMw0$WS3j>_%${#W;}rnb+CoxQeSCI9r%;FGGif2Ww}%k$S|d}(XE*B= zI4q}@F7^!fG_`bb6TsKFrV(rD&}n-GiuZ$8@Gt4sVUAQ+1K;CJuTTL8+%& zx%IU*sIL{ambx1Rc+Cji4FbHh^zNqhRK3((?grFLOYdND?{IdNqjcDo1xrhq5W&D)c$CY^A@0XU_C!Sn{CSy}C1n zTPqK4uW7)0G#q-zP%Yhx_UW~3FjBge(`zZm1Djcn(jl{ropB~sOyJ`ZPr30l7n?v>%Bn-T@W_h0pH1Rhs7dYcrZu8N?eHcwqOaV^yS7GjsAHCT{lo$~j`?%%t{!U7ll#ftf$jM3 z7k39%Qs~e9K=Qx}w2+IN>UhWZ$Em`~?W|P`v!)U6$6F=!z?y@tl6qi8Yps$R*k-0x zQU&wvZ~46@|6}kxQTlS+F@%niQsR@6hu&clu09<_53cTN&A3aij`>^jcIfR7Yu^rT zt!m(c`+%V}E>HXVfCjnS>kkfn_{!gIhd|+fa0ut85Df~uz7H~`bD!`KAzrAlJb2{4 zKEZglnt!`hKDhg>_VVDCzgy*lyWhGaJh=JRWCnMbm3wm?M|d;Mhwc>*efZuu<>29a zC-}j`ckAnTq@^=6UPwiVZ#Rr-#~aD#lJ>#P*S7PKUmpM?2Y;i=&^z9Fu4*4VeAhgI zQ@1=@Ux(GX8`uDWuVpiS?3OR>dn=gB;19jN6Tx#}@`sQ7yh4h0YDCkH^G~?PIoB5+ zxHA3LH>RX^H0>k~ZBFvw?$7(7&>5G+r`_a*KJlr~g)6_`H^h5p*Uy_iSNxvK;K3bl zKG(s6J8Ab^2oLUq(C12caPL%FQ#0~o!{Gi|6YhNXUDCP_ut< z8{rywKnO4kn+oxozy-rY(3>P z63M#{U?X_P%ZfJO5A1$vs!uS|4j$GUR>+Fum{i^S(Va@HyYKO|_~m2uu{=}ea=8y} z`hRdA$6{l!vt}noC+B zAHADwYR@G++uEM#Txx;Q2E^cs<;cqX)W%z13`OP_>N-83CUu zhDP)-E{3!VTa6%B#R=Kkpl-y^&;fo1T8+4EZ+eg1d7Tc_qXz+d)Vc=D(mR~i1`W&f zNt4~74$IN8-+uWH2OHOZnc6#?)&@=6K3Y$0(8_J2gHw`s(9pJ7Hm&creTxw{8zyx; zM|Z2CcE>gV3+&0(-D=>lTv}$GIQpvGaY_xo+=zYlM`N$RX4%jyuvzw0*|0NktqqzU z%nIyoHPqI!r^N?tl(}`*YY$R^35Wn*Z_8Kk=KOBcRRk|#gPkc zyWE40m-ElKpL`w6VY+`^k``x|e&ve#SdGqA@f)jN;e$8)qyXQ{&*+w z+3(a0doiQ3b_b50!;AT|5kjc7m|LdPEdO{n@!2d!sI6uFqhUM3mg!6*&bhWs=Yh@A zq1NedJa_8;u76D1X4$ycu(KGS^^bu)A(|8p9G2JG1P;q*jwnOll_Vtf}t!4dV;IN!pCRvIn!#E+X+)0*x)<3$D z%svb|SDlw*E1&wXAJzBiqGt|sI+7ynvb|!aPQRrn-+vR;Wb>X)4NE}$sq0b$b&nCoGi42!6G{|2* z5aVW&M4lW|3xHl+ z^XRMB$kpvR;KGS4#kBbF2CkTfL&m!yGj$s8k!D~`-LD>L1~$uHf)4B+=q2dD?#NyX zHEd(s`jwt8ysiLGllqmF+t(snzw%IsO``pG>uW<$L?^`~ki z!9?m;-u{lUZT-sAh_$Rgbt5*mtvnfO@7r2=^1$xc9yImPuew+1_mk?7{4H62n<){dOd< zwePnhgz;INXIm>j81`{=%l<-aT5;?n)Q3%PAKY`fyDsC%Oqu>huLsw@0H3{`0X6QI z-;tHQGf_Bho4O0?w1gug;&!^#4X*m1xP^V~dvI^*zk0lIa1U#DyBenBJ?fqVdoA|k zDZ}<2Eyn1vPN<$@jCTs;dIYY^vM4ou1*aHe<+k@|8O1bW@6j@f2R2L3-OBCbik4A4)Yh`MaxAx}bjv7) z+TP>4AxNmh^4h1s*0KvAa9CbnBRJk8WfZU6x!2G#ik2kaqh%BiY?h51(zaPPZZK@` z@!hx~u*b4-Ltty!ops=_)L2$-momVXbPREFC`e{5*en}2xNV>1A)hy??LEGGMak4Y zf&OmXVA$T{yBCxU+k0SN%eE_bRztVvrgj96t=*Kwhd-K{`Fdyz&{^$R~caQ(4zR|^{ft4*P89u=10hQr+ zt?m#4YgV+PZlrWR3#GMY5BP?}S9b_*B%ysXYlh=knzssU$I_4g3FArYz!{?d3rfm` z{9{7&#N9>7^Mu_+IdUhJbx>UC!}L7yTTxznKIxF6%srpJE6USb2XFrehQN~H`Z+r9 z<}+=9`}xP|GSe?n%JPWl$7%Rea)mo@o!mNx$7|Igan zHR*NSSi1NA6~6S`l*wXMvFc_bBw2E^hqN^MuzLDj(Gq1dLM9a?)yMw#=UK?im3w2o za<|X46d}C@?EF9`5{X0t$T?Ve3`&UMM!D%+C~~X~)ZFwBrO1m^s3rO)s+y8QNPV?q zYgQf+HYbk3m8hC>gzynn7hGIUPZjluD)&QBdn{aGS*t;rH+NgLr+}J*a#zTXARU3= zlqp6IC}0CM)eTTYC{*W18r18@%LINzmA(LK_t2%v&Yq}UGTzqRVmPZeR zVaYvE7!`(iJ)&ykC1!QcmP^&vLD=f%C!_uU;3wt#ocqY`Y<+DPaI+0p!Qq|wMX5qxHQF8 z^;lV@`(NMeonWKtLJ!ntCYP$M5Y+CVQFSj2YKv8)2D|$O=|eXjm$8CUd-7GPR+bKE zVD?mTiBf&%PEjZ)u%kYqD8$x1Fng3Rh>hh~x30t^ZLSbXh3do$X0sCKno(#h{JXJu zilK#4p^6hC$jgI736&wzLz}T5j$z^#LdqKmlWK&x5`?+j2#F9xfjeeIWx2BfZnuGk zRV6%VDnWP4C`1$0ThTG$H?`l|4hej1dBk>TDE(B)M6J4n*^SJ85yx1Q=Hm^8j4F-x z+DDuts*I|jE>u_^lmzhXVO|vy4WJI*4@p@xE!2}C^L#)$v{iWrIr#2<$UPh(>7dLZ z8#QF!{T96&RX&w;BYQaPU!c5NTndlV(RnlKM%5KQrD{2!=o%NU^e_hs-&0jcmbN8D zcZFlQI79LrjhacRnZ%=Q&eITr8EHG{#2$;a|Bq}w#3t>QjH*2u)E*0?O5-6$sY(@9 z3q_tDdO@i@ObdmgRH(!B3q=PK01}L}7n@P# zXas5xhEZjr0ae{7vWzMxK~Q^~7HV9|gWBU{RIMOTdoUJic%OmVJR_7>BxHF9*qcqc zyc2!2DfT{8iX0J0n_{C%kpoo}_Z?5|U)b^7CJD-G$Ge`gjwP)CX?P@7_-O06OMp{Bo<>Ou{}DX8;k)HoG8Jz1xDda<;SIZjTpdPI$J zBIliju}h6{a>mqSsWDE@M|(t#ak|+$x4SXNY5I;AS*xw@xPHJM<8r?NMqk$yI82CDM((B!$}IgVsRiM2n+TqFM27+4Wi7-SPvD;Ky|I+_lqg`>^8Nl593W2{CF(fu8-sT7>t+SwRMeh zBu8ZL`lhaNKNLCVC$ zxKKDt!1kav8+p^y=>*Ik*|h_pNET}Fcd@ZiHMA(~yMsnmJOHTOL8D5Fg4!K4YEpdQ z^Mx9xd`fMu5NeO0bMG+h7W1_^+#Jj}Mxk$utZBw6vQ{ayjDgz2WK^kFl-j*8s%$Ga zPGNEA250!H@W5v(wflZBL0wyj*6lul8M_xol@k-F-3z12rpXPvxAI+K1wZPkLa!c| zs_PI?TaGN$$ejaf%RQlX-w!5~>nW9SZI>*^OtPCYs&s!)yQwA1ACQya%GDPsA+5$OM0oIzp`OQoyV8$rP4whj!tc+buo{waN&y3t3p!rb#U2 zrp2h*G(mZBJ~67aX;7OUqv{w4YExv?V1>JVlyZdxzp3wJidhU~_IU1^ z_{ZIMqsB73+k$29HnlxaYWLlx%0C*E7t=0P$0FWFsKwN`JXLjJ%X4Y0aCO#uGS&;W z&34o~e~Iy6E7^r&Achg0&h3F%yi$)+P-u&yCq`9t1uC(3uZ=3T0Ms6Hmnwx0lvn01 zRX2B_yfTfdCkg1raAhcFJ~FL3xW{~Lhr>;di^&V9QhY(}>2RsBv)yl<_=!=a_}<)a z*=E!T?gq8Vnp^L12cxOY>uaaHtB?Vn&M7V{s*DqnGWy+&0~C#5!7M%8Tys7;np zWrw)&gG$E=OQQg_XUnBZ4FR>uTBwmG2GpJ{qsq7js)WLcE-o0g8XvX++jQZkHc)%b zxx%syQ7Xsqe$jOvjpFK3DGnEdPu4bnSEm5NJ9poWs#5@{EzpdrgE^>LCPkJ}rRsy) zeK)FXa-eqKjjDSxP`mF&l_4F}p2>w`0W(fG+3s~`RH;g!_DmX8suHL@lSWls5vUrC z6J5CAjka<$FoF)$786oWCAKZQ1{O{Hf( zl|j<>UC5}q86?OiO5Km#2$ZThF0y8+dx9G@gic(~8aJveQK0s~8&z8qs6FsT)!qqe zQ@l{PDv!4I5E@nLD?QxvZ&dlXP~je3p@?(PzD3`s17_a^_4%b;aH?mwIONnWc(e31 zDm+^}pPW8@eCOw67$l==Z@QbQze~wlD2`ink5Zf5iQ?KyjLZ8(9zX9F5_a&q7th=h z>Vyg0lY6rityveI(@P~O6nsRahgzArV>RtJOZmaPD-;hCxsXs48lGKXJnJ`8AyL9E z6*?F+3soFjq#mrGH*@o*kVFr0MZ0@z8!m|o#**h|jsnNi>ba9)&~tB%)zW;Dsc4!K zU&++e(hOS@ZT3WEZ*=XRlnU<6gYD5{LA5K~Zlri`jx9h8@v+j=PB0?NF%QMLPl@_O2+l5uxa zt*jArTXjMQwI^$$rke<;J;O%TeE=wL6RR!k3dI)=YuE$D!0VVxsXZsF!eKcDwMS#g z307y7+UCp~qsAhAx{oOvRSG2GHM=dBs%r#L7uu?OOic-)IZlmh{nJ63! z?~N)OIH*0ms~h922x^oC<3+ zPIOKAWGB=^sgX~eQpo`0k`vTkdaLtfoESaK(HP-buuYe(4`H1MMvrS|f7=f{RJk5k3f3pJiB zpu!vtT)jqxd-<C}qk6}7~oTb;*PI#5>aHmZaj73M(IOPY+~ieNfcSi%lejs|x0(N>N| zJwK@mb2LT_DySTdo7!2~j%zf;g6{lyR18#(#%%?0FqPSjyE0->YO~YSS!ZD8Qw}Ig zWZa%~RxrCh>#VhH;dQlpA(WLuFnc1G&Pv{G4b$~D9ovlKX{NHpD! zy{Bf%sFFUQcF&C}V-B%wdt?`i-J&Yowmi4Ro56+J+Gn8{^x*!3(P*BI2<_@rb?TMJaLd`bdLPLxdHc*wDE@T>v)Ed?pxVS|C=0z`>%XiH)=Cz%gqgJt zL$yqO;xUvy;4m=f%1~|oR*(#p{Itz@K}$^g#9^pf8&n+nJN%M*Yo!cXd3FtI&8vDr zYa{mEzoBZ)+pM9Y&C08xqRqB`L)DsX`3pL(1Q#tcHtI5uC+&1o%2f2-Ck(G1m$_-4*fwYGwY z-Lq1iS0d*P)r>gqXVp-)UAui6s@Ck~XsBAVS#3e=`H7$nRcqEeS0A*C`^lgEty=3ciG=imwv|+t2jZm8(x<%V z_XWgiO^WBWkMoAo8h$mZ;lK})Nmy4~y|SG8NecH@GRaR*Y$&avq4XNmnl&QC`8c|2sEy2*dWNbsd#D+z)@<@IRIT~a%}}*w z>&AjgCZWE!@s(|rSNhuMXg{0p6dZU`cTH@H{adwW>%nXd1CO9>-YTN|iOu`|R;~Gz zY^Yirr{F!lK!4%u>>>b2E>L)B}CVl1d+lC%DMj7o*IlI(9aBR(h@ zs@AND8miWY>%?K6B$J$d->u2_2=l?2gK%IHbSbi{-a1*>#okc0=4+b;l}y@-pP_2a zI-H?uZ5&t*{gq7GZs7iw*0!7Xf=VWFiO{H!Oqx%V>@~wRlAxV7=}CTW#iJt&BW99T zuVm7EQsvNJ$)p>b(O1vpj_O?Yu2(V%C&6B?TI(_i=WjtfZ8C7)beTjRa6vn5G8)d* z(ue*^Puf<4)nCb^TL<14N^3mQ-uf$8@+e5FlvhH%X;CU+Au$Nh;lSsRRK)yHtVzLHk;grnGPGU9VajQfc=W0t9VR2?Buj z(|kFCVWvLw4g~1iMjHeO+PDM)1nsTKBDCUR4ynMHUkFdZDp3(ql<>}JMCm+pS!rP!Axl3ctPL5V6$ip2VuWtb zLDYzd3?oWkKP<qH zE~+MM8m=&sLX>fB`iF=NmncKz_72&IGDL3Q5F?0Tr44R#)&#Dq27TKvWS7v!LkW+_ zDuIW6Av_{Nm`An`%t^je(~zQlr_iKc*eSd>XuJN+GyO>%yX@{K+s)}Sns8^0&_)Tu zoi#!^T0oeojHtu0a}^!7DTNVI!pqDh>cHrHMY{y5ZZh2n!w~wDp1TP%T8=qw)Bc=@Y{A;a<2HdR=wnl1WVucHg)* zqU6iNK9(6#rjv)UFhzzDx^p8M){DjnZIU3eaozTVFdwcG?0khV9~z<96iRq-jS%Nz z9k6gSLK+YV5AH&616WmgIu-)9sS+M_Av}g$XRR@umsKPbsRDb|951V|ESS7?hg27r zoTuE`g}~L1ru`oMGe=Ne%VU^xncMcQlc<|-S!*)pZ4Cm9$>3<^w&!h4U8e%~T+FKp z3NYkc&7KfYJqm2Ij}{EFr4Af3W9gPSB(!G3HwVmbD>KZUhhagrdCu&}^xROX43-*( zLZ-7F?{C$b5AFUIPQd+T&eDQvo7tfqhN?9$JVVu*7nh;5Hn)UBf2HbevA@2RjKjO2 z^+BqhbCjA2ZL%{L>0uN(N!{#LDd+nuekfeG4Lt6SHZ|D|suV!Yf&Tpb$QSuxrq6<-Echs@80ebPa0F zcg7AurvUB_F-y8V2uJhHUz>7O}tiECC0JRSG4XPgR>p07f=n^V7q{7$fAD%)sQ9s0;(Z9qD`P&Kc~-f-wm`z zayMAz=nHh|FW7{|d`=~B_-gw$FQ9sCxxawwv1P~ts>hc46R6eVWVdS&>s;;YREoy6DXsD^Ubb?imNQ{*OzL@(tZKekT0JWPz`xSPoNG_d-*S* zC>oM}Gmy^k_g3E4mukpX%pEPGlhz@$YQ@S_%oXspQXMH%aSE7vmuxhGj3CtX#FA?x z%FMp+QVLNklFf?(OY*O{8g4b&5_sZnDe#V}k!=n5(#{-H!)rw#@IGHNI|Vrco{Nk3bNJ|tLKMF$~pZLG)~Q*;c2w3x|Nl{6MgYY9Po4|*f^Bv1yC1B}t=j1=9P7#?$IuSK(6X%kMI8#737E}+2Rx6^CYb?kE zQ3E0>j1YN5%9$065P2ZnwhpaVw3DLMTGkR5wc$iy>+d^2ztdYR2q#BP2 zA!Ax0f^yE>LfBaE?mm&M1QER=JxC#9tT0R#!t2JpQY0!>RdtwLrFm`$p6P*&an3F&2n(;2BYx9BssWz;V@h z5Lo#QDG}_$%db@;C@)hrC4zF2a#e|#+PZk+!X+4vw+&TdOzm+#=4FiUXiOk^KvZIH zIBTM6`{1G`+NkXVM6eI(qYh)^S8%7l6(^*7x7@=z!N|;3# zqVgqyIJL3lG11;0!zD@(NYr#tF&3hpZw29*HKIh{&DNldQ1BBaJhMh9^a(`GtjI7z zMoz4L>8jnTn6D0F+xUA5awwkWCR5fl;2461W`PF zq@(;uKm_HSc&mhY+HKU1!^yhSLFNRN*u1bx)brLLg7UIGlQJ%0|^LA9wUm?cZA7`I3`u37;bUAvSae~;Lhf8N29K{Bm6-6WgD2iW2o6rO>3LRy30_~@-^$>s+h>Ob)(L^H zOLlBNA>^*WZ7ypu@b!`#s}jj}z>P|tffLwA_Vsl|U_P>xUf>F^!2Eiiw5OFc|B+c1`Dp{x%t4jtLU1yg z?4oA#g+LsD*x}zUL7K`!c%8dM9Zz;9tzDvey92*BqK+p!i`GWe@nqYxH=>RwJA>9n zlqb~ApJfEc40YF@g&QHB1L1YQ=-^$CLd1|%#uiEh<*3do;q&`$L;MHAQqFDYp#%_K zNefZ2F(51hj3_4ANpsvrJxxRjF9?@V>J$*>O(SHB0}+(tRbGgo9Jh@^1m$>03o-Wm zBVP?ggjKng>1v$I>?*PJCev|K=7ycxX(O~d(T3%ptCCG0L{N@0T8N;$9xD`z)hgpPzD+=LNYO(3d?zWHcfT-bb8S>6fI_EU!te7h0Sr_JZ| z8BKTuh42UxO}(g_=Or8|#W_1Xwu0)l50u&f)_m>~HMDpTMO6~T=T0flVQ0H zuC=N}jjiT&mEeiRLPU2ff0;Z^dgI)(RtXQftEv?+!!ERe8&O<{r%o*GeUyC~uAt`e2)7=+o-h+6w(_FUaHLYpZiqE}_> z<2hnaluOhM?v(nwS2cs&0;`Q99hDoFsw{X`Rk--mI3siH75rAxo=zD)&xGt|8r4O!3b@>AUuCYNM#4%`CACR`zVCxPly_+0bk^aJyDtFLw@5L6e0!~#Sjw60J%Ce z!Pk|LdB=xLa2XNVO;%?nm{*jjgeQ>ZI1Dg17>ok(*5{sJaHoTS*Wj$LD-RFeuE$Ea zRH#$Zj3Qk97Fc!fFv6+?ur9aRAr|i%tR{CLnZd9K;NE0q*4e>F>uYIkB>!u5m(83p zIgKEpEi%_B<+{jmzlqQt;B%9N-D(NHeb$-qNQ!{VX& zH94&XwgSGumFj7~2WT+-218ujQ`BJYDXXOJAtqztIL8U}xT73D;(doDLk!kT?3eW> zcs!D`Q${RsW%I+&=uAH*6e`(4r0eT48xX|OzOIAm_A*>w%ka$WD>b&BH}+T!%M3bQ zRANmm7Uz|pR(G-Zlk=(~R!Z9w{C1uoWp@J1qq?U`0BhcMT-)d%f?gdYNWOhNxN|HY z_nRxA;x~s>tV*QkP|2BTIfE7(HV(q|UQKQ{tW`;k$qpA=;9~LpMxDWGa))Lt@JPSq z6aNI4uQRDl&WnvOXLa!2TITw?Y*gD(!C(#SP5?c?x++&s?EEpnyu~{>|2#W@i#5IL zjjtanX$h572iJIW)2CgPi`dg#3aq;Kepi*~!ccYZS7j!c3toY}121q*@eahB;8J=R zs?%y9fTVJ$`9bg@@B!DP`9W}l%XGCJh-TefZ_|_gxW)q4_OLyR2AFP(N*j%6 z$))YNFu!I+0^W~$8muYaZjS@ZoM}wv4W!4LD}IgOW9x|X>vFSXk2&!-KE5@@Gxfn* z#ek*K=_T9ak^-#loi%@2+<@nrKW%~>$7Ii8ai6Dw$4AE2bLNwKZuRwbc zQ71XDFktN1=MF-Bfm;X5TE^Fn_%fFPKJhn#y7rju=s25{{8p1iPCr|yAHT2h} zd61}2hXXk7BvAp^a+{vE<~Xc{s{5&P0*)pz3~J`K2krt_2cOzFw7}It^w|@YOvR|= z%tO4(IF`hDs7QA!u0UCCl}LpKe9}DdS+7;N{pV*-$l6<9x1lPJQYtyK*28#W29K3< zlOwI^QyYS2-Pg5)p*f$wE@uM7gvnWs!zFESxbfa=ghEC@xRXYd%6{mk5whG+!W}h2 zA{T^Lff3S&K)AC;Xfp@lNtp;DJm3TnoepwCFpZ=otBDckxI`WCX9jVt5hLn|zY}+F zgw#l?>XZ|R4=*25pY0NL+T;#p4Z7RVPD_bSIXY@l?jwe)B3WRSD9Vp?lv^=Xneu6x zLOD^dwin_`dp5GGf$$)@gj5a?QB`^UavNvvK3cgbU^1qm2~AEl!J>=sg+OH&V(3>Q zV_Kk4#HxV%wCqXaFpZ=?5do_UX@8-XM#xq`36IA#-P8pW1uX8gT1!wXPX&2;6Cs5O zgqhGKq!@y*lrTbvQxGP@2!*49r~&EQimJJ=t*o->OWIhTF4oa(oQ@c$4{5|p*$5fE zKzPO%qAtcjc&vorFkKF$_U*?g78=wA#MZVu`)syL=ZJrn%RY@ zd{rRKJVq3g5Kz4M(+Fu5lrVh@Q3)x6?XjSRk78LuR&d+qMY%c_B^e|%LfjG z=She%_P}J&S8$QB>@C;2@J1YYHjK~_3xsFG2-)dDcs7jC2?>N}!w6~NcxIcvg{X97 zAk2qGNVb76eG7qMuMj6XOy7>R5hFaoSRv;-q{||rz&s66y2OsvG?+nRK-O3cFh(Xi zLnVvg1(xncuCH_5c=b^Up%rTs=GXIxH^debu)wA4+*>9uaCHzRc5=i5k0Xa2(HFRs zogG@cz?I&32i7id9XWPb?F4h45tTN_FK}h)pCPro-?dfpbsG~#wsMbkuoQg)@Y|SN zOVKCZYVpO>xu=u5NdkDsxNn3UwmCFq30Xrxc)(nh9JY1Ln(KF0C5LSgYo%<2cm~9h z5k{25Hi#u7jHoP?Aj~&v++t`){cjO3g$bAN#oilH`{zCpZG^UHN>r!%2BQw;2D8gb zr-I|=u7K1yZ!qT%X~YD2OOo;h!UP&2n0QUqg zHHR}3O>71BsSzbrrUEO@TnG|GR|z*^L`jwXKF%&t{I(U?jVONG3hYJ{zwNimj3Agm zja#WOf|H66KI#?1Ofofhk+HP0^1i;G0WF$8BP1L^n0t(ncmQFRUWmHl0AZFEqS{uU z5ZO!2EIqESS1@*Z`i#(#1%$`e2wiU?f~qQ!VMImX>|FjEAx|bsm<*TD)hY;+u@IGv z0EEd9!bA9A%h%JTE0zTWR~k2Aglr%n+=LOjmj_Wzh>V56v{VSM4I^|fPYJILBV-5y z;k99ePIn+YxJKx72f~AEgid!H=sizH=yV6dtHuav=rRE;v9}NpI^BT?${%#P1L47S z2?;9@K{+lUg$T-VYbZoej^Ob{U4 zsU`JDcv1*=$_VXnlyIkvkkJ!_J7t7+Im{QH4kNVBf$($~p`8wdr^ASH0mG2GR-h5O z43>$cBPypj2v5f&`*M$|zSgoV;7fxAVOFfSNU zD{v=c+f~&HytO%KBWeZiL~R>UEAZClppDQLPp@i7j&xubD1>=o^$JTO2t213hZ-S? z&wQLpEHTY=m_f&(g_pRhw>xzB=|r&gYs%+sgtkB@fw+B*rYV{`!;GdgGojsxdX8a;W^p)#-7fD79kukBW?Jadt;<4a4iOx+8WBm-&Y8tA2RQaOF|~zD9V#D`yz+wYCLcYy^0*grz)Z zaFNCh!dyigBe^1syXu{x-oF5|hQnpB&x|r24wtE$NXk@)`_81#b7$Jsil3l;5Xl-s zhd*vj=MU9qlkfKH2#!v-mi{hNr*JTfy*8!};$YU&HwHUK(di|&F?AfLOpGUiwxdjp zC&>T@rp8l~yn4+(FfcKm;}ss-F$1`aBrIcK1MIF%Dhn7dF=NKFuV6d|>o|xDkIHP5 z>b)^#>i}aeFlLPPG=z#CW9p8cGVZl8D~7={H!;d|RXwmn>Z*;j!o{K2K9g=tIV`Y zV}5oTZnsmu-t=vYlT{nRv|ecqteoQ7cR_m8Qp-G7N$- zQ!UIB=?;{!a#UsZ;A~s({W{#vP^?=k_JyGcDXUf2&Y^t2PG+QqFU($w!W@e1)jJOf zTmh9Vm>zi|#u(|6oSS^TurX54gz>6!nQ|on;{h;c$TlA1TwJ&?yx&u`?Nuer9-8e% zcs~?MJcEXC-}j*#Q|~E0nirxX$7Q6X@xY63L^oz=Y1^W-F+)q+ccR8rUVPPNLe)-n zx~5>?;qFajM3Qkku|~k#OF1v0KUq>6Gh)8(hl<>HF%AEPUCf20;r!;=!{QEt6VJQY z=|j%Dz7gAf9s{rwVi;4&YE>qvAzWJny;EZBGK1H4PK=EiyoR~2j}1|fqc-!}>NOU^ zfw7ca7?R)=W-nb~YI2I%cS4){saNR@A{E&oDTSd3{@Mev+FzPjDKcn3ivcDa(k87`^F`&CQ8JhOS16O_p`Hs13#*|hCX04T7 zrZfu79PXOS)J97J3v-$=rNe_UuNhN1JQ(xG!c^W-`sUj)_kauw8fs#~)_}T9iFq&{ z(1pS4r^*ce(5hJ&903bs3us|#*op~upvuGAo6F~(X|oNxFccw(Lv?Kj+=sO{^WtP- ze1WwwgFp9!3>!0Q+jq^z)U#un=^9T_v)aM1rgr9SvxK=Zx`q~JNz9FrkxLklr^}Q> zC>W3D!c;aE%Gglh+UouojPIfgQ{y>@z|9=@89FfUefy@RwsX_ko0P=oZ=TDjc|38Q z@l&BFx3&u;y9V$IniB%VeEzESQytTR2wGGco%9#6IruK0#=6+*p9|vRZH>UP+ zFcv4q46pV5$i?o#;FoPSGv>bhW^q*Znq9RGFHA5o2E!2(OpL+s#RTJ>X4OWlr#NYc zM?6fOjgwGeW}ZoLtTAQo;B_?DW=xqoz<5NBDRT!HkEk&f+z!SgYD}Frz?lDy8MD2g z190EUQjR^`2K&9Cy7T)!7&GU`CajGq9Srww z&z#GQJ%WJGwdNLv2C4@(VLeQ}jr`svqM!OCurXuJ&0L|P$7RM&FtdwFLK-u82k++9 z17im7;L_MJ7_ZegT>g%jl6KW;l)HtP`W2x$wSDbt*#~3F`4xw7Gswaaw54X|#jMCN zrp!5D+-YOVoCC(4UKm}RRBi6GFuT*f&V5d+OVL2Wq88ec&YwlOuVn$KkIKO&ihm`M zEP>B~d3Fte#sqC+E_DE!KX`BQBLIyJVc@gR03|i#p3`(FhewF4}b{fuFB2Q4Pt}bM(G6P zdD?i*6h8JI!;)s?l=K*ua!&N8=dxBB&jNVrN(qyT?4o2u<=8^^e0X7_Z|oxKI5rhe zZi#+gWpJ|$7tva-vH~Hn2^TA@*go|r&4I^u!s96%i! zabht&UL`wjZbBhxPaW1id9GfGgShMK&&UWnuu7v?Gx@dL%=K1Ne`nh0)W3K7fdSgMZ?r62a3X3DP)=;(A zU}&Xb>|3PB0g#|fW1)q<#^Cg*5Xk!aghsf#o3h+3tKhZUyvgmUe&bP)}7m8IfckyaAngzHnSBOJR| zC$x%4VY@~`YX}d%8VOp}3gTjN4UBF!xe|0UbdkhnYsj^B?ryze<=B8B(I3-A+}c(* zsEKW6A+>0Tz*)^|$&j96^-&9k6}8&Ya$!9!PSaxH+I~@>r9xH#W9cKON|&XNbiyCg zM~slw4an7DjVOlIM~brf`!D*4{<4Z{br37Crdp>{A_P{Kb@e)&67&j9RUU)vPN*qw|d|06U&g%1VW#c3K^KrxO1MGA8hogpgY{#Xl zBlMrwVFK%PQOW3*CW=XR@k<>ov39PxVTdpht^q8QC(7I!!C?;cd*IJ!h@yADq0o~) zXHJiN6GSmQKF!2O zzx&-+S3i7z^~3wCA3n@kxB~b)1EJEc5Y(b-*!3UXo~n8A^}js3diQ=!BR#Q8B4tpl zuQ)(HJ~P3Kub+Q@Dx;8gjmEEFoJUV6!)DI?&5?0leDgfJ3-H)oY1eZWsON@yxLeA4 zzE;~X;p9BjTHkn5?3QAAKG4{_yGVSGPxVS3p*u`3dij zIVkKOnMu_BInz|CMf8AFJCDUz&sjv@i-h+t4^%i5n}YWgBrgqqDn}~*@cEZju#xX= zj)Jsz#W<49;#lxchL2KV1QQ`yUkk@$LT$6V4Z9 z#2jjd!vLrKWAD_OMuTa6{nx*~`u_FH50)z`J_Rnt#lvh)JUekL|M{1{TwG@!&&Oz= zUG&`k7hit*+0|cOzqugSYDTTv&;wCGxCmLMzJB?qA76d_`ooW}#!t-6SATr@EoO$N~HK>1l+%`uMWcrZAlE!dh~~uq`fFGXIl{g`cTudq~i< zRvs}8m`rEERsMG$fBePOcW=Lcr4lr_M8#vRIh}r6XMgv}W68AGWU57{6rx2fFD{2z z^S#ASt}plb>c#I~{F}NlbH8e&nE$41A(`{lK;PDd|LK&e1l-J??l$d*4&;c}Gf9H4j0;$ec9d3@p6^jFUjtVpG^ za6zx18zoJu&xHK&YECQo57r+C^Qpd5fw&VTsqH7UjI{YBqPbyO_91Ezi<0H44I4F3 zL3ExYzxNZKmxH<4-OjS(tN;Dw*L{HrwwD)Xf<1KNSgvq*F6lG^Uw$;l{3y_gP@Os( z{VrC;BypBJUw!$}rP<UX#2!Td&rM$1L$FVHHD8M}bv*7(UStpq8B^ax_oE;K zMe5#Ti?HT}F;;$>wVoN3|N2^BhxV}Y(3AEBmf)$yuf9QS<)qr4OiybS5tn)1?kKqC zbn8X{*T((B2Uao1K@Tz<4P&B#H%au(#}`OOxmgiE^gs;B`2s`iaj?Gn_LqbQ)*Md} z4>z$Axr=C1^zAPQK=s=3AGBTaQ?{`#|GxbN`9}quWW8Jbvr4|w#SEVAX9-PZoF(X? z*dCKG%l5O^e;%79KYo7qirjiv-@JMGQetg|BZ$sfPA5SxcMoenDhUH#LjY*x~G<+6$t z?`MWUb-S>qX-4deLx*a$%QGVf?BVL;zkfixsB!xK>Q8^y=vE z^1s07DAvKv?!2_W{`7yJwkYWYm?k~^=q_51ckSFtu{!2-{j!Sl%Fq&Fyxu}_nM+R; ze*ODTv(+!NS5jkLM6wbM=&1yC3q$a@t-~8c|I2n9h(5<>4 zSoWw(9U$C-Lde|7dmRon-HRBzwmVPG5jxMy6X&wD`O6H3 z5BpXOL_9I0?Y#E!??3(bk5GSf(2^Z$w>|S;=%^_{N{VQgTK6rMg)MxU8;4TlzZ|TsH4k%h&&? zk}Ep+_0^>g`o)YFNFi8`?effWD*2yw&`&+Q7}`Eh0zYZm#mApq|HCnaOka_|D3u@k z5Nvloqp6nvv^S10elZk(9VW7#|7^gnFAtdW3%sba0a6qrP;~M?AF^pJhv}~W$+f~x zx|UfT5KzvVhQl%|Mz`v)B{@lD*2#?5nptPKH8oyhom^NY+^;MRu+9ko!~)~!x1@h5 z`(*ZNJLOoLxj12d?!lKZErtIMPsOt^QsK)*ZFPT9DnEJIa9caAo8NzZkyc*gn!9A_ z_)mBZ^sGaEOu@@-&P)KzYbp604j#3l&o7U!T{>Qrf=?J9hSXDMDR{X}XFz&U$~_la zeNLZWrqkK*UzE;`AZ$YSV+vjrnui0y)IPgGW)!{HVcg!9SmX@G(VV-yv%;prmy2>T zol{f#AzLl~KMj+&){``@JGI5Zr6%$+SUWm?{D)7g(F^N_Gx$>XN?FulrznzfBWv$Pcj0&hZ3i=dr_6+GpEC#D*xo=Pv5FQrJ63F5rMKl$$Mo9{WBzn6jU z(k4k4v92t>kgCQVDCdoKd{js@N5z+ae#K^a_0jj=zkBumJs)9j#a#XN>Z?~jzWVM1 zhokRb{jJV2-@g1i7n@F3^P*Jl2Yd<6`oSlkK6@T&RROJ4aSOZl@Y1_U7u75!pM1vo z9nOQwb+m!Wiir>=$UG@U|CK^WoH-KXfWVvYSO4m2y|JsvuD{Fe$L=$BOcZ?rt<=DbW^-FPB>IgKZEPEi8O^QMDQBY(Y;6?W0zq1cG3M@HVM=27~a6ULq-ygqjYwrru zzV*t}nQ?JooebpMeX8Mi&oHMRj-Ge|Y(qZ>Mn>Tg*_C>kG!|0P8e|y@IY^oJHIld+ zv^|3Y=i?)V@NSyjt@AmKNjH1J9PBn%FW$WR>)SV6s%d-p-K+QCy?f0D@gFQ1F5Osp z_D_0I74gg-I{De2cEtwx$>(1@|CUYRr+06^LmVO>e*fwXd(MwnU%vYD>-TIWS08;R z%++T0BC`%IG+pACh^75y#7eEddyT=jy>Xj_xT=X0!f#5&%9kIld&OU>{&%XF_ zP*xkyWC2zt+STmm7Vg@hX@NWy#}>$daTtZemT_i{wFEUC*p%UT*S~eDp#*5$ym{95 z#A1dPK_b(|O2<*~3Vf@3S`0pizH-;jf^fUik`6^R4o*N-V~%2q!N}i#@$at#8TygNf4Hg6xgyOqN}!uP&@-A9RogS8 z+U3=2kHV9GO5~_A?D&TvCB5=-D!+L5_RsHL{`IfA20&%N;QdUCU0TTSHyA+E;x}Mx zyk&$vjQ5e2PyhDnyPr$^eE#nHSMR95x^kRd{Hh3roFno0IJ1Y5SU!f;9;w?fl(@v_ zKb=I{)mK0N>4$Ox*vbzK;%z~O8m^Gw%;P@z+4Ijo|FZ3b&#ne^Z-#gatU;7wgS}l& z2?t-Tf4%zf^4*^g<}vMBDxztZdVYi^Wibst55&+>yLhaDt-02u4uW;S-bP7jwOU>X+{#=kBvJ_)x*J)J4{t`;5+%Y`j|JX>UK93 zxipp44bNoRL`ji+wiD9ZUn=XjLtdcU|KE?uQ=7L`8`6h#3P&08(Z^q{bH_Z#0kL?; zgKQ5>>cToT>W!k8M<5eD0$dKfgF(<(^0WjU_4> zVKhUoZ_g{AVxP@pE+(fj*j3W+CcMDHBq>W~yxyD7R)f3fl`34v!|%RR9~`^!0{ww; z$NYLWO;F?yvk$QDp$~pZ^q)AQL`O}iXF$V@jUpOCp)ulz;?vse)K7V+% zzT-IXn=h^iv^iP@{_pD3*>H(@WF?$~@6maY(uL)Vwnv>|#uIBTZvx#JXgxsKC+zD* z(=IBSmPZ#>N7dxF9ba&fz=58VQS^Zeg|qjOn1=J1d#|N}OIou%)7ZbSKAgw(-;d); zO)CiF1>QqYgGsHiU)rF`v*;fBZ_5i^D%eO+`z8 z1K>z77nJ}N6SDG)2tdRIK_YW51bBkE1DBVfE(mY~0MFD7sMwHoi?1*4_+rM!jBY4C zgty&y5gg-*ADN@AA~^12&)JoV4#&jsfk=@@q^nSnBet8}(RviauhXlDdX5i-ic~l1 zy$N)~i9@5IZjAg}jFo49_9sZ{0gr!X3O`QtV zA~0nWub3-t#t#5`PBiHT6dsY;Tg~D(sLeJUu_cSRW3rlU)QQc6O zBZsq1ih{E6bvhKG=VW+10N@sGCwD(+1UuE9B}HcuIkUS_agOwS4`~HDBCcvot0NWZ zNQ$*XHL915_fQYL*Ot1MLj^msW9~5+DS(cXQ!fy)neF)-`L!l1qxj#5S#HToP>~S9nR307XYUFgmE7&YC0_c$`8^ZKS z<{s){{(!7RKNAeQKNC+YxW;Jd) zD{m%}b+13giAiSeIjppMxb$xBsFX1zEZB>og7AqV-;`G#F2cw+M1(uhJH4q?c0|5x z8Y=gwY@Zsx;<|dO50zq%ait<4BzL!6$rgw$KeQwoaIfDqRQe6hqnq-|3rd*tp&reH zOaq?1O5u2YKg3t{N1qTJ<}WJZ3t_&;reeP2c3IV_FelBu+cjEMCHUVfR@8a*Md_iUZ>qbNHh67yN|xH==KNL2DVg4%rUP+4X9Se0BHu zRjO5j<;`6q$p{*QWe!4%idjUSVWO*mkn`p6O$jEylg1^}7Y*|{mFf?T%lp}1R2~Gv9rrv`A_RP5ydJP!&^8LB z*VT2ULRc53wSiSG1eVpIX3fJ>KO{?!D;ok%n^n)~jcDK!b&o~mL)d}HDkU0F6L;%O zIsCKBR7wOw{P*~kuE2(IfD{dPTirrBtKOM4v3gT!5tNMEqocTP?h@C78T1B#j931G zY2lV z&^VCozv(VcmL^97j`wU;--VF+d_f3lMi{8q|8bT<0%Kh0)ddRh`C7f%rIcxH;)zoF6?;(!$8Hu>Yoh{Ovq& z%E*dU$84db75HlH@9D05QWo1O9v?4ew@+~vgvV8i7yf+izSJ&~F>E~Dl@)bWCzT8P zgPWJag@E#CN!`-5w!c?*rx&Tnm#TH+7-{}icbx)&ztvr*+Wg{*&#@Mmdg)k5`TaND zJ#G!suW)5^ccfi$DOKOAdFfWfj(M~cq0cpl$(3Hk6TTijX;myLlcVI5m~1>;(x^yk z>HdI5+4Sd9r+6Wus#Eh)rns5%qEi4po?LET0rWh8?CKRjj~&|Gl@f&lZ1NO9k1y0N zPj_L}{XPA;o07KLr@N@#CXYY4LiFTHbK)k_#T7x1mz2As2zs_7cV9Zw-OU~?X-p)u zaQCDyaq(Y&t9eDxBWZVi>Mo{&#Vpd4cpJ*2sR(*fT6j2L7c;D{U-KuIW&2P5K5#1Q zp3182E-=)<1%W{UI{6>3&+-B&uT!p8!0P0!KU=LeEUVW4_4@nwzy5zX3ru22jy`9F zUvn|@Ywpqfn!1dBjp@*@(W-upA<(ZeIr=py*RL^v`Zb1Lzs4Nv*BDN?a-Q7vtJ?UL zeyui8){92LRvWxeZrEyLY2Ny7wXqUM0h=3&el0qtj)PM7WKN}ixs7=O70hkS>rKJj z#uNg;rbez`ZeyO71T!7;1S**6m}^b2HC8K8reGecxw8YCnyG$ytj1|b<2U0{^~-Hc zh8E0i%yXGwZeyzaz@}cPUv6Wb!v*tL&6{GuJXUiL70hEbxUMs(25T`WE(ssrYf8py z{?-5dpTBzb=6hiUQzzD!U;q85SHJ%4Coey|{PFFdfA!lhaMgUTRbHL?)o(xl`NNN| z-vH9f;x+WY`t8?$d54$D0L{o*0f z-{Ls^bA(A;H5ej2VbW#Vca@H|!z5lCjO*TnY0qrmv5zNAXU+Cq?JymtU8ceCm`j+% zefzFNa)(LGH`u*6_zXkm3wqhWqYcb9G0xbPVob;ObZ&#A@VTtq2TQX)dUpdR zCX?ssJF-b>i#x#x?~!ai!1zfk=lTSz=MLI;cv?l-ddsAJSGi?6EXR)ndW;`0Ii1Hq zeJNW-tFKZ_HdtvK9hUquLX_Kgm5}KS!^I)^03|H=nXI%iekfxx&g4&t@xy9yo+G+I z2;UhdJ}8-c=$~MfxbXzzoRxDq+)@wUsg07f9hQB@_bK|!+4S5$)mKkbwfgFG(P6TY zH`r6{iybB#V1wOj5A3j%8>lrg_S}aA9VDj?IuQ2fbwqP$JF)f&`wcqo>)dzlAKFCF z!A2BxAaa6-xDX9G#-qEfjY_uFV3lmP!%}9G4>IYf^Z~6bg!HlJw3l??0u|pqq|D)F zH0A^IY|ICTgqRQBRFC-}HA2uq1a0t@JhXcM$fOr^kc=zn!1x*S@o+0o#q(H=Yo88l z@)M$J;8w|r?!h)}`hf6nY zWw93otX_d>-_`UtWIB)4p*6MrExvIlrk5^1Xl)b_3-^*e?3py~t#h7wAlV!Oa ziTdhw?{oji`jmaC+m7}f?h8?0xevGR9#S^lmf7+A9n%qXkgPT6;C3eHAi-DPdr0CS zYgB8aOhyfMVa{)##$KyTd)dZ?HO;%|F@E?Y_q~kSl||#+m%BXYaXBp$Z2Jyo3s}9( z)xNt8y_ox((1$oN~%ih;}*yr!K9*;KgRuA4lr4Am);u5^UmJ)ox zIW*=7BX73R&!NcTdj>z~$nzT)rl~XB=UI(M4RfuAK3Tb?a^FJ7?0w-vxA88v7ECXF zj=1KH{gR8hSX;niKjOMq7QfTH0SkFgSYpTnU?ESr8jg7b7V-*-8|EAjU2i% zs(|d8t!(*gG#CphzN>5*?Ynwrt;1wBZm`_TkX9i}faQEJs8Jt;ME|&{&hJPb)ceBS zZ+=&oC+9wohZQ<3=Y#9atgoJ5X=N+@bc0p$(hiHW^ELT}l8#(kT-=821eWuGF+J+T zNFH>Mx+=e8HS{qhh%mn^i};x~%pmz)J%`l3OML@NTCNWaCRyKI&IiGI`5h6$K}TgO zZ+)pmsts1zv^y+h9dB9WeBhTE?GmvT{kvg-^!|}sCBLiNjdNcl?V>CdCM@S;xZ zxc-Z><(1UFt2@;O!{8KUEA40dt}<#j*h8)b5{g7$(Es}WMi!dT8PE$@5wmY=A7f4nRMWl+UrB*iv11!uj>cbWVh@4Ii3|^HzR3x zoHfXu-u6pgOlKJd9mAvNOfRpU1|1xAlMXKZf;RG{CM;|t@(78&8`0Ty72+bo?t}AT zoXgOPa}RiWLFV9G6LdVyb1fbsabCb{CG1k%vBn(>u+ZypkCgx6d7LlUF|{qk+QQTq z^MNCG(1D5+^KoB^2wJ-^O!Ou7UA%JQJk3pV*hPWG*_3m1IAbxw|eSm#Q|*ZB%qtaI+#W1VyB9_w5VwtYT;#X9FsKGr#~Sm&5{;+~1S z`&e73FL6Es7Hdl`U@=Fi@-YTn?Q~uvc1B9u@J8rO zfMO4=;H2nFxfh=M{G?vU9iKE3@_If*;#_GhM!yOb46pFVVDns4)m~~V>q_8vgOy)VX=pz z@~6z<7@zHy3u&wGF6NEU-(0`g>wq~i5HW<$1(MFyP@Wjpe zK()wr(|(1N%=thKi+0hkqCQOU`CZztcnmJ*gUs<+U)ry*MCN=vr2UGLIUnd|*}t@3 z;kg{TBd}Z_4{5(bbIg6_f)0l_bj*j|q3iwA%O8DQ^yF`Tm-Z_>c49vC&Sch?_A9od zm=9nfuUH8&A7~b_K7hr1a2p!)0W8*s6455TX}`iW66*t4?6q8Z#XSjuOL0$fgYQ<~ z-|!X)z6X}$tbm}lR*}v*rc7nb2f-#;Hf>9C8OyRHPeHWl^B5FFp7&4|a-lmCch z$+85yM|~BRb&_FRBjy-zwU}ko#)I=)@(|asK`)1#sPDqPuGFS1n?5@v0LXQT3sts} zx&@}RTyNxV%d!<6d>$*7W%jRPz0Thu5TZVq_W~?=15dJO1OLA)o4$TGq#nw$xaN00 zAa)|_OJ5h%)8q|APSi&bebh%l#06OL25zNUU!E7phL~f3sLQeyrFNc=+q7ws#y7{{ zHvOvb7RWKcuPs`XIy5pZ2IDG3`pL%aEFVxRIU&1aI=m3^0>^!dKhRh-9#lnmKMo&)gW$uVF{&a$cB-(bUwzF@;kSnBem8p|=j zWRzu7N5{QCc>^E5=<@|1J_3Mp4<(~RmQ6jI6ezJikR&k%Sj>YrxUPux0W5ff<8+Jx zF3hn$(3E2g@F)-7z)dFB2e9A`yuD)#aFY(+V5i72z?rU(AMdK>80Zm~wpK9}LEQetuBU$Z*`qKW)+hdB3Iju`z;k$Lq zHl5!w`cbxWleGHo!e&HFVAza!6Fuy)z``F1D^l2QfW`gCEeE*h-v|vo_mAb9-(}1N zo`Z1@af>N8#)ZTJSzkpuwRY>B_y!{hR3B5~BeTA=9b$sY`C!A$`qC$c6f-#=q&CfV zE3-iBa|M)kSk4DRBI;wYM*lEnA@aM*o6^2Z-%v&`*9Xft)-)fU?Q1$X z--Ue=Z={qjJUSGzgv^fJHb> zCQN1A*P>>}eJ!_=xn{@;5Ip{rCl~ry-KVWUIsAf$h=mQ>Mx^JNhj7&m+IUVoc!+c& z!E5(iv`7E$QVyYq#e9(XG-=DTGum$Q+C%!s62P6jh8`Au#v~K8p&o>cAig4KLl28S zlQS!5!!{T5!F$CqAK2mg`oKLRc#Rv)u+;+#pGqW7=nRO6xbH^Wh&2c-?!olrK=v>1 zo=DshJVeQmSBR$AlYzw^Aa~$Ce!xOcyt@#$$a{Tp|3+kA+=&2-wT0Fhb~s#48gEb; zuAzO-?_jkUE9^dfZDD=MIl>l{-z7hDOwM`3??2m3AD}x#U4F-7b8Y={vWj~|U^%~R z6d)!qi%TYiUAWqel&E6%i` z9^`lFtAUgZUkzn=$aZ-?F51o5xx113qxFTSiK1-AW{^oD=Ys=qwtGP~aY*bk5o>X- zOD-m(FQi(FHaJ9dSxnlcc2}u`X$8Wc=|$)2GMg+I;adSjnUh-CwELjG#F>uU)wrL= z+?3;oFzNdYugirW3X(H)Z(yN&qm4%#A11Ac4MN)p8vwA-Pl;kq8G*?+=7S*Zm=AQW zpp797K0xP+`G6%uPNH+gd|7?_ zbgrNc+gHN!zJjO2voF}bqR-gAVhqr^Vyv)z1s&MFk`9!|pp8Zv?5V=>0+xFPnta$3 z@j{7pgc-Q?Me*@HrcinW(zv&aOHm&KmR9gM-aa8ufyG|NDL(cxVBup(R)d(!r?}Df zIbsY#P7<}e5=Di*wuoxo!6!DOD}!nHw+3ud?&KVE;z@9uN_l+2=!J$H9e zAFe&|9gc$e9W?j)xX;V)F7OLi;Q3u8#5#{3DQxn)n^-eghGWeD3;9AQS@DGHkmu;gdbx&-gC3cF0i8WFM+z7Kgtvbm%K zwJz%8e(Nk>wD!Bq*7`_WB-c1`z693l!#5EnDdd$Dm_8pU68Rme$m6?8?SJOAk+-tL z;>=Ed_1IH@#h!u}Md&ZU!lw2@oKdo~eA@aM7NyoE0=L6j*`}Nl@O!U2iqh#nMz*4@D8zb9z5_W#F#K!&$EObIVdUFkOx0r3z8x3b3zsVe1 zxPF8l%aJ(wluW4E21%3J-c4%v&~xx!%Q@$6F?d{#^}Rk+foS6)^#iOb*+$#}Nhib+ z{?rEP-ydJMbBdD0_m~Fh7MTNwlV2-KsxXekLC1yMW0(qZowMn6JHtITkFLuTO%nG^ zz(SWIJ6Fn67EO#PAv*o6!A=prByd6GKj2C!=aM|KNe7pKr?F?O7{G4xP)f1$9O=6Y z`T+^ugAUwof{qJ*>zHIh=a-o#c#`94^aU{<^6$d^7CpYum-H9q8Z2yNkQO=vO|-Zt z@pHfL5ua9e-P78C;69+sc3>eBiKGoX7urFbIe~@!8FJ%H0xa|rrCRIr1}yu+S+euc z10lDahk#w^OWvQ8Dlz+Vn|m21vRGS)oRAy1u!L-S;JUW+A10`{8+*78CVdhh|Ry3xPT z8B{j)1D^8={Qy|E$-qFN9|+d<17M*a01N#ye09fb; zf_41>Sm+0Wb^QQX=m&!JJsDW&2g=IS*MeYO?*tb5fna^T0V4?Jygmf$YXMlq4+3Lz zjIv?-=R00;i0>lD0^h~Gq+osh0;^{Tj_Vg#y`|M*eLv#6$eAfvx8Jh{!wx4{_670R zbS}QDR~^oMA-ucE7ru)-KEe8V5Lnm>unvXYjPJq+T;9rU50%b^=G5myZ?XsMY1dz< zFYNb%b^S#at*%Q6)@34`TW@cj#|kH)kcr%tw|$GUktad0rf+ComadgpW2Z@blA%ai?s(2X*El%!wg$P&bl}h~=g}4p-3^ zHqj14KM2_=<8XWz@h)WKMd-wL;ajWBy*+kQu&$#6i#Q;`a!1id7+N0nGdr-bzX+ClGO31QK5&VS^%1%0ksBNmgANY6 zK?izR@D*{}A+OR8lr|y<39xwML9pDDQRS14(3L40aY}ef*87>KH3Ka412)Id542(S zG1cbN`$D>#&<}uh`!jusbEsgkC$oX{yD@ou#-5B&j6In}-TlHTizT@6q$Z@VeLn2I zQS85wlZe}y$TOx}oZvrhD!ctz53qJSKSj}ud;f?h>Aa@bDm$+Mi#>&|^mDSh7kdgP zuGmxRBo%!j8Z=;uYPqB%;)(e#a%>3}dy2x;yDUaCi#-KcKPNMIamOrJ z&Jm==e2~{A=pf7?<^vO1@D;X}E{ky`jy(lf>?wHeU+4>thkZT}L)n+`ZG_%>@%$_g z#_MMtcA+m=$)eq`qstS!pE-fCM0#0X>kK+@q3?8%)gbu*zsRT$lVJ3ZFoFxP(7kbu zN*RHHJlhCAWRep`o@2pMzL2XV_<%Ul;OC5AP!|7`P59Tvx+FX~WF7BF1RXMD_W2+k zcOQE){&agReYuS@#N+T`VePX7WB=em)|e0M5lKhfONtA+Jyx(dLy#3B&JcLpcHa+z zSL6Oy8QJ=MqhN7{;Mf>_0d}D;5tmPW2%8z71rFC4_r=SK5*^s@(-`!3w=pBj`R!t9@mQG z7u+qfe`#|=vrT@XdtD~NY5h))1*pfN^;eW%Im1R8i=E zz(W7S<1X|+GUbFW3M_O{UONq46j8<-o<=ix{3MV{^QJlY9sQT2p0AY?5APh02cNQZWbH=ahR4-zs)Z>Z^OQUM{4&; zplsxI1{QDE2p011LLOYc%li*9u3YF#-hYtRG;A%b%Q)+jUMkiHyKm?;Si&1jJ}lu6 zOq6EC*a;Rouu@cX{^O=7bYNhi150J-{0A&_U?N6C2L={8Fgazzj?Qgp=)k~22PRB2 zbYMN%)YlOzP3WCcbAk>M1PA{y_9N&@rE&H+6XcENmX6C29K+^kRSMdmgZ`c@SRF@4zXWc66ze(U%MM7l!Xb zU+7Gi8yq2m$1zU^Z(OjyKyJulR!ryzX!32((|(y}-6z~7bQudQc>@s4@ADGU4TX5WXMtfvF(ogB0<7&qHE|Jq%dr zAlz)X`G8NkO9(p1rxkQ?m(ce-J-HV1!CgYo!ITFb_i2MdYYH8Nc(u?$IJ$%m0xWb8 zmTKrAz(NO6ik_q+ZBV#^CEq`!4NAU}!B^ZR1YdEN5PZd5Ld=I8&f5Crh?BYic9lLy zh?3yl8;-hB9|n#ni?N`O3)c47Q-H;ug1Cx31z7AUSh!`EaV0k;~_WXaNFmX-7Vw>u#g)ZHbRc$P91VXF4ld$adZy3 z0W9POTSdqXU?DdYu9kF!k0u=^8ou)>%?4kw*9Kp)*CrhiuR$9q{m}*rbceCm_Vvag zG2{lYkQi@8z?`wOtJzhLza`wLcvu)hEc`wOa6*k6E!eub$j>@UE=UWe5& z>~-YU3>z7+u#u6KDeQH?!d{2BK-lXPN)hv+0GbmYFdvyqUa)3I(s%Lxv|wSo;rWH! z2k#?C1!a3YgxVllF!~qoYVjS$jQEa=hz`SjJmeqmG6s*M>IILJCN=uPbDuG$Twz3C zaMKDN=a>>aPRf;X)3foW+=C?srLuu=yfQ!{!StY`$cIYdR9V#^#-L;P~HU zu~LL49Ylrn@03ir!xSLZVVUcc$07P%n{>E%3!Cq7r0De#pAu~-;Zl4Tc^j#Zq&4wf z`eKv6Gjt@pKto3Y7CI6VCv+sNKA|H43mu6QXXr@4LPxqqcMLkv9fO|MHrAxCKFCBQ;2;U*^Z5@4a1kWD6a z0d&WpLqedGe5EUvSZk zzMwk>Z=gFyUkJU4zMwnyzTn=TV};GP*N3ZpTbGgt5nF|uR^-_cEaV^VTp|B}h5RFA zFZ;rcRHuzw(~y5$9f$k_7I$9c<%}~YusCz#O%rEMUcZbpC$Knk5@r}@PGE87Bm+sv zQ(z%a@n#5ldMD?Z#*@I>ym7~luGje!rj3wEI|Xob;6y9PeZb3Cvd z7xb%;VWg4k`z2~;+b_k>=`YIjJ#7!=J08gHI;%pkI=xc$LPijV5o18`YnP{_KaI04 zusG|I-Ym|#z~Zbc6}Y!c*mj(CfyG&u(62b_0*kXQ5fX9MRhs-h_INDDSr>MRvo5eW z>q>1;IwD^#mX=3xz62KMOHQ?MzT{>x&X<`Zg(y-yM`GSkU;0{r?c;o@qejqy<*cs- zEJi&SgRDq#zC>G#^Chs*ugKLI`W3L`KUA)u1KU#YILD))11H>|gK(F=W(W%m{R&v< zS6umreg(CmUjYmKiaej8UjYmKN=Le+BhRaN*M)wC`$6bez(T)5LWF(=Ec7e9u0y{9 z7Wx$;G4u!>LxT=E8Z}+uDGoX@A98?+@AABg#ufS%c|JqGA_^^Z0brpEAjU%%(9t>R zNL>JHN$3KEjE3ChW-N38V6o>B^%=SVu-@d+Fch+cnx5Qy!3jGUkIkV=*7NDFhverkD@5+Moj;@Sp=0iuvGbBI)2z60}7g zQfOmmi0^plCt&eDHf1AsxnL>7@Bt4xVEdqh>y)5_V@1$`OLXuRF=u^DG4;j$7Us#2 zVY@t8WA!NcPay+INAe#bl)-1+dFlOoA!H82WP?lAY=Qj2Cz45okl9M<}&xm-l2Q z2t5K==n=~G9OHs>V~h)qg6BSSPY%1pxZuDRimoiwCfRs zcc&cB_ZI2%L&|YjJm}!D+Moj)XwZQTH0Z$LBIv+TA?Uyc8g%enR?LSU_v~YU4K(J1 zWtntj{ujIiQ;q{lIx_zYuWF?n#|D~oWd0XCYg3M60}VP5B|!%lS1}*hKw~~2Bk175 zBIX12CFTPgXwbp4RWTnJ*JD1gfhHXp&rZgRl;ipCm$J;J9Otctq$A!`!Qq(8-p(%= zrh<-q)0?tf=tbFh>x1uzR6l>m<$l`v>0Qb&%(mzGmHZ34G8P_^0`@jYuM+K||FyZK zY`l#sSl2CpJ?T6tSm#M#T=+y^h&%}x&NBhyz?!hmleED>D!z+14*9OXg8;GUe~l-B z^>}Q3hXX=y1N|@PU<(a8(EoxC(%8g&p#KFOigJ&3;~irr?WX$+D8*LiNx`~q0SvFP zXd`0h_%34S1nd3+z#?`ISj5f=)^!WCKbWoe1^utBExsa~V|+)20gE>sDNBZ$`0h!+ zALKigk5bonKez=!}oJ2hcI=D6sI&cFBI&ci?W3SxXK?hDO zF{U_#1RXe11ReQiwr0{5@qAegw#bE z_wTs)i}~QfEarpDr=UZR??ruaW}@9VGXaY;lVJVK1T4->g7q^KusAaTi!+m8{mcX` z&P;-J+ZV7nGYQtuOiBaU)->ae!72C(qfE>P?ou%ysJk&AxBw^b@g0INEopi*R33}tq1T4->z~am#Sm>vi>q0+O?(I$osWn4C1s3|LLNhuY z%uqkS5k-)D9$pkNN6Nk3=SaD?`y2s_Ia2QJK1a&EowPlTIZ`-DpCe!~N4!AW&UD&m z!as*Y&xOA59(eQxcaY96sJq!0OrSB&q;2ecucUFE@0DyV#uS|<#+0K==X<#U#F%na z3p#LN2|7r26=RCoHs~PLWYF=1gpqA+5snk*8DMQbqyghW8D$Ap2w1n9sVt8G#CIgr z?=VcwL5C7m#&;x@O<0^~u-e9X23Y7wa<>RN@b-)Oz*Q*dAOtJvh&R@;AjdmSf`#tL zElKE(ghGYx2rP6*xrihk@s1PB7wRYb-yQS8eRs?U*APiZS zr-cF%ep-U%xZolZO(=OH%qki~3fA&a%a1s$ZuO*)8F588-& zOjyWb7JtZMg|;Lec=tqoDRW@*kj1!jg)9aZYno`?kU6Z5kU79Y=3wOtnFB0j@ij+- zpaVDa;4AKlV||d0C+33`#4#TkPe+%-HxyX-h6)yEafJ}Yd~gL6bl@5vbZ}1`bP#$N zbl_eWbf7*5Uy-;r_==QqA+HD-?R$X2o|2C64Q1NGH&n1Vi!;4(7H0)s=u7zLP#@k4 zouBmpYlji$8nm%ggEp?(f;OD7V~z-wi8&&~Gv)|4#+W12wR0Qt0q3B1>a+Hgh&y4$ z9P`25Y|ICDvoRms z&BlD-nv!(n`G|}NxhFr(^AT3o+>?1iFz6s0JLuqUHt67PHt67PHt4{eCg|X9Hs%B0 zl$ekEJRivfAM=4jaMF?IBizh$Pv(t+q$AHqWQxr_nKufOjyxYBiE~fJG#qr`m=<*4 z1|ReBkmn=4Q4n`#)WW$m?K~@M|z{6uNl2j&}qXeA9I8%5OW0V zLSG^;C(e$MmlIgzo&o^(CtlA}w~aY3$OvkW@0 zSq2?S6588P!p($*9*Y}j=&`^;k0o>|&KkhttO4uBS%a(}q2B|Gvj*O9an=A9_kK?h zIWZscjuYcdKAzw!Y?d(}91&waG9M32nrAR>Qge>5S;ia@J`;0pB!{>pB!_9DI?~H z`{d9CxKEBXLoiCx5%11HFN#yp7Vpk+3}r*_-*KNDba0;>bYOl7I=D{`I=D{`I(UB} z=-@s%=7am>po61T%*O?LC*I@9SG?t%bl~I=W55IljKNA+tbK)4cRGN@+Sheirvq5n z8HgZ?wU1dK);_RU`&dTeJ{eftC$oCvJ{eftC$lxieX_3jl8(H?CHyk(aDl}gF6NE6 z!vz+1xIB*-cetb*i91|iafi!x9(TCF;trRLPGO@^e%8J|Fu#P2g1n7kqW~5*3i7^% zjRIKMD45B(!vz*L3i57+jRIKQ;o>C|HVRBeVWUt?e_tQGPZBl?VA&TLJlmQCBuX2JkEoG~qa=b=m-SxyD-+DGzSq z4PO#AaOoQL^*lBR160D`KP+)Un;u7q`XVPA^~D>$z=-CFvhjv5*~jAzUtsZuuVCTR zAvHGWAT?Uhftyy)!CmxuJ{T8#D!Y8f(>ZyKyXfFGd{TqgP$ObKxQh;6L-kHNF#PoK z!?i5wz#!4TBaA-i;5Z%g!CiFFL9)}J13%E91HCTj;J6TUkb*Agz#T5=;4V7ph@5t8 zWRcTOu&`O)kWet`h@5tmO@Ck=agvVo2Zo9`+XIWUJ>E@mwnqxZ*#X|6vk^@Cq44Dawv%S)&2OWfX1|1wFgAS%L z`hu%u)<@!x-WSqB1Z_%45#O=%cNivs^LLWt8JEDwr|v^McFZOAzv%Nr4*Xbd6ifQ76>s)ejm*kY#_Sjak#;vwsRg{(ughpYn@vJMSBWF1+FL)Phu=00zD zI=A_t!yM_7j*Q14Ls`f=ObQ|EfQ77MiwarCyC@;+fQ76hQYK^_u(+R5cxcdp+elwq zaAe3jw#&Gm0T%Z&yo(aD4p`VR$$}f}2w1EmZb)MtDWo;&$aoy3Qt9ghSgdKb{g8FQ zLe`OKGGraz2O;b9e0T8Gg?Jo=;RYQv5%X~&9tUf2$U1hskafU9))Czpc1&Pl$JCSK zNk_&nD0DdJU|71`yDa~lsWbBY*ofHSI1(5LP@_bz9WT2^&SS03U;Ese>e4IZjkBV+I4kQf z!^^W|#CTU3gs&X^ZW{^v+@eH|l#bqdS;*0aEXWaOMUFTta&(2ca#-Wl$BsTGhdGZh zavrf_avp0TSB{b`SHE#qBhHFG;;iVS-X-)AXGI@zR`y3% zd!mnemyjdQiaz43=%d~xd7d*8Tb?0pztuX9;)y3HR-LrCSV&#O` z`$k}6W5clb9cgOoI

    FlKmwb<( zvuCfnQVt)Q?}>Dse8jF~xba{Zyt7d%@XkVAc;~`jWQ#}e%<+ipL(9CvJHz0e8<&QT zI($ct4f4A3#v^X**O3yub0Ir&>;Ra*CkO6L`CdA_yMHfF_IMWVz$;T)K=b+C=~6!V zJ5?fE#E$hBNxILHOUD0pw+a5YtS$aG4F6k-f*kiouArkFAv$XLDTjT5UF(7W4a5J& z%<#Wq_}`BG@V~oWjkOj>LXH+HaO?=8mHC=s#;Zu5I?06VH zrHs+zS(w}1;7aCphv`#RE^zf*I+pjc(%-A!EX3nknA_d&GPk>K4d!+a^SwN3=<|8l z(}hRhb+vxUJ9~RR&s=Uh5Ze@nZCVPMch!-|T|)$a+pu7gr0n282QB9Ao;{F@`M%HUxAMTLTYYfqFgSJA z8BQGrr;dWasl(vZMa1N^VlQy&FgSHq4Ne^fr|wbU)O$Sik(sWRj>5Q5v_w$hry|vxQE{g!*6v@2!5+OLGW8)_^m1u zu(4tItt|uP_=(?I3h%zt?gZhzggxF%H<%qe*&BVl7w)N!#QycY#5Z`BmhRPW%h~r* z9FM#Wy5sK^l6;?ezQ>u0QR>HcwZ)|;vBhEdyxst|ILv2$ob6N@g)MF*CAN5NMR(7H zVT%h5u*G56;=hlxoiJ?iMm6#7qs2T+Ihnf$^T_wTq%`?erbL~$oWE;hy0q4`iXAwA z7~D3K1LqHeZxjl_H^Sf>O@V}OWL@AJVepOaP=IgjUWM=4-2f76^26X8nNIjdDQ?$z zN%QYJ?KhTezWaQMTQF<#ySc_S-nkWWB*yg%nReHBi9*&@NBQjYax%(cO@6mR!8gKO zD(%OqvH?wMi_jf3NQFZ7<{A78~8>Te4~lM@QqwNd?O6LQR)G{5eDDr7ze%)2H(iL z!8fXA)vuP5K_7{MKH8S(Bcp>p+SKfiN>kV$EiCp&N@86l9P6rctFx}M+1xWf?DCB; z?wJoGpE&HkmoR2`47=|ojQqi{`(DDxAB2%V7N-!1=w9JR_INBI}z*ad|AJ@PLb9pig3|I)>P)SC8P?nrBN%sm6z zI;eo{K1+8LoITxlxvO@gXf6jCb~#8GchwHN93+gpYKL7862@J%1wC1bD@XYk zL7&SB+|QMNL5?Mi`CJFoVwZz7JfcEDK3CD5*ySL7w}64q)#w0L(}39JApO0H+4)>2 z{9>1b^xX=U`CJzlfE96xT@KPjqW`-bB#i!)VV8r1H5&2$M)?=yDF4E`D$qlYf+plB z|AHLlU(iQ^5BeyuKp&BG)>Y(IIsRM@lDuUVkeAu{b@wzx_k1o4Ut1@@&3W|Q|G+_Z zN5Pe2cNAPX!tkMuUBHL#j)E&ksSJGRj#HGQLwaN@xDrE0-A90qc1MAKkNnFzrqEHT z7IaiOgU@3ArHxPSf0#P@PgAk3Y~@ zsvPe9PW0IOVXmuEbJvCy1-PyXFRu-&`oO(klPq%Nl98j90pzF=l66&6A$z}ZM%RWF zb-VW~ePgc{5-Z1J@0Ze2j>yl|TWt=?(V+;R>wp0)XCVfEZr(rqxzQ}}=P>wlZMg8~ zF!=LYGmxXa8FG|2Lyq!h$nmRzWLLKGX6U2T1Nx{a8e3f641Gk`&_{VQ<>=13^f_i0 zE{ey7g<-?WmSDqbE5e3_VZ$2zjtvXLhE)ZR4GV+6ly$_0b;5!T3&V!h3h%SzsPEdX zJ<9j83u8X-xlBgO`TOqEM}~;MmwLbZXgZ>Xxnz@TveSL`Y8Y{MW1iR_Yu~?nHHnhOt-6MzB}Ih`XyAxw-$1iY4w2Bkta?#P7T6oqESo7`>{)h`X!CB<|k8zH4(D z&PUwcG!)|QFyijIVu-uLh`To?0eyJKQk6{f&xG|OXZ?i{cQ=@cxI2uvyJ0@W-C@Mt zjQ}I=-e?Eqpns-kX4dX7;_gnTiMzw#-c6N+dpC{@?!9rt*C%iA0_(~UXIH8>W5sj-@{L@LS%o)aM?4t0t$*ks3R#ZEt%ZM~y7lPVZRCn%?^R z>{{*vU(sAE|DNX%of4~~nh-Ak>&8iS)X^e-tMPTp<~c-#;lxKB*dBX2|MpA&+g(TZ zH#&&GZ^bb2TVeRE=B?wm!th%q>+xG*_^r9nyAEpg#cze-w~FZSTVdpjOP0b9{v}$E zZU2|F6g%%Z4)TBct+{>V*hQ50?=4{>hTp2n4ZjsezPJhu^2JL&T)!2D--?#tw?>|b!~gI`0*8C#ue3MU49zPCf*wLRu27EqonX# ziRQCx81P-s8>|cF`FW>XzLze%q1LVs=FH8$<9k(&!6H`kH+<5}o?>Nn_n8peBR6)1uNfB>;>zK`!4mx z!?c~&7xy{pi-&1DtuO9#)E9?QUp!3PX?<~@qrP|;wzDz?Y-bp@bK&n@Lt)s6$~&1^cKp%ru*W;$}zf`A+)(~x7gg52ke79&Rq6XYoQ@bw?P zg93TWV0BtQ0XROp{1aZV^u}>?>+K~m0kN@a{I^@QADA&P-(W6mla-hYJB-++SeMu(jMyd4K+bJEf_K%xIptt3 zY|oMdxjJfe7Vo7&ZLH^pqp_YFD#ki!Ko{$v!B(v2N<)#OAxy~85hVKPNL@KfY9SkQ zVPoUWg&l_fZFV{5-C>+}7nu^fgyDZ9g~Tq6)gX2W)Bjd8hCZ4Ni9Q-7iax3tLm$n3v4vxh!vh((T?OOc~{h|otpd&<#JRGzsGWikD4B_hhv5J|rKSO5E`D)sxhHE@AF zcu6&5yqC(e@88=jYCmT#C^LTaJ3CHqRfX*CqcHZ-W`p0~4Pzfws=+=AV;^lc_|;oS z4(y}N2ETgSZ1B5}!q`WZ(Xx*=8(cZ8VX3?X`x3@`X;t|wrJH!Z{PcHSO&{h)TH)CC z+$y#`4BH-S$F_%I+be$|FV%H&%zshcckvSkN({~ zSjmoSvYAQjnL=^osIKbTlB%g)lR*szY?wPC#k^wF2m$A)zCyV~|n2(ay8 z*!DW{&K}%*(YE)q*!D1N`>sqxj_RtABfEbQMA>*1Po;2QdCJ>1Xo zT&$tWWA7U32%I$(#u}<}rqnS?Vqx=y1C_khe#=p(f*4EW>p&Z7)EnRJ0Lz9%l__uZgZCyQu z$WeX>IqE4yAD!W%kIr0?qcd0Z(U~jyh>IdeToiq5z{*{J8&(2l{M%7JIhm$(klQRZ zO#B;0{2MbP{tYAkEz}_X4dYqreBixQYQTF5^smdJcO$3v-<- z%iwO4h`@i~%2feiH-2}0%6HvQAIvYQd?TfwH6F&^$_2QFO6GolBYsqA-rZZjtEawu zD~!EWDG9lyFxPWQ8Qy8(rE*x?Q-tH*>f$Qpu;!$bRB}so5RqG|{Ds_77`de~Jmi+b z$Svho$t{JETdGQdT>Y-TKp)jpqmN(A+AVv^tlec)$t{JE7wA}syg*cdyg(RvfkqsW z7uaf~WSEF^;_f(p!&B=(dt}+s=t8KWuXR4RN=Clb}S1oAeaOOqRMzlFm zQRQ&vMU!5%Ii*XK!f?j7F#Xzv9 z8=3xnmid6l*?BLi68jwH-)jujeZHoQVV`&8diPOTB~k3Qxh_q(PZ;*O zC5e45i;jH`dpryCr6Qfo8S6yJ{ZVLe-pgu3?|lU6BOAuMs$1~xqb3cp{@OCEza}~n ztA!D(?HK#+qcCE%sl$1})L!PIx% z*T7Nn5$nDdm}}R{{1dB%5vyf};9OzEYE`e1m#Q>?SZ(D3%3-Wl*hs8aq(Q6}Mqa8@ zVq&#SC$U->v0B$!603y~tF6QVId+(OZBBF-ee95r&l#&VT~vG3C9l`dgY~6jMZW<+N%=j$gvA1uWY-l5zMp7ER*)CgdKA1ay$N>XO$aCggy%M&_^v@ z%HdgMbI;+ExmC_8!>B`PA|-q>3_e+U13uX)1bi~gXQ>U1_fjB=Yz1)Ws2mwO>arfz zT7LTL8)w^@h1mg`HMpBk&Aabhjpr+u!Sj{N;Q2aN^ZBg7?R3F+AGP3JmCIl+l*?cZ zmCLwtG~yC2zxmWxj?JgOa)iOWJaxM6VlN}1sDD{s1TY(BMeSc98|fXi<_ zwQ^X48`Xo$hmk*M7ByTx3@#t5Bk!H}h0BM*<(tw5mk)!>uWjP4t1vigTLCT~2ABWa zx!PS{&ud$y6RjFeRQt&wL|TX(pSpS&8o*&Gzl0kRagO+T3d{A@Y9q%I1ANzoDI7?Hmt+S`^?^RRtqKfoP}|Z=djCT!yfjuYY@;! zq3KyyeYbx+UkMJdD$>Q&QTaM_^dHU*`ccjeY6Wd@N{XnY*=TN*sv99-F47erE*Y{(0idKA&i=YVcOyqT-@L7 ztP(jot3-~@Dv_hJO62IQ5;;1nL?2zLhd!bV=)<$h_&7BQ!}JTCRr>e1AF*OlYLokW zWjOA;DggP)J0H-HRX)f4LQN{+exb04BH!hHp`MTXg@)bSNEr7E4ZFFKup1-h0lLQM z%3Ed=*-G);+(_T;FyY7%Ww?2oK1W@66P#c2!qImF#fjU3q>fFv<&Y}64O8-|T-+!r>si6OTJqXn)l+01nG zkxNz%as~apTL-mG#9gb6ylA6ed0RqXo6~pO4Xmr$Y_5&n%yiaOI}SOb5y%mTLm!(W z#Pe~#U(Xk5;B(BG?Q`7k7sg$y!?aD!Oji!-9{Mi#`)xwh&D##UdE2nw^p&Hniattr zqK}{4wc6j~uGKK^S{-)tbz$7KI_&0c!?URE`pbeD0yQ!hPlFf;GNdV&!5TJ|RbkHpmg}M2-#^kfUTha&!iX z9GyX;k5UxK(HK7D=nPUh>iR*p4u!#}UF{J2H*t46|K>pZoIEj%xI0>MbD)g@YAE@6 zmRR=9fo_Blad(x2HwW71a=`q(oEcb-L5#S&k|N^nu$u$zcex`sj5}I}5qH;-baSA6 zu1gMizAg+DBkt}bhPb;K>~O*`IAN3!P8bF!tOF2E7)IRPi6)$|(VB3=Fyij!vcn0( z;Dk|DIAIu^u%kdYVHF8*!Z0{tZv{>m=Ckw??tW`*s5TP=Ia!Th?a+?Zb%#{9Zr>N38e9L%rlyUec}=6(BS)nq$dPGBj_zndj%EoUhqDACQq;~2BcD@POANM97kzYWsvOkL^c&R9gi$*) zj2Ns+XJW80Vz8M%HUX%IMdnNm1)7@WH94>)xgoVsiYoO&asm7@w-aHo9Jhp00Oqt0lU?z)~NcPSflO~a?)YM8fA0fK4Ea5U3-HZ&9P=LY_Q~AFCB>}2lWAdh5K|w5BCX!`}`YraMw`xJ1d9v7PYa!eKI-ZpUW(f ze-4BDlzxW$gu#6}X@mQOamH8o;Nw|5`>gfG_fjJ+`mL$;zL)-*Yp63h)=Rmd?>d+d zs3GUR`|>`l(~gf=r`0I2PODM!cReS`WV=qIYv1R~e-wVOPD`cTby^mkbsEMxU9roR zqc^}h4dYpQ&%Bp3gq^IQfgIz%r0?fF@7^5YRe^5a=u_Ta^Uh|#0ya*xO^B~zReGp@3;&u9|o7-wRr50HVtyLD3K#&Vk3Gn?2mqg z{jtdlcTZP;h0X5nJ=Rt9g>_XEuW~T|>VFv%IBOW3b=RjT2lKBsT@=n5250SNcQ|X} z!dZ9yAaYcHg+8jkLLb#%p^xgXc&FaImmJK$8m5jmeDUh7t9sB;3;W- z^N5aX6CXK`vV_{iN6w@4sW$PE^Jr;k6CXK`;&W}{Bj>TWk@xb*c@%1B6W4Tm-_;}M zk#9WjIp6rmd4y>bOAoOJ%Xy&RavsV-zfiwRzfjwVexYI7#A?r38&MkeU|}t`B=sUk z$1}+Bmwur|m)gY2S=obsjpH|_mpvG-Qx43kUZ)(!@nyc)Z-t!L#4v2)&yFVU zy4q;rD@PbMF;j<43?q&&tBg$y!wz-wgiS0=#wLbg6FaWJCWc`XE2F?Bh7reSvf-zl z>JrC?5yzJ`BaROvjxXFNjt?V_-w0>);jD;U3U{f^&G=q2SN=U~m}_zOy{xM3*rBH4 zU{6auoVa54KBIlVTZ{JHza0zt8w&{eE_a4);s9qXwbpaS5=Ne()QEA)DmU-%RvmTi z&_-Y*#|Sy!jogYoo~6j*e6A@8#9LL2VedoETHCw#EVa4V*!wW-ePuk@`!G20O19B& z^)%R*&bL^94Nv7gSCYkhF5PtBODQPqeaC*-`$~`Cz+u??m65EnrQUV`4;+Uup0WP^bLKK)>kS&FP zC7hV{zA-V%(EuX8`&WBkxgK(qibRfFGIBIP2RV8apph+=`}eFX z*l7Rnce9g^XWm%4@8|krq4WKXMw(zJ!?2Sv8ti0gYV2efcCx4pI~j(ZTs!f7S7F%6 zG8EX!PDrtnVc5yi=-A0Has|x>$4-V}Cvywf$=YYIlNqC)I~j(Z?1%+B8HPQmTM|22W*s{jhMkN?$gg(rcl<}Q!LdzY*vZ&4 zyt88=>|_{Ruk00eG7LKz&4G7@!8#9LEtgHMv>neYK z-&GN(elUN|x~jwJU|HeCE*qvh~}Vfeu^c=*9E{9q*n*!wW-eJ2yx z-NIk&eHebQ6S-sW^QrMB_D8(w+VQgJ_`ymX@Pkzt;s?XIsxYlJd88& zo|rT6JSb=2VVr>%HF5?X#u<3!v7CX2aRwgi^PRV3{5coX)jP#1gEie{f@ zhOQqh#e+W&!=E=^3V&Xe2mU+^f4=KekfX#ha&#n&9F;gAN2U`wO443lQu{vs{CBl) z?DHi{ub*DxQA~f{7&PVZyr&heKhNANhvz+w9MGSaKtPTHZ{#T0MUH|*^ijZt90e-q zqd)+Ce=QfE?~gV+etP9Cg1}}s{vMlMixW0` zZBLie)FO+`4#Q?Q_6?i8QE*p|j_9%3OXXfU!m!zY8sT#F5r)lfLJu}O44Yl6FE%?2 zo4xUM$kFS&>v`#Cpl#dy_C6f z6iJ;u*c^Inb`z4Z*_%VJ90im7y#lj~b%b{J$HoMrkCrL=h@T=yTOTtzcA)7_2H}Vz4k`u#ICx zj?4l2h@T=y$Ajpj-VEfZU|u;YTtberePYC5y**;EjgV9h?ocRcaqn^O-FKvk92L?c zN4*)y(NqcKDBX!1rBK)(>#Di(=FX8Lvx`1W-URF9MT{7%Y#lLJ7%^BURK#Fm z#9$lMi5x4GxzDnq6R-+6#PH{J;1h#|;mEbKi_y!0NgLU=ukN&(=IP0qP8tbYtHms`-!jL1Ej2!V(RQPb;Y2`@DQRauwRmcct4MyHge_n5fa#(}W9RT|Cl3K{&J(-o~a8Kq^-1^^w zc4VVoZ#>)C7=Id;?RQH)-1pKk9r~>m5B*lA#d|3XMZcx=(QoAzyqA_P`rQCyp3lC| zn4ar&Lu$`=`xNUmjCHzF$ty<~>vZ$}uN+~l)6M(8a&-E^It^o;cH$5340}9Fn+tin z!4a&>QNg+uN$l|~Eh0YG0K1EA7@Qa!c=P`6+Sur0FUnJo1U1>|i6BW`r-xiXR=V5Y~KR$6MNn zM?SHM^V*052Wek31jj21f1GV-9m^8tqlR57?{5Y2gE+z(I6Imb6YwTwt%-GVHf{ACRNq6gi^p$WhRQ9JyNLh|D8L#8^4( zV`--nvzO%}W)CA~-`suWa0Yg_GZC|o9w0}(56F@8L5_MK&_^vv=%b?!^pT-N9~md) zXrrT##6TZW8s)HlypwHW_O+lYhcmEaw#4jB@N-}G2ag;@bj-jWc4PK1W?+Xg1AExz zyJ5`09(H5)u(}1m`*PTg*~6HD9mWjoVK-(EV+QuH8?%Qo1AExz5n;^0&gwD)d)STH z!*uOsaSf^`XxH^hCAxEp1{T4q!j#>ebV@>JzH_GQANBNv%|7u-&tkd#2 z$By^8$2u*aqa2TQ+IgdLJl1JnRgT9xEqqgsA`9LDv)Y#-yL^1u<>Pzvmyd_lNPmB$ zd=C0ZT;wR9gFXs5k)wPLa+J?OADuU{uFB`2kB)_W7Ve5lHhQ6kJ)VWTqT1(qsrz2a z=kP4i&b!9fBE~ydvCI8?*5Ci{16{|Jv^E z+SqZ~)khdUezWB7duhbh&CB;2>35kAG7Q_<#C~jN*v(}WnKB<_7`C(1GHhqG3ER0gQsi(x zNWZ~+kTB+h48vZP`oeama_rTX0s3%0NWVc|C5$?)VLnSOl-G_|`R23aK6sWwdu=Cm zTD9DgR~bhBAiI0>Ds^dcp4WFdTdXzro;fsxFY=8pD^Gl2?zfJB*l+zT_OH~#^^KL4 z!d2FybNxp%)7@`te7gH+O+;euw?<%NH`XY^cgY=g2uAL3nES1^BIO`=*mubthLJlQ z=6-8zw{kqz_^-zrFU5mCD!gWmSFns6(Gu2p#kJ_8)ExR~xv;J(phS+22hm5z-K?w9 zQp!Q@FtST6Vi>u@VK+w>M(%K!d!`~PXpvAj95VK+w>MlIs7n>=LHD z@fX%m?WWf@buARJOBk_B6qDGc6-4Y3M(k3Sn%E_byiX-^x2CAity|6!r)S!sKceg;8H(&eRogC+Tl`RaH+NRDu;QW?g}_-vW>M4y}LguFZNlg zn&ormC)*!BOMdHehB6BGjHM#b`}ekIs5R(w`ieq`d!ACEfxaU4a?exxoW3FqU$GV) z-a$Nv{ZS(Z`pD$4uHreomkRJ%SHJZY&1%;^|JGMjqN#n3=O_pDm}Mt9XBy^viRbY5 z;yJvRKb$l5_c&*&yMlU}KF2-o1)LR(e%9pH%Z-Iv;~iS_PIHae&dRB=oz?rWKgwyb zKgwzG4$5hLpKs4X?{FBs!^5ySNsG-1!{!v(V{^jT=S4^C^IQu1JOrCl=*&J3!#Do7 zZGP8y81Y+~G~%~PNr>OV$d_~*5c!fY;V#hJH# zj+wW^)R8l9`y4ZG!#uwX^I57d{`%?p6wh{gJaPq%pd(k%X#lx`Fy-j%>H3t70=YhA zbKb8{*)^W%D9Z4aBVD%jvBkNv>l>TU<67(N>H5Z`aIICMi5wkGAjb*{Kfb%q)PRm0 z(H!K+Tp>s97ddLqy*|G73iw|oe7ByaXJHOO7;^}Q!E<(9s&X)gpzkt=AdEQ#!-&sg zo$$Xf;`4ST{4WgtSG5%Jd12J;foUuva|kvnk+Zfi;?**O#H(S%t9e1<)ygM{SHp-` zyMmT@b=T6Ok0>zfDp$t3ikh*m8o9^1>bRTzQ5b)@VvY>?`2mOU;UOU;JuXP;=ODf=+$qPbdP6gAkVRrwQ4=yOBIFpbMe@_PD@+( zUgELH5s$rgJk|uK-Uw6Vh{xV_S}Pfxx^iVWbr_twco0tANdo+D>6g3iD{DS}aQ>X$ zj0!qDn+Sv3ZazQnB{Fc=eG}8+wq*t2wqbDF%C40yu7ZAZZ|JuTa`*4%^P}G>^RAa& zYH=~|R{U8+f{iT&b#z(JK!SYV%lZgz96x=~4_3F@5A1GTK=^>o(qsMY36Y~@&DyBt%v^&!~bru8tWxj%X*1A ze&5xq&6-sCd4K;f{BPy_u8qcqveth6!T&B5$^NZhjdj1lR`*#pBnqtR3Nid|)eQLG zF#K;P!ua17IsSL)Q{>1rDMtf6c)pY=rl0A0CiGD|HtW9HefCG=S<%OD&o!FJtDk9m zAV*F8$Po=gjyNXzsBs%PYJx_NNB*z&5B*GyuGp|%0s3f5D~Gjtn>DT+*5=hFOzx&q zQ|(Z-`{<(vIP_678FHjHs)UeOeg&lXDc5i{QH9e5e z(I=e2p-*_2I*Otz8-2n*%aD;@3qwaS5OlQS=_^NtH^;uT<{wq3p-iKlDcj$1}Zi#v9eHivWs)D@_!`_#W#omWu@Bbwz&ps-t zg}q;rjI~>DBy!}+?w&3>$KHow??(ym9xO?Ly${3Qmn^{EhhguV^o%VD!iMZAskr+Q~eRwj`E`KI)A`A5jMM5t+L7z9|^k`(10U9Omjf zdB@&oWU==dPvV&{;+ak_i6O#>A=;S4GhxItyNVq-QXKk-jk3?T`|tiJl)OBm=#Bho z*yCB~EAMtI?x!23j*1PgPwt)zbyNw}SKj%QAMVx0Js@vo56IhH2FP1!GV8BWd)8kA zx>$b=yJG!S;)QH&>8~8w;_fm)Z;hxxj!HFoZYIFswX%wsmOfmgsCE&&a=}?Y}4Eb&adt&&@PHc#eiURPLVff3AfY^g!_{&0j{N=_c zUOAdlgukrf34a-ezbxv;Uxwi?E1kw)hT$)(F2P@xj=*1r;V@$R?M zKE9XIW_R6Z)v%{)Yy0lO`4nr*s`6FmNn4Ge@eCBZ7(?kv-mhBVn#BZsL^dpzA-B!`w*Sjjn?nzjBxx>B!nOp3z2*j3RPm zOps$2yxe!S%TmPL`@6)0&zT#ktpl#yF}r)e7o!~9{nG(6cmITO_s=l+X!pL4TVM@ab?z3;U)XyH+TQ^ zEZqGwj9P;jA+-i!)EcA?Y7N4uHK;Oxx`*0(d@u25WQ#u|TZ1&&`=!xYYa9A_*K_=t zJyZDsI;t<>`|f9B<$6U-@cS_RQL})NqjLEx$5Q%Njyk5`_hIn+mNWc541T}6D(+g_ zT@_z>vp4aztB*=p;P+wh`yE3l2luA+8{C^V41V9Xgx?np!tcY5-jXA}h8$}OK5L^= z9Qb`0{QmbEKlv{AQ1-hWi1N9}fEfHfF9W|1gWvD23gz&ey^$CA_@;s4<9AoZ-5;4c ze0&%_es@){uF9XXu1YtdkCrZSta;?VtMaF;tC||nNBL9aD1VARDpf-t3Z40U)bePdmDKYNFl%(V(*uGKJn zW3HPzyml&it}yakzY84EWdRrKs&YN#=#n|sRc&LegVKbot4t2-ATrNBkN>gHe=*nU zXBj;GU?o|~!Cb4pYu{KS-t>c&AtOg-5;<}p$PxcTj*K1ls$LoNQ5hL>R2GRoDiT2- z^~#`+7PNBMH@38>ay<4{B?-!5O+u~1k7uzap`&+wa=kJgKQfJ<2<$Ao+_#f}|k2MKdc71Xs>dIlj_35mDM9hZXbP=GDnU=SoG1-K#uN?Lm!nzqL0dauN+MS(1ulJbpPI3u)fbXpCWhc z1OpopcI{P8p@Wy>e+yH1FJ*0yKicmybH0-kd-f_3A&%M|3RiEPcH=9GKJXO_nXca2 zM)-;_d_|ET?>Wr(9B<;ibcX_Z>rs@$p1qDl?z=C8V$a_0Q26S%;?p1YcUQ7a%oIk< zv^x}#V@*F-=WC!5!&h{SgRfXBqItOIsjE1R6OiFhP!v1CXP!TiDYI3)ml3rLwLv z2g+e>RL7FUOku=Kx!=dLICHPcD0S2Up|a^i`=&a|gdtl8D#%uP10B`(gpTTaLPzkr z_D0Q?-)9ahO#c2x&yl(RV%fh(U-ZiL{M^IFKKi1opwh-R|AjRa*ZV&68d@JVHmA%Q zitF*7b4a}Bj5~5GL%kG;_R7v*@^*ti~hq4_V$@vyPI1m$?x*tni@JZx-S z4>_9uf*f%@^byxXj^@80#|F_~o3o+rU=JG`*F%oD9{PyuDaXUcmOoIA3VnH&hm9>Q zr5xJWm7m^q6~_MUbddcUhK;S90UH~JjZKxQQvYpu2mCP!KHnyq^Y;5Hg zo9BaB2z9jKMfaIE29d1wNwu0hL+)mbA@5EtH6MBt<*|6oEy570&=V{=)UI_*@$Vgo2kgUs>GjlRsQt) zo_3b@pu!B~D1VARN`okeb3=4rKp*jJ^s(gs)%gn&EYIMjI-!6&5viX=D75gI;#Bx z*-CpOTbvr%NB{qmB13aHlpvASmAReDZmQ& z#jcI$?-hvhxhfRJF0bpmot*Ny1{Q#oH4?ivqQ93%x}U2h6FEvK9=&C)YCPt<4Wj{T zu#4EW5&gXej_|oU%f;^c>$~(g4ZG_v?AKj?!|wVEtFVRNtw;o{EC5(6PVCx<6kd_T z{aix}(MMxk(MO{R(8mwvm-HLVFBx`iL>Ti+hFu#G#{80Dw_YWT`6Xe@FBx`gio%#* zGVJ838|u;kG4!#)TlbxAh!q&~OO{o+=cZxIFBx`gio%#*GVJEU!!l1i3-e3*T!*-P zj`<~hj`<~F%r6;sbKzmkF9~CQ$*`LXuOyoJCBtqmJgk7`$`Q}TZd68wjxwk0{Wa$E z_afL{Mgo{4AkEEUOpe`7v{^My{fIa>_lY%vDM+2XLv(Ze`f9CkT+*gY$1FWB!K z#@S*RXN$uwM-Ss{aoFYPVVo@vyBs}?v&AsZ7KiD7?RV~TW*?^i&2(ZX?RW0G#O!M=(Er-++~=t2 z9j5=a-?`7x?;J)=?=bzZ{my-kn%-gh-%9Sj`@DVhmzv%%YI=w1e+xBOSM@t%?{iUC zA5pH$(L2MXrZL&|QZXL?(ss61a z@Ovh_N+JAUWsLVsxX;xfaP~|oH+)YRey}O;_e{9ISLG&uui|7d>S+5eb+lpB(GI)k z6^rcec}3VgW2vbqGjx4koya%$)#s?A4WnmonC~U7a_vxAGv7;Gh4)gs+FkdRmBJ5V z2e-bsXW=fPFzylx<1V3LzLyeWyesctZ9U(<{H@bp@Pk&fd!}}<%h#F+1Akta!a7~S z#a&lz5T3by67*3sH|w;05Ia;0n{rT-U!f^A`NQC5mHxub!r*45so`cz zT_Z;&W5|)!L5@mYkfSAeIp)Ga^zo|+lvf`$T%nKpNzg})Md+g@9p!lJk2Q+$yN~^` z1_nO&*dJXDtsIa2QL#O8RLqVX6^0{6rFrP1D^!uAf?)KKXvk66jXt_d7da}VMIRNV zDhE09629cjhr!1?>AmNieU6-Y7&-G{H)p;I*PAn6>)}1;90niX$Pn~V@e%r{kOzHK z(u+PSFhL(JA@ost1AY8Syu1GD$3`EGg+L!Wr02WL>F6CWr(>A!r9(~LOUs`3Qc8yR z(p8*1Oa0iqm-?}JFS&ExO9x2zy{yd5&r!!6X}V{<^F7+mZd7JXh7lt+hWVbo*KSJv zX_zr$bd5C`#=Ebqk9Qx&yWiNE`|iVd_qD_F?pyV|`!L>p>9EJMP?M0+r6wV(+acsx zlWXg^Yoipv$?Hu=ol@QJddI_Vw{mU#k2@av9CthnBZjS$hZuGgnD^W`edXY8&whiu zJ*x`hZqH%lkblH!?%r?oHZg1%IpiohIpooHrip+xqTRn&k1XpdvwLmHk5)7`Cr*PLl?5S3oCZ1KH0*`K zBjkwFppQ!Tm7_s{$W{_c3=Z6VB5>g7I2fi41)t#PVKW))XO^U=p7xE;zmbt8gx{d8#<~-0v*L^&{5(3eU@EK`CV)IiYV~? zZVx zfP;j=LGm8>{V+I4>5`+5E*pDrkWPBxAXON`LBil5-J5}r4}%xiSp_c+!^bxj79U@V z2Ol4XkFV^XbK)@a)N8A}`y&h=zcI{re{{73K0XW|UkVBzABK2ejE@h)$JY{qj}ODg$2RcsVfgso9zMP*0DOFywzK*=>~4J%U%T5r&+=X$ABK;w zwFUo?WqLeIotno_|F@sLJ|#>Y)i-f{%EpxA7wVfpw)!TJt@=8irDDm;Uuw}&NAaQW zZ_Gz=zv)I2XA7;4M=w%?pN7FtTN&`vF!*UzRm2cg^Akga5ksu>Zl~y-TPS*byV_3IhZxn$USBa z4O2&@eUPJmgYPr1KQCwd;V<+1%=7(K8q>AW=w9Amxk!JPUb6lky<}nZk_{u4Y zMJyRcEE(M;mh2uAV#zSLUQst(FAT2N-6n9oFu2~975Zpi3+t-4&$=oXd3|!U{jBj_ z;zTdmFt}bLx``!Ax5M?q;CkB%%0Vw#T@&<@4TI~oG2wb)aJ_akTyKrI*va@f`=eYW z`=jlP9GM98(fKO+h>xR>`1sf6q>plu*!%c6b}~M$9CdJg?A88Wh5r}JY-C$mMpHN-j4H|63j%{~Lz?&7I?a<2m@>F#K<=hxp$x{BP?X{~Lz??WO?y zZ>3xK-!S}d6a@bphX3vK2>%;~|1Da@|JK!k{|&?c<`wY2Vff#UYss~Q;eVr2_}?-? z_}?)6Z*LU;8%C}r7Dlcm4FB6b9Qfa8-Q!uf*JwAcsiVi4`r1<2GmkU%#*(O`w%gZl z&F;37?mpizQLq9%u!1Hr&c2I+(VQ8$USt0;Cav4)8>Op^FffKTpx0D zw2d64e32s;j~vYhK_4}4E5|SWTcH8^s1a8={^;Mz^(jXa0nWQBq{F|3;or)v>)>`WysN0tH{wSMUIkW z*B&gnbnU@X8OqUH;Q8z~DA>hc7R2H&!|<289#%QJtnvPCyaYMQuOUZ47;+TkAVUoK^&9L9q=e*9$^{&M$WAjb^vea|yuV8(-a z5By~*E&S!uT*%QzM;~n@^if6(eUx8Q4&%X?8U8X1e_3&@wz&Kn>#Ee8ay)Et^N@L` z%|qtz#ew;I<=5_e>GaLLzrp8MNBQ{gdzroWjCtdl(eK}f{rmf{e;w1^->r2R`xl1& z>s|%yUl{qTQjyrdFy6sNOkX(~n}Yod!~WG>f&B}^{&jkU{R<;rs1*hKSNinmqeH*^ z`CS_=1MFXz&r&1gSHJze7B7D<+I06(mgMMdYlHilDl_*iKaBit?K9+eOZk#t3xglz zk>Lkntmj77zz@R6@3s}l9oA|NKL~>#)bayA2xEVgx`rQw!4C>U&wJiQYM$kXxj$BD zboO+oYVMDgJ?r4Vp5=F%?EWZEbNx{%c=tzF0I<)?(_DM?yK5A&S1lLh$h2QOo_5_I z9pbW{%hRyW%hMo7;nC#>h5PV>#u>p6>QsOqguxH0yo4Ww!4L8%@Pjb;LB|^KgQ8mE z=`i>~Q~covVZ_soNq`@O!4I-v@Po>|;Rj*xgN|Z|r^Da}rB(2QVfexBhtLmZN?2F9 zeb&_`f!}?!Nv>e_#C3cGKPc@3KWMBK{2&Z|(9;pCg=wD`G~MT000P!wIT&|W=M=cR zdcMN<(r7C7dGpP9&z;YF_gns>LYXhNq88?@57Qnr^bvW>mmqKX67<&foY;fRkk8^g zlqSq*4;s4Xv!vkrp1Zf|y!!?Ldw!XRBEJ!vJbq^HuOgQ78!OklvX#-|J%^DqZGY=zYzIz6)?+GJk z-u(vT%){U`YyVJ=ns2U-3VW2p+S=V)aQ9Z02~HCRr)ks+d$9IeI87LwW-TkoQ7I>K z#PyIPu6Ne>ub2Rwrm-_{{xCRAr{{2*FgQ(b4^Fc-8|C-|rzuU%UJbi@wI;8ogK%QEaGH^09zU%}nbeNHYF2A3-0giF;550?sqORc?(ca`g5Uv|wD z>!7QRzjDlJ$)y?*1(yngOZ_Q`Mdt;C=)B-=5gAnu=L_^!IGbqH z73TqAoV_=4fU}8qAZHU{a)w+yazqA@BPWU+IVtvK2A_SI@nr3mc0?bIXGI@v2K3Qc zAo|#a6L)`f1(|X%legw`X7YwHlXsXrqExAJFq5}K9A@$klSf3QkfUqUkmIjsC*2M~ zZX`?|QF#RVh}$AZ+!lQ_q5^%yZP7>cPdUsT{(0mM!{iZ<++m~J;1OZ)h%#01h>g{_ zay3 z+D_m;{Wbh*7=E>-i(hRQ;a9`pKHX4{Uk$^rZluYzO=0-ej?eL{Z6ml(7~ChX1@{Sq z`!wng?h^+0`P*6LwXqw+q8y(0bmUJyCyabf=74-o82Ow=5t7fTN|k(082Ox{6!JM6 z9fKUv*y}55NrHn^x&Q~sguy|=;2>3n!$HE}AXOT`LBil58?~k!p7+#71qbPk!a>5| zAl*Fx2ML3NRM`Uu>7D^NNEjTXmM-ce!r&mC*uX);;2^mQI7oL7z(K;`AdRksgM`6B zx_bZ)5(Wo}<-kEY-hzXK!9j9waF8%KNTW63AYpKjznxWH-(5EL@ho*P9RAW&Vs+HP zE_UB4g3InJ=__ro~H8Fu}C z80R=)oZ}3;em{(JoMG4RhjETG?E3w%n;+jqZO(Cq-JC~9;GE+OyM8~6bDUwj(`wIwM7n&Pb7?Gg9P;-lLDgRph8U7de`FiyXBDqL0o~f^uOBrZB zN8Qc8k|N~DiXlgZM#xbsBXU&6jT{~IB1d8%$L|LG+-I&>133z#&_`(j<*4$XzgK&> z*v+LSZOKvQDh!pO}HgQI7$Z*Hza19Ef2;53y-z-hwZG_^LvX~N(%F*7(# zYzR&h2B&G+!)e0cGz&FYS6%CI?LlQ8aGFlz;WS}znx>?}X~N(%WdPtbVQ`wZFPvuK z5pq=8gB+#Yu3f7l22N831x^zNr}>v1xwff680_-~O|Z{%)7Z5_I^`fYw`z1aO>OUR zn(mRfd7lQJk(&!6H#ZDUlSzbMh523zLy>KTY4=?fFrcFvSkci>a&tW&xw&DFXCXJ& z=g7?sQ%8l)$kCDJ_xZL8A_G^pIMVfv-2rgVKN{9jbpYS(vLmtM^Sa@yk~W?%m%@9F zBk`UqX8OvpzxP8M8%IKp3RbRSxZ2Jvn*@iL8 zc9=G{d#jLRm!jX_?SK$DYU4wW#<(I!t{XYxNa&-3EA-JJ(B)i}s%c|8uuu+W*={7$3S2>tvn%vo1q*w|PYabp;9<7gdnG>7@Ft5Rvijk^otuB+Vzarb#q z6mer1apUfSxceiFxN&2N@BSDSRSx=7B6{?v3?nAlT@cE_{i}VKxlCcqWg6ytX|dh? zHu{Wy6PWkXAH4gm>N?*`?bE!Ma!~#~=E$uL=&Zl_s2a@f`YUGQ?~&Ilw~>ECZ}mg) zeC7IBe?QA2-`_3Q$NFm-ptsB#&sd#)b>IW{DP-)(xJ7+kc74j;9YFV9z6>ihS4 z&y8wA-b%%JSJV^so-0l9yB&<*XYT09XX(I}&(%E)R?1jR+gXhvIb|G?9V~89rXZBV#hR9KkA#zk>h#Zvwp^q9$l%tDZdFDFc#IzCB z7%B&Mr?16M8&QoRa#Ul89F;90N2zAy=vWdta!1HfIE_B4F+`5c2KuPR5Pei*s2m;U z-}hIkm2%vfl->GvT#2l5eW4ihNVpJrnM`%*q)? zzG)-uk)yN)a@ar9-@CoYy{ifu&_^|f=p*;RJN;Xw`F(%Z81@_VCojW|j+Sb6DU9)M@bgPuJ&`%Eo+#lW6Jgkck7li0*CY+_jkY+@KTv8kch#2vrg_q;X--b=*z z>b&wgY+}(HHZcsFSXK|4SgHz}7=}%3@Lr$}&Bkg&A+1q%z}em^vzT z_qsBYr_{05W{Ra1!4RdYOKByerUp6Lx^f5V_%5m3K?g%+5p+b%d$k9iI*XX0d=F62c#pHLJKumr&j9fuS zHsp81$nSQy1hI3OAo9CmIPG^1B^_k+%pVZ;>Y>pA$xYw-a#kIgMu}M-fI2C6`Q& zB8(hGT|49`!pKp?9LZ7CtwxR_3_f0~>f>1&lyK}pSrv6u3BlbnQ44hxA7`y)Chy+w z2vQy8LV1=Fqj&Fj2ZcI{kKer?%~3~<2t|(g_}%+iVs*4jRPVd0|LEGVj#AapF3RM) zP3izMk5ub0G1FRtzt1vXd;RZ*DOg+4^bPz%$F=x{-6O#}bYTfN!`g~oAbz0?JbobzzfddB(MRvTqfgd`bME?_wH3QZ z0y&&>*TIvu6`gqL7o2mqF+lnS=iK!)>OeWT10`)R+h&+LYSy!|SzD2I)ltXs%0}PQ#%`&jdWev%qdR14 zb`d&SgYETEYgQFgM{AT@0bqz90qUCa$=vu;O)6fczf&s`y2*uFTD$I55qo}&4Ck!!3jHV z#6EY=#nHzGP1+NZi^o2PVV~<<$3BN)pUa+NpPSf#eGbDucSMSP4#Pgj2(iy$?ER<; zd%s8sP8i1CuRM&s9|k9E<+As~*!!EG&7Nt_&fWV_Dfa$SRd?@)vG?l=WbcQu_bYQ_ z@7H3&-rtQqmZv3;zFwYd!@|g;S9eVw zJ&Zj1YUGr|y7i?5k)s*{Y@Rc`1gdA<^Ym3V|l7}nTM;^VHggkl}dAOx9 zm7^OSc)q5Wi;;)xB$_;2RUYKw!pNf+Ig>{ZBM(<-Kpt+XSLFD`oWKrZm=idRc&oBa za&T~#*6x+*kfU9B_q{zh&U zdsRg``(xFie76HQG5vX`4#-jF3^^7J@%Qq;V*2x_fO2rp<0@wL=cVP9gL@wPF84eR z)1OzKh#aK=kfZTK=p*`q9M!_2kMiE=qoV}ms1}xWRo)wYl;%etl~gJR_dMpvxaV=0 z{=8aP<=~#jzRNw2C3CpvahU$RqY31w3=}!4g+(6?qd_0hMD$TDEOPY7=%ZR#^wH1@ z^ikd$eRQ0n9NhERJK%1oVfyn8Hn{s}Ljn#~WPm>p!=G1aia!s-pBE+L&s$aa^Dz8* zEu;AJF#LHVKJe#ZoQs#v!Jn6b!k>rX&$HtA^Dz8*E((7hhCi?M6@T8TIQ~2ge_jd- ze;$TEk2T@X!|>--k>SsCtN8OU{CQO<`16$_vaZ^KcYl;N!=D$WkUy=HoBU}Q{yevj zKM%v7cglo655u1~0Rn%%>tT_jy!YMby%qd<82-HJr}*F`R)k1cDHUY{CODhd0zkVES@)ZN09HO zqc+xQN5{OE%Jg|JrNZxf$!cK7W7OJHI9xoS^O^7OF0b;-y;>-F{Z^5X`!ch3?R}M@ z?#s#)zQ3FCt4a=edj(hC(#7t}WtQ(6DkE|IZs8X4`|{Dx)C3pz zWgPDM-_qXh%SS&`md<_o=x1u8vFq>A&(zX5>mYqR`kBI5e~olo3@a!f&!n4E3n^kp4-Yg8BU6=>Y4kK@tDIssxNh*1>FnIQcv)p|i2G6dl z0G=HN&u*v*JUg=n&kloU=LO-}8w_#nRmV)&=M5}S4*QvE4fzbF6?k?SJi8Mrcy<^(yC@Hy9R|;uPPjW-mxkEJPd!{2?72*41Zpw3;sL|e_nbBo*mu5pNGM-o4$rW55u2# z{EI(d(cM;weaU*`16v|`13IRc~`#NIHkm+{=5;>m$xrThP|qs6?>Jd#SfMUVSkiB zxcsUrLH&8b?&a;#d;NKV8TP8)L*%Gr5jiTsRSssv|E>a;bFeJz<5>zyuI(Jb7votf ztx%UEUH7}y8hroW;=Br*@8{yhyuVy1>mW+VZ^VoJdo`Kx8|LLJFVVhia5CRDFJCrC z`w}l!4)gMbVA_{>v2vJ~ucKP~vSFv^nah-7U&632wK8H~swBa_gkfJ|^4OO!>`N1C z9?!yE-8-3hJWE+nWaF;xz8e$cbKKRv$QfG~hOI07#nyG|hph|4*2TQx0__>{8->Zo zHf?{{vsXD1dm#+JU#XDKk{M!c#9zMqV}x4X*CX3CMHxqG3aMdgTb@VRbN6T>DhC8HdFv57HEY+@LkYbA2Xk=aF# z3VD#DLK)Umw+Jly)drp&So8R0+6pJnT@1RLIeR z4{~&%f*jE>NbTt_6iQy+wYo}s`3aP8%ADgtzTD;QmW*omS(+j)X7a=DvZ2T z(E@p?F!EBVlDt$Hd8s;9$V;tVO*za*da%+`L3&_vyr1w0(V{gt=#eMk1+C5Z4cswu)|+^0oB_ohk2>B1uBPmsiokR z!@N|T0C1!jIvgnsj?^}XBW-L2a#U}7_xV~Gk)!2|J~}o)j_PgEM`fkxqk7wGuQEe$ zq{eE%kv3{WIm}C~{Zcv1OYNvbIm}Bn6$h>y21m+t!jZz@NE;7=9M#*Rk6K2NBeQ`% zs<%as>TS_S<-6#kdRz2SDarL8xd1rQMw%#xd8xIzDu;Qg9VsEl8XOOI?4BezQsw+` zq%b&A9)MUa3@%WY2wY&TxyZ37hvzdd730F6cTW=jJPd!HTg9K(frUTc=os`-y)Emi z<9*~f!hmi}~xEtj#FSQnETTKQm2Dy9@hW z?k*grKaXypkEl2L=unt_UWyTW)d41Qbnu59g-pm%3IKgpT`S)nxL02Ys$2irD3yeXii1&oQH{&oQHH*j=Y#%qRhF#tnMs9BD=gT{XUEUc+Zf@A+onhqWhF#tnMs6;Q+}yC6n+v;r^wnbi zAU79AZf@A+onhqW!pO}HySy`u+}yCsJF_I@=7wF~8Afg{jNII?%R9r!%?-P`xiE5b z!)|UajNDupxw&DNcZQLh8+LhT7`eG&mv@Gdo9n29+}yCsJHyD$4ZFNEjNII?%R9r! z&4oQ|b^{-d59o8`=7wF~8AgBdu**BcI(@(Ps<{x@tL}iuUUjGF@n!wJ1|#0T*QgTY zC|t#Vlvl=Hl~+FeprfZYE5Fgg7rS|+9b4Tz(y+@r!}=h9@8{*6eeT!IBZXB7#dm+- z`ldej=jM@yUEUd1WX9j?HpGk7T!22xDlA}*->v7jq>-pwDV%Ea^&d17C9P~gB(2y za<=~FP9e3Y6QW$p}4%45PNJoxR zbI4IL7dc9TB1bK<=%a)ka-?$fkqJW|C1ub@2@dp80zo+%Jagak-zt3%R+;KUUSj70 zjsG26viPV%vitWMy?y1V73$`Z`g_cN8iqgbE~}eI>T}F~3S)-&F#LH_fbi!FU9LXD z@aHjf{CODueD_;jeT3o9>-4~%mr=l{?z_wp zZVDuGgopWFy5EZTQrXR2rwbA9o@oaXvxmV&ThHvHPCxKlWz69v8yWZATU+DI3o08? zq4Zt%8}b3hthK(&oWQV>$b477Rj7ORV19N@_t%(`e^(!`sm03`}a#8TdF{NQ2GE{*LG75=9^`} z%pZio!*=B#a;(|ozJr=1kRyKeUAwJ~74P%i3=Ek3shx0k?2N5` zmm1A!NWFQ#L7yv#<@uOd)8{&L;B%az^*QE&hB3=FtcnKyp0QPtJu&CfiFZxzeyb}- zRX1?Gwdh6fgzt$Coj73rl77UZ4|Bd@*y6^Ol2fP+2wNP6EiO987Kahz zMAwM@!m!1aA7G11vto*`i=9=p!`?tUtgHHQkRuw491Rddj$MRx-}9R5!Aiu55&M-DB_?i?*#V#-~7D_C@)sy@#Sl4zq~vrj5wg^oH!s%-&48?IWlX=(Go(A8rG0w zjb6yXoP@G)%!;U}^!B*VuhtE4|6YAN{O$@f_?+j-xh?l}mI`3 ztW|r%S)2Y0XAOh1mNJ5~hQV1ot%b9OkuTZt@3k+nV)Bk*FO<`bNBh$sFZ^_S^d4L8}HxSMQmc&$&SyllVRA& zs4W~l42~YNfTK5k2>%uaNAIg}^o^NSHtvh*l%&Eh_Ox3c;;N5pJU!|-}QVZO#58w z75lt_ZuePAh4bz^X2Q>GKo{So@3S_(3Mu$p&H7^6=V}{y_myubhdG7DA84N|Jy#BM z3jb{&5Bi8&AV^z{Upc7L@4L*e3*)}5VcO?aB;B>KlSwf8lOuH%gYh};^;mnT_IW7ZHHWg%80e$3 zS@cmTsT}4|8f~q8?r2*%%%K!bYo9C2MUI-1SXXQMx$;(GgB+ENAxBLd=%a=R_D9VH z$Wc)~`=c?vtg8ycm7|74o;flPX1w2MaN_-q3-=vZBUeWkvBAo@?mGx0-Y@DQHrR-i z`wqg04NB7x8-(FkYo$2;EkDz_#(npdkzw!W-tIeS3=8%?4152$W2qbFxF0O62Ck!{ zFzkKPBC+=?bG!NtgO7JYg}vYCn7f|q8iS8_pAz;y40~Ui3ws}iy|3yEdtb{p_C5@I z-w6ixJ`8)GwAlMF?0u7u;Txsq;NxM~`$`9~_aX4{P6*)Rd3gAE81}xY;@JBz?0u<2 z@)mhK?0pz~eC3YUzJy^n>bN+3?f+6Fca{vp-dBBqy$^$rm+r*ghhgutlJN1mnXva^ z*!xBtVeiAR_hnwO_dN>sevgN(>wN#(`_i`9`!bQ(``y2VJ_>c&=ia|n6P5MtW#-74 z@BXdpxAsbvgFdf*qaufEuPS?eVCt>#+|OCNTD7hAz5&p@gUau(?`|28-z^nRE+~v# zP^G%W8ezm5Wq8O1b*~n=pfF;MmfBf=^G6SR|ERfZOcrrjUA@F*VZ>!sB#`H??GC>m zhTm^1aON7O->|`(1CvUZ_NbbtIdfcb!JF@p&~jvlnU< zMh<7c7aW-r?A8a@K_&0VQCSOeR6?K}<^(%pk%N?HRSt84MLoovSp)ZPdDg3sD#qX- zF@88m7#t*%2nSg?`qjrqpIkX&z;KW-I7mAX4iW|jse%*^(y1C8Bn%Fc8Ha;}!9jL) zwQ`sf?Bod!Qgt>QBn%GH6*6#;FgQr9uW*oD4;&;64$_ev93%`5vOBr1&wJd-)yNui zf|a?!LBil5oqoVU)|PPhc^EdVV?_1VF__=wzMZUJL(Y#aY4_qUE4e#dV7A?Uh}<-` zxT8vJahP&sve`4WTk(9m!1g|KfeJc`^k1Lc$RBKR7`8ZSfh`Wh7Vpj`-g9n7Iq1W! z8p-@$7(RJ-HYtbszo-wkxN(fw;xKG+QV<|i_7X^i^H_V@wMwy zIjy54jIaTD?faAZy-&-TdY>?2m&!7z_vvt5W5>ER6HAjcvU82;;o0@RIYgmDnIh zB_elkt=;eLtuW%UO4Q*38=-smRv26$(+L*{g9{WAvyWC5q#X88mH~hZguw+WtA`7O z!3Em+aDmJ{Tp*0NY@_Aw{#Yp!a(EwoLt(49xO<@@L)KNtlGkR}9sn2Uhz~B1i-HU6 zUY@(pE46|Pto%wjxXUj&{=fyg9Skn8QOd~i=#}XX9^$ev;<8*VT%htWxWG!rkfV|( z_D9#6qmTO3(Z{1ty*3Q>R<6|VGOuMB3j9_jZNv~^_^l>r;J3o?TSe^TF~i7*wc8Fh zKV!bQ$${FioD?>!IziT2MwUI35kp7O9CTD!E;?!f@}4W$aRQ7DN%qV4D-+KE0Y=~WJMOD#X*neI*^o(UtKsili}CJbI&sm9^4ok0|8 zAV*XgIVwX&j>>4!M_d_w)ML!Ls>hi9Q4SP+lmkT{h3x1f{;VA4mbxcNzYu>`4s%QG z1pGo6eqm$0t{h?bg~B)d!a}+$TdM`VRhkTcUb*sp2bm`La~S-2_axnSuzQlOE_YAT z)ny?kzGq=5@1Pl+-*q+rX76y*k%%ic%FMsV9je_*Rz~sgx-j3%V}?-SukWRiioBN} zwIlNz`s7Y)eJ?-s$&H!7CzmF~Cx_vacel>>omS-AvDMwH-J^p~&ZQ7n%*~+Rw)EYr zjf}%5cj`x65$1aC_?&gxQKfR|lbbApPYxrlm}^9i%n))^5W>2uxZ>KJT2S%Hr2z2B zr9tq?Vff_k%)uvz;gjdil|!H0Jv#X0T4aeU!tlwJzL8@L!zXu-4nDaS1blKBK6w-b zIWqU?qcN`NlDVcuUq%lqq0nD^J2Fz>H)9q-S(B&&Ah z&YV?1x_=uDm-L=1YJA6BDw32N-1!T6Gly7m7LTB<}EqL3F926(^}4P!Z^pNvXOWz zjCiYJ4bE{&gAi|p5pOl=k2o~!`1tfzaRB=0pdEd5G>bkegMVP^t)!}-tHAE=kNUCE zrSs9NO1txd@)c1Pbl&zrj*;~HySZteW#p01afeLL(ha+Ot}6|}+Ua8IBf^0`+S16e z%j53f+cYjQ@&cu~;C~q%;@=q}2!^UO~u(2}{$gw7v&pU1U=^9GJ$T1fFx~`@XzU#dO zl~dyzH^NkTi=_B_+~3nPr%gUrLCqIy3(ENm*|jAlF1~AHYt2|F3kHYFoWdExd@mJx zunsD&U>#JbzZRdKVd%HIIpt`;-uK=28|I8k zdAOcCQb&#sSy zYXO`g49<}Ig)`L631E&xv}dp{Q7+b1?hSnu(4dd1 zT+v5uuF7FfrWBd`eA&6Hk3}?K=47(ia)yzM``tNeFmp1Uh{zdsp&Z|R*rA*ca_oXI zzRUe!ojRM7`MV3B`0g(`L+#k;qs4|kx{gXY%*m9Vyg8ZZA32#}Hzzadc+auJ$jJ=5 zIhinWGQ;jUb{O;A!YVZXzN=w3e-K9gVA%09t(8KEqsuVz2g9!K2_t_n?E0RlGWmn3 z^6hh&&!eBc&oOiKAI;_+R7-bs-shM(8ph1gVb`{Y(Q^^jzx(d%J3ec-T}jWyuxs15 zd#`ODc5QnYJr`m0TnxLmJ&Zc!Vb`{Y(Q^?-&&9B7+r#L&7^JVf0)KyS6=yo{M4EwujMk5k}9&Fl~E{3CBnEIeIR_ z=(z}^=VF+)eFg5 z9EMLGrrx^C1^Zla+2MLSUA=Kiq@7%83%vh6?{xlynv=01{Z>f@-bLpJOkvbt4ZAT@81+|S)L#v|F;f`zSHo`16h{9-`k;Sd7`CLFD(-nj zpW_aNu%^#`^w#X0oa5b>VdyOyf!@NI**q{x4`R>*bcR(#wbz{z9yuYp= zyg8IUCoc)RF=wBXmxRGf))I1JoW3hB31d%}Ub`{pQf}~)I$wQ%&6v6Sw_S8&&iXU``u*&QR;p|~FFG_J=wjq4#tZ4Ss0 z-9?U40LT&7yZ)o)d}GdK`Qasv$GkCTpOcsDjuGXMmz1f3my~9`F=xLaFKGqcJawOw zmxO6c;(F*Ku7^J2ddLyiLm#!1ppS<6ppQ~5=p(L&KH_>`-;)iC>#;xLddeX$i3z|< z)|RCl@{%I^TL;jy$V+yI403D`!L`L}>IajTY@90ki0h$`xE^xUwu3%uphh2SQ07_W zB~1mx2Xu!FzB}yZJ39`b7qsg3n=hGPD7kc>r5qme+WXqyd&pa{B(mB2+IQ)F9d_#; z!kB$G?AASmG5aoz*>}Tk-9rqC*>}V4`T3aCt$PS#_T4b@7PSS+BUTV{-~A(3P#y@;QoM~h5G}-m?s#D5=LGn3I~5Fy9<8_ zgTHht;V)tEmnLFiv&(jp54-WyYuDPP=q(K1S*nk7UPTsuI*K{5{n=;kaT1KTrmstB z>#q*O4&7Mn-S;=bW%vEH1n>Ec?qY|+h-Z3tgm@+lJ5*~6cIet&_WTyDVux;&H*yr3 zB1dh^$k9;6wL{T%xMSs*TQ^ta%xvp0W?LVI|LENj{Kt(SS6=2H^zSkM;I93MqY5=V zZ;hV#yjJb2i+0E6)Gp+|SMqx8b4=dz(H$=5qnr`n(N96%DEivxLLu#1rWpNphl_r@ z!$rT{;UY(OxXXQFf7-R~aFxTjtf*VNcFmx>&bbfeFfOYtOS^W>bNlaghl?C>J@nBX zE^-u}qmM!%^brk1j$9scbcc&Ry2C{u@jvA-E^8bBaakDo;=Afl4&$y>Jkc$zQRf(g$kN=^MjvI1Z@hab8T=p$$p&Z6#brukpg%OwaQapEoja?uv z3nO2AS4zln+0B(BijF>F9LUifF8Zjz34O%>&`11_^AZ2!e8m6IM`leqj8pDeGUYH% zsSR@LvoG_ipNapi96kGh4GTkW_dKkBE2l2S1E;QZA5I+xr!KPzr*5*y_?doN{BL#H z`z~9D(&yyVT`l5!Zp`uOG7R5Sy8*r@4Bt~_D845Q-%}~w*u?XpB@bsmcLT0IS_FRc zJtDJz8~@w=S4BSOD2#IyYv&vVagM?`N6|^nQ5ff_ktUp@(si7pFwRk#YuBo}zO@Iv z&A~YeBi>Im&QTp_oTD($QJfHc#0k+yoDh9f*N4vQb48Bo`qmy?NhN2YR;{&bX%e1& z&xUiRZ|Lprp<)f?kY|@Zg=Z%%JiC%lc=nZnB1i3w$Wfo`+UGhlwM~r>LXL(TB1h$Q z=%YSY^iiKH`nX~DyH=I1Dn}hxe6F?{upT{Ktg%PPQHvdNR1%0B^|>NPS3Ag2pDS`y zZi^hXPa{WtuE^2#n{x0jPvyHkWQV*x3MWSVQOgv(I1FBVCBn#2%Qtc~v;jG~GDMEX zOQDYnpv9OomJ#CJ@GxWcFgVay`tvk%VKdiPmN9Gp4~eN+ODKElw) zxs=sM9u$3qO`fK_W>17Ghn)JZdsZKL6!Z~>J}O&BA7OBTbA!l{$yt45b@S ze9zWhQ6Wd^@!eNhA-F)L_HcnPxWKt?<&abNJ_%f)F=KFn8~ckKg>T4Fq7XSspYHkS z)*dbp1{XNGfgG83^igtY&qw84aDf|DtQ_Y4yB>rK)b>fvEetMDn*&_nD3WrR`>(11 zE)WJ6xbe!!(UC?UEg$-b@S=}Q#M-M`GvES8>yRTCiav4y=p)C)InN0whjB{RuyBDa z6I>t+E)ea63mg?ijyrH;vpcD9fiSqhjj=|yevBCJ+!kTi0K)h7XdM{6s{L)5Og>j4 zX|ZPbeU>dd$GeQ@D;inA-}ZXCn7*RHjec*9Mf~m4cQpN-&((Ab##_G;AKv;6@m69_Oka^ZR}N-@RBTovWdFU|tl3u$yK zz^=iS;_MpKDMRi*MuV>i!&h9J>#jiBn&^(Qx*7`F!Ga?hv8?+ z3gBnL@H174<7dK%NlKgHXMR*C$XU2RV9$Paf}H&$sC>?tq){dKnK1lJQxfnqVfdL+ zefXI$Vv?$m@iVnj;Ag_fPgaIUOcI8l>Gl^t(|A4nOc;Kq^dx>J3_sItD1N5)5By9R zekKS%6NaD3YT#!|mEvc@@H2IX;%CC}Go2!BSdRs;|8B_s?yJfj*^@W?ozHdaAf}%w zjgGA=Wx2k#DNp*DpT;D&RG)v#`448x-AiSzY4v~jt?qxo=y#VBV!>EO*Hk6!i+4k|P_gkzvxe^iN=>8WuGKt91_Ct>Df6;Gr z9Q|H4a`#ISCi>|97kzxt7k#4%+^3ZgC9H|L7aHKFeQdc5yq%b&Ar9#-3D<4u0d$2M?aHKFe zQk6Y$q%b&AqeY53T)DDLu zg~5?xNN}VuIMO{^g&fh6J?HHLI8uxOjuZw*>S_!d7N*{=IB(8Y9u$8bhCi=G5q}DW zCHlcinv22Zn=&?BrL)!*ICA`qrStD)$;IIEjjVyohr#7*rG?9f!3j%u!sQ#A43`gs z%Qrm-E*}P$?>j6`iwrh>qQ^UEaZGt{=&Yh)Bt_F*&7+NfXlbcaQRx1 z;hkad&b%tTGYsBYR0i)1gLih75AV#&z&pd>oox+mcH9+!lTGk`DT4W+D2h z%t(wmN_BNGN9i#2*3$1e>UA(}dlY2%LDk-aov$e6IcKnEbg+MwIAH(6uzwv7>|Yr6 z@5Vg&y_JS z=;NrjDog+tF6n&KZQVxB5SC#sThd!Hb z(D3ny-*l=kh-YIgLEaV@5vl|UW4;n55BfuRrYB$;H*Kts_fJ1*EnxItAO38b^-g> za82xAy$a~Jd2{GD2J>0Ak|6b}Du;cQWm?F&m6a#w7KV@SJq&VgWf{o1g^?SMvXXPV zbnMDe+cvr3Fml69ePgdBEqg7Dz1ABU?6olVT9lf-Rtph(EoAmuO{w)NtUkivIh7E= zbE*b`=Y+v?D&>Udgu!zP1K>Gf@SL(6@SNJ?$z_CLBPx3!mk|cfse%BWQx^t2Ck&o* zBaQcbgu!!4-@|iy>jRz>2G6Nf1D+EG&*@o4cupm=@SHGsPT>|jCk!s%l>7>jxW0q20}#t{-dyr*^lcM~-*|d!w9|axgbOPZp6tUgpO4Ip)TP zF*iP}0T=vx%#CkcE_34#)9x0aBS#_5`t#Bo+TG|7`Y1p`9|arBOBw~D-OWTSFR5}% zyBq03ALX=^!~9Dr8SQQqPC3jy^y-{;H^+)TGHb|@!DnA(D3K%Mgd81y^bu`mUqw5S zqr;Cr%4wmGHlT7ekZ1Q=_qEE=pcp>a&=oP_%Tiy&mto|PyZ$A=Yk*S@>@V^iioZ`l#q# zIgBgHz~kS-@NbzR{971tMdc;>vIu4F47r#lO9ZV%Gj$feDy(^|=82Tg(jqcIgu2XbYi_t~$_1 zm-*=9r*-wQ7yR2@&MJp>^|>wlTj?D9+ogDvBMZY?*+*YRFaE9ZyZE;-{aYakYY_co zPnPIsPrhYf_V?vJ*pnSq_GHV#o-E0Tyl)A|cl5!kixZ zwh!C)wqMxz)x-9^?H4wF^{{=L_l1pL4XdPb_IOx{!d>T{wfuZ$?r-c%p0|CUwuikf*VdHZRKn3fSuf-a)gB)FlezswKM|m#f zD9?o)<++ffGC|~M5DWTfs0ng3AOtxYwV@n4m+pS^&ZRqS=hD5f@vDdJT)G!Fe)X_e z+^%WQfj?mCtwwb}*T8W8y_#ykcD6&mrTbNM+5IZItQ-?vR!)d6tKdMF<;dncYH-?~ zuJbcnOWg0P?2vuXQ|GKfbziJObziJOIWqP^SL*Zo`rf@~zu&U=>@Upyd<8$d_E$Uu zw)gD)d)0j*M|EGwQH~5b`gzDvjtpH^41q370ieq+>5-$$ZRF^p7=3ivzp%-J9JcrDVO^{tN0(H{(d7@Q3k(I_9~*n9T-+r4KG>to1K$ry4}&W{{7A$ZS1i52uwG6Q|w zZ3?EQ? z1wPS3D;e_{B5d;0=?)SAY=stssuXSY`PfG~VOp%p&h!Y%ey)Ruh}HDh1Z(>iA+ z3(+GZyQY=6B1f%D$Wc!#`lzRseN|8E`hZv*KA>)Ad_b7Cq@Gsg*!zX_mKj2h+O3eI zo>t_jrxiKsX+@60TJ%u}fj*+Z=%b!iydqHkKGUvR?U~yQj`-wTLT6ERi!E#+i-{ai;IxjFscw z%~&~Vd4$)6kt4fzGgcq>ZpP~4(sjzw@XuM7+9t@6-Mbm9k0>EIg)njowQ0g9!{C#h zUijp_n}Hmq7?C3~iX4%+-B-SM7vrZE@vsK#?z&`hScBAyE~`aEmvYQryxKnDzBfL} zca*~8JK~dkM|^VkeKZ@t&^Q_VLKuFbn;3XW7=EElE`A{lUQ#L-zfk)OejyCMP-uW( z2*WQl76-pj3opDR48KtMB)lXHztB4&_=Q@2@C#x1g~AzP(=hx(-ADL^+K%BRVfckg zKJg1-_=UQ0@C#x1g<6;J3x#0#g)sa=Su^}X7=EFWRQy8ed;CHeexWQ9ejyCMP~{+g zp|BCZ5QbkUtAbw$(=YUHE_SH5)UYM@0PEV9(&(J?(!iYa_$22%Qn>!D6RlrJ4D4w$ zQn07Jn~NO1n~NORsJ?QP`hUQd$2KWq-(AoC)!54#WDZz^W)%_{LF~0& zsYj077II`FkfWR>azqc%M@3o4(N!;JrfW6isK^F=B!+TykXCQGTIHxil+V3+_M#5ec0AXzcBUIH7EP8V~M<_i}ws(DiX}RS+=-lk6jn~O^*P34|XMxtaDip*10x} zJ-=O9lLHMS2U@2GInXff!J@Im0XO~++2}V-Hu_Cp7&*{u9YGHIP492L2dmwg9B3Fh z(0j%hz0q%a-{C#jm71at`c3a|y$Ab+e$)G$_h7YX6KjMKYZNXJYlIPN+?Z|lGySIh zmP!%XSM`aY58idVzkN8Xyz6$K+nExFc@K7@A=y{;i71EnV3qm8H?FM+Ie6Et-@?0Y zhj|Yc2Hz+wgKyk8OXR3e1UV{07V{pbr+>7u*RZ?xrTio|w)`aawEQH#qCS!J6}8~Q zBPx^DK39-F@@D^b21IMx^Dgtb!(4;zS2=GT8TM(#oUB1T2&_TfxvW7Y+pIzNtGfpG zOw!toN^H2x3WK-bsDqWG5F0ywPnfS9H?DN$xN-F>$Ca6{9AVh;dxt|gyvw?GICf9o zcn0P0F6-XmP!8|1Zp1!vv^|icGGsC1VvCb<8#JQ*CH|hUf)|c zGJm`CpW8#_H_&DI4RqP^AxG_(oZn31tU-@C?EL4xw`&8=Z}|=OWcdx`D8DiC_V4Zd z=lhPx)UH7)SB{)KpUYv2;TJlU_=T$5@e5)2h2CVqFWfW1=%b-i$PpJn4(}yvPTBd- ztxH#i*qp9s&_{Qb=%c$z^wC`<`e-PJa_s!)`z<^F`LLb;eAv!^eqs8Bu9T3YAq&XS zT_tiFA@oO7ziPCHpED&%Wxe5`A1#_3poGPzH;{fz>!Grmee%PCmEu zpIhf^G~CZcOOT_xO5|W}%KdvgH|4OMoAScAOZd**l>6MyO?hGD6JvGc6T`G&U4bia zMU|{U-R58w`-!2qLP_>+77Q*>`NrCfqUkykKYvH|-uzV^A;{6&1IQ7E9EHEg5uzMj zr|kY~WIFpVjQv-~5Bo2S__B@x_Fot|yhfA4CtGLo0{vqAZ`T#XQC(Lo7dTgjeQshL zxx;JE+r1G+?y#)#>aq-;xx*^E*T?rcbBAI0?y{`-?lAU!86JH1wG=9cxx@Yv-yMeU zF5`jkZn6Wp!!Uez*FyO28>hc#wG05hI}G35^aFf%bNun$VS5IPT+AJYxi_NYYh%00 z!gq%e(^Y*+On0rD=%Zt~Hm4g%e0LbWyPtyZ4#Rg>p+rmy}fNPOOj?5o&l;c5;8_c=CIbMex z@w(+8U1@4NqpZt8?s*90D93|7YU@=F>j0{(AUF4;9FKDB`!mHe@j5@}opo2U+*?)t z$Gue;vG}zjvj({t)}R_R)}R4ItUIsWxNjA;&rRbZVcBidRg4I^3-X3bfs(#VtREE5M zq0_rIBHP|=>iGDZoxgsjUFyDixIgNK*?skJf7CB=Up?F(-R%7Jmr*45)x-T!Ou&5= zw_si37OX+x;QGt5{O+r`1$MWb(Q@i2ocpSev9G#6U%!8|^U+76caS4)fgEuQ^`l?RT`M#w0~_ z__whA-k6j%v*ms8Z(;biA{_i%82+u3g&n%EV)uO*b}}ijLt*Zh%-^2hZtmPK`5yL5 zzK1=T@7eR4X>z~hdz8bP*(yWbFZH!8|0{Ei9SXw^)j5J4s?{7j6owtjoMMMAd_#`H z5B6Vm$ZK<|&?Nq7^v>qA>LRdaw$1|T=EBIil_@3nl?&Jy=cXo;`|5hZb5tENa@5y` zK1z(TuP*VpHmAfM`>Mnq`>KQ(`>NE<`h^RV(MQXSK1v`cM_T9iRSYXe?kj6b?kh~2 zUGRq-1yaay7sdO%Z4Kmz7$Zj{6FDMmV)no^51!a6Y3Z2rE7 zz4mSoW!W(I+WW&^>t2X;?p_Evx)(x@?uC$}dm;8(_d>`~4;yEudm+w#J#3u)df3=6 z-3uv)HSgCNsT@t6-@Vq--rWlp1yK4-I~9xa83;FSl9!1?6wT< z7zTH|dHTq~JpHdFOG;eqZ|VN>0fXl>#s;1fMqSl~YOF!+$*e)Gpy;xB`slLzOLXad zZL)p9b8enKy6lRNJ=sXg`OWzmYX`f*z{ZAQV+${_u@`dky|r1hhq`*7-%{Ybb2-yx zBQsgQE_+$OW}UKrU7W6sjmcwU3yHC@7bYvm&gHz{w{tlU+qs;FF+=h&ZEUknm7@pX zc0ZSJXFr#yW*?M-LXMJ4=%bB*K1%knpIZX-(P&Nd(X3PCXx6E6SO?IgVr^_m9_6qO zAWEZ+ZN#r~d}w2tb(-Fob^5}zvCKNX&yg1hGuLus1JDPvPVe8_S*I^d8_TTI``pet zePPFuWssw* zMdj!ce9v30@M4j9KG#Bn^`pgzCEqKpWepk#&l=R;!x~hY%o;ROf;Ff=k2R=2k2NTj zh8(FJIr_<~%c%T*Z+^ynQx&9e(Zqm@hG8emzF;TAu#@*3!LC7J1$HtFJ6SdYI~j(Z ztiljG+0tVt!?2S@OxVdV?BqS6fE@9r)n(xkcCrWpE*gfNY{EWvG7LM}7J`c=1za=? zJ9*DOD2H6MkPa>y!{oe$!9|Q#!iM|C-1EQcAEnXP)-{R-ejf(EFWiUUhr#bZdxwgI6Vd3a?aNyr+vxcLGkq4>83635HM=yl}N3Q}BjvfX_uc8Bv z9tKD6T>$bRWsuhduh;S3MH@uR3$=+Cx23(}&c@Lp@R%9?#K3JyI{A zc#avTb~jJFFtH}aTvTf3|@TL z+OV(=;b%^B*mAfCFdQxn4tLmcxG*@}Vawsd;BaAZxVk>~ZN;1NiH`C;%qzW}v9|88 zwRK_C{U5frE{wYWFzWsfTU!@K-Tz^0>%yq}52Nn?u(fqz)cqf}wl0ji|1j$Q58HUP zO+elMVcI(D{`(wt|6$bqhf()`n6}Qk|2{|Ee;9TDVbuK}rmeH?zt2(kA4c7O7dDvmvI_v)X9CiPPY3r=}?{n1shf((*Mvcv3+B)n0`y6%uVbuMH zQTKn?<^Z~&rtbf+%>jf__a8>x|6$8_!>Ic|Y;yo%)cqf}Ie;+g{==yIKWuXVVbn4m zw)atC)G~!p%XFAFES^0at{{}Un=p8G77(S?eGZ--M%_&qJUb@949w z2e%Q1XZJb!ti$NDJ`9^3xc6HH-SqK=(Pte*pY>tb?5YmoIbrbAua%Hayzu(kiF*#i zX5Vw~6ZiBv`mDp~vpx))UG{$SwtbF1>%*|wEz9O@UrU7?-{`aMbM#pshRv=-ld~TN zKP_XvdE5Ry`m7JbW~a){+x9v7tiv*>yH6Wrh(5|=PW;y2(r0}bHoFNLo40KX(Pte- zpY?6O&D$P^%`U9iyltPOb}OvMI9J~Cm}`qGIo`Z&e@mbBVQ~IhYBq1%=elU(d-omL zwq!pUee}#I`Y4Zy9OW?|F!jcpr+qJPo*uSup1!cHA?Qfwyg$p9UwE(waX4&Z91fd! z4Pl@Ey_>=e-{>a6y?aeR{H=VW5OMDu`VRR3ZIOULU)C%R^jl-eyM&c`n zeB)~~IoP{#xXA@&CaIl1%)J|jLykBc`iR3}6Wa#J(M%5HXeI~yw4zz$h{GudwbRK# z?Q|Hq(!(}a8b+=(j9lqqd*_gwAy<0X-Z_NH;TmJJcMg3{4%ZFo-Z}I+Ib3aWd*{&S zK_N6q%G=rH(hDL43T z5PbKZ6kVIxtJiy1)OWOmyH=&XR&O=rsJBe)+Qe=k)mwMb=%c&n^-+ld-|Y%`kCyS2*}^jxsNtqg07q>!%70MN^Z|(*CfbMN*X!3vqp~YtkFkw1AR2`khArJ*-xctnf-JavD%G) zQ4VH5UCNhO?cO6$4rV{~x6FPzj99JXL9CW_Ayx|`R=bfk$kBBa`Y82}KDx6;jzV_y z5v@ZXKbifMMP&9<7_*-aBUZaHJIcZAr~a1NPhre{I*eHDLOSGVpa=V^;Ud`VjuGdh zpUgS01sXZJ_Cp_Cv7nFctkFlQ5%dv#Rt{!Ab>+b9r^B>iEwl16Po}?Rp3Gfgz=y)% zLzQgHhobzfLG+3>sGxAxIX_ckZ|u;``xj%rR2ePi09}>~9vcRaEt><6z4GzZ`OW)Z zoj2Pb9((itSKizaJT{CRa^s-ju{Wk;br}YaElNReVd$;Y1A4o8|Esr~_m3Qzd-QQT zX7$#U&7Q#I)o@A}Pph8P!|Aq>tCvw$;HUdLTv7(BLdE%4YI;i4SYGvs~YvDXfPJ}T!&AKeq9 zkAfHWRaAg|mDy!qMOxWcU7a9D^(yG2wj%WLaDQ1Ujr?vHoFS_LX9y#|TWKTt-7q-A zjml9D>lr#(aE5C;K_3|j^zohdm@@{}Nr&OzdJP=^7KVT8h6?|75W1{=3te6(_}Y?M zJ<#QM@7}NEbM{pkOYcPb3V89WF>t^41`2{@#l+m>Kh6I&anC(oNh<47-!bb_-!bdb z)8DL1o-`vPE5!uV9LUjt3*_isP~_<6AxF<{qL0dz zk)yt2YFtDgy$gyQZ3E<}IZZiuYc)2+TdQHbwR)JGwMHuC;H}mEwjVQV+I0$?H4M%g zvw*XP!C8OQgs}RkA^{r~hTihD@T)NRRo6Z6t8VDwS7GF2N?X9MuKa#=*$cz)t9w>@ zb=j@%?v4CR$-Z6Zj_(5o2flLt-KRZC4F|qws&}8(@dyVFg9CRnLEh}zFO^LWT$)B5 z*|%5*R1TaMR7deOC@0_D|jd`&sz zz`d^lKd7Y@4t#B{$kDz+j!ZlIDl)(J?^->OBa(?6N7z=jTHVk`d<}g>oX|&nO*!Pi zooM($*(UhGwWlbD9Jr1Z_(2%_pzI6$pw?md!L<`3#}8|IyXu7>lqrB8TuTjdR8ELK zD&0aK_n^b-=pIJ^Ggp5t(8^&=Z`afCgH93rAPjy`XEXdDG2jPb@Pl?0{2&Z|aBbVj z(LBZnOud!z^>ZZ|cl|2cKfy zh}kRC$0vv3lh0Kk$3ve@l409R=Mb}p;ghS>#V6-!@yTKMHdMCcjyHVQ{ModyW1a~4~#Ftelt&J$-Mx0k)IWrORQOs(py~4ZH zt{dHdT|usWuCmAdmw8z~Qzp&**Znm5=zeAEi*j3Rw(#n7<@814xc=V zjvNp7)1}PelVR}5DrVu6VerW-U0`1&8s{Tg!ug1!b3O_yltVsw<3-U&{W$Ea`f=D- z_2Xc#G7-p;I*=nOg&c8onRUi?hG9D!Z;S2hy%_jV75OJO_1 zu${SDZ0EJZB1e}P$k9kFa`YHBa+JWwUX}R9{@ugPyDlYZ*9Sxoh{eN*#T$1> zEZ&q#V(~Cy@mf*HkB1S9_l69y_*;n(-V&Lsz`ko#Jn0=7ns(4{_+4UB3+(3Ok*Kkd+vNvMd>_)cEZ>jaVMj-x{ z8D)L01{FTnB+bRTl0%M04j@PE-N@0{LF8yaFlVbVv&hllTI497$k{5Nh#XzRDo5EW zWGeyz%ZrL>vm4i}95q_=w~?g5DqX;4hheju?ug9}!)8a(vDw!~zjB0OvzvB^%?`t6 zmsP=LS8jpL4#Q?Q{STWRhRrUlz-C|TzjAb$ziWEa`NXiVY9=q+3(e3Do5E!j^(5%VU0+Pf{} z;hNk_{xpmjBGyR^5rz$`JV(8iPvmTs24M{fIoC$S%!n7N@FHFaBVOp~F5-n)3GqT0 z@j|VPyY~4HW^`AeWWFi)f!`|pbq(5TtU*h~8kEChAC$vmAC$vmAC$x6Tt=zc&(Rn5 zbMBY@oXJL)9S`L&-;_4s8%-9*|Aygz%eLcx-wOkj!+cYdcJaSq?%j?wa#z7 zQDPZ=l*2x^ z-XjRek+VgPoD_0o@R1|qi5!Ix$WaatIigL-k&8l(4mJ8Hho>CgU%q$Gt{mQ97B%XB z3wxB!nL5#DIDZ&-9rw?%2DRR>2F=uA4SGm|H7KRU8k9ajmxXG(2K~If+sXGh6Q*5- zeGbDuR|blGE({>2b)muj=2Dy3=P>MZ%ZGh#>Jj!ijQFTqQ|xmX_PNqk>~o~k3Qx$G|Xxl(NGa~Ssd!gJ&(6ha?eIbfT<8z0q4 zfqm{h7UH8Y;-j*E`0Z+jIeP zT4Ch0N_h|)R8~c75JqeeW7spDUuY28{^t1A`lu%Oxeua=tY0pfHHcra58_v>LHufc za@8;HgZS0@+I!zcIUe>wCTx9e6`JmYXasV^uaF~tg&grK1CmrVUHv-)mYJSd(JJmV@-~HC&F*QM29WdVq`1RsANmzO29PR*ugx z!@ke;cqX5VjfyP?DeG9H(tfV(hc4q+^SN#oo2R^go9p2>cg$J)xD>xRF2!$-OR@jr zQuAAqg1pa3=Kky2inWhRvHxz{ch!yAKCwZT~fV|IP%O}Ig`y94> zGK{>>VcOzKiIpQFv3BDpb?XreddUvkxFU?Y^)Twz4_iJNM&0^h%O}IATMwgd{jlYe zVbpFNwtO<|!H2%_?p&Xv?CwXZ&>8N&Ix!=6(85i@N(cT8BPzq39zBebkSQKI+FtAN6Bn zuj=N(?tbT;(S9@UjE3##6XVvv5}*GY~-jP8#(I7MvfZp@gI$b#D8>^ zk3OQ$=p(A49K17n&n0Z$CyaMS58J#?ST`WMHyW{u95q`aN96~|5#>jY=oNCbUC>AU z*yy8vZ1hoaJo+fuMUI-6l%p?Mc`KPvjyL^zlu$Xkx#!>O#z#zlUOzT+)Q^oEH3%Tb z72EH3T;VzxZ?|6MzW%&pi5x8fa$M0Y-%$aonEt%+yUJ137=K%(0$4X(V*2wd*xS#g zHst7udgQpGEdI6vC$O9kScY6oe_jZS92MTMuQGqiQByzPkwU-{PE3E^m}BMm(4UtI zLLY_Q$kBx|a%8fRqvL@b{S@TrQVuz~;6fi=AR$NB`{<+em2$l4&%01jjw%IrO?!@6 zIl95*a}6CGtjrhwyhI`X{F00-M@d2a`HhQQeMEur=Ovu*=VAEsq6++Z82-GZ0{*;U zckgj>09C281|2vt{Q0$QuRg-?=LLWG^H?YT{DKYi5pmyrbtHKARU{679tpvphvCn2 zw)pdN%Db=1gyYXM+Ix>vmwHwCyMFb9DMv#z_*^&Ci?u1yM+#jyL@IJ&CRyRaUWn8K=eS2SXo?bVnbx ze4~%j-sqzgBl@T`3OR02KEI`AC^7tb>cF3e;m_+#!=G1*gFg?$pSLsc=VAEsI<@fU z_w+n+-0p;m_+_!k z{CODuyfP^K`Hk~Mj>_hcqtFF8qKU|nOGY1=zXwdcHFqDq-GHvuW!E^Iqf+LaqiUk} z9JO6smuMLO-aG3Y0bxdCCW3yjEFJw|hv{o817Kaca%By=GGq-Z2IDtZSj8Gtq_k_0 zchlEaJcG_F^+V^CRiX1{NGeAUG_Bs6A*md^C(>H+o=8bx-V-?tUt8xPzBUYBTX`M6 zwv*334a3*A^7z^?d~NN&_}W|mzBUYB+b_Y_hT&@~3B=ci;cH9R;cKf>#n*=6Yin7? z*M{M1b7lD2$`kRmVfflcIpS->@U^8F@wHVk;%me3wY6E}Ys2uhxnF#3)m-@6FnsO3 zd$Z@`-o4rLQCbvV8-}l~;t5|{Mju}rhOdn>;A_M1wN(J&Ys+xsYs0YFrE;;^Vc6^{ zVzAj|e6iVK*z9NyzBUYBTRAJfHVj`|R+QMen=yQC7{0bD6nt$MJ|!B4ul>bZ*ajz1 z3mZl)>|yxYvS#?&Ue+dd4kLE%`AcHwFkI1 z?$DoCzkwXpZ)|LkY0{tP0+uH>(pZ0Nm1N z`t#hDa##b|lvMqB^&7}h{RVPWzrnt$egiqG-#{PLZ=jFrH`rIXTI9&AaX#8Y=%e}# z)$q=`X$6t{m2t_B1s9yc7!lyf?4#=VAEsCY;SF2^aWcNhOKMtIkqkgTi10w zwk|e_tqa4}HIfKh7ly4XRYmL)yTaCmVe6`@B6bPG)>T4)tqa4}#XzuiRT*LH!mxFv z*RXYA*t$G2wyvrhY+V?(uCfPgT^O-Te~PWE3I$sihOH|lNbC}Zt;=G2W}<6r>nh9e z%w&ev)>XmhnQ05Ht!oLeb)_t^bv+-7tqUVh7)8g{g<rxT1 zOPIEmbab4c-yJuByT$%M(k21m)IqY*d;SW?9#OX zu}c`SOPNh#moWXlZ#(sydD|(Bx1GXx+vzZJk(o|nmoQ?Nu0M!f3gh+XrAwA$_WXzb zyfA=s9_7Mb`L&VO$^t=;Wv41XSm zKQG$CpNHYkD^J9qS80zw55u45_VMRo`17vr@aK&rX&r4O|&##qx{a{si`16=N z{yYqS9#z4ghvCn=Zp5EAmI;3zhCeU8hCjddbL1!#-*aB&7P-jY<#P8a8+qq;Hiz0-vprH0pD zU7J7pDCL1ZN`|l9ZR#qy$S`t|QCo76UF+h{!|><#PM2~tMRIKMjV4fz21fEZ-lXm( zra^}LxxyLjRn!rCm77K%nMCx__Ct;WLgXm8LXH9zk>wd3p3vc#b{_h0sT|6Mf|3 zmBT)pGNty4UVC)|jK%cl(R<~v&!&-u`tygr%C@*XtdunJmX}A~^76=A>IQiWlULrB)H72F z!Tx)t6!!dP{aCBQ{WkurUml8mQ0F@PpyS3q2xA{)o!JLr?1S1m*axLS*au`}-bz$(j(mC+DGz6~;gV!~>QoU7^y65s*$oE{< z76Y#fgV)tv3$F`<*LC9nudAyFUKa+hYuqNh?nZDTN5v$_k$KtkR_6`8uI#<%qYL>x zZ>7!Pbz$(jI#J+tjR=L;-Plm&;0^9B-p$k0nE|isy?1zB7%!o5H=Y$aN(>-Jt_L{^ zY>}fN5xZM(#l9+dSv&qz-`TwoyeQcr#CPZ*3`ud72wbf*d#8f91GA`C#U0YSYx8*A{~uwICozZ{IG@zPGlJ zqwzlIqfsi#VVs-T8Gg` zZJNkYOB{04euNygdZ3SnG@_42x+{lynj2Z89Oh~2s??u1U{5*B({$y9KM%v7XCm<5f`vbi$>Yz%@aLH|{CODu{Kk|khk2UVF8;i( zEc|&G{=8R`@aHi-{COC8noKeNJPdz+qt%h)VIE3s2!DR>y6yQ0qmH7k3H*5&{ycVo zKhJ&O&%^NNHx3>-9_FFsE%E2In&Z#I@aI+4@!#E?AAjg3+^ zXEoIxPkt>-z12#K-fCN1dysWeZ`WWkJ}TF(-Wmnszh@kJ?e_EU#m4RXe0YzlsL}qT zbMeTQIpw#sb=PKJI%j@M-(mmJy+^e+``)8kn|<$5p|`jmwk{&OzUOF(a@c>=7+ic$ z(KNm%4Bt~HD88px*zi4J#F9mq_?|HC2#!i2M;i-$WFpWVtJBd&)Wg;B`iTV>sr*?&}t zu)e4Cq;lAQ)XCTP_*PlpYyZ*1TV;LD{-Y*RYQuc1tfEc(kHWNJzE#%W+J6+L@A0j& z{?`7ZwuZi^&;T7p!^C*wrVN?6%~Hd}Ojn9M`z0G|pI2{6ac06eGnL(NX2Li#ZC%bx z7<{dNjWbh9nllr|nQ6iTXC{m@(>qg~nX@SI-A#V42LCmsyLCui#L$zk~9 zd!}Z6Kv{41TC>{cOqU%u9t^`L_ZAL5xhya~ISilN$MDHv_~hQg!6%m$)xI>V9XWc> z8hvC+#OVFH);`z1SvkAMD}CD>Py5CE^SKZI)|m)5ntp#@?Hb(4g^_dX)|8xEqlU=2 zg)LV;CQr^SjGWuKJmjcWXxIM6=_AJtikY)e+X;7QjY1;l*4s7Y+``DYRbsgM$cUF* z=X*Q+U?qLU$hqCKOUhAVkH75zi;;8dJsWavl|_5%(M&QjRxl>^-%h9PilJx&yGWO-I7UhH=h&&juSC zhK=nO78`pM4mqk7LLb!%AxDi4=%c0q^ifeh`pD{_kBY#TZ`8?yjqR2W8+){qGgGY) zShYeAn0B&SA=aQ;A=aQv;lYyZ!A^D=$iLUc+Wc*a0=eytsc5O~!9{Z|Z0QOac2st7W=p(0$9631jk+VP_ z9V6tphq~6+-lJDy#EnfIByKFaByJ2NZoHOJCiZOoY+Cuf<$nd5)h>L`pk{$YWi^?TUrD2zG& zhiz;h#vK1J=J+3`j>@;sUh8wr@egB;e^@W2BggyR#q_xtFQ4n>uf<9=&zUI$|8;%s zVVge)i;(m0eOq1jxrid4i*$iSV8H5}5ZnAg-%%A6pX*UzupWQ|D?k_9{6XJQ;K=7b z-1jwKBS&c;7jXQ(YG>we zi$a;{beManHyBy_(!i{JmrtyH_bIG>AvSAYIK$eP)K`w2{O2{eiCFHT%m(XMUJp4+ zHX}#LT;wRPha9B^kfRU`Im+uHM|nNvsA3t}nCX9FPPVa#+2W2Vz#%iF`4>2%og_Av8$Vawb595bE5nCW!b^7b%hIvwV@%%!ledV`UD zb;NUYnde^Ke%^d@1!2r|3S*|zVer2^+4A;2$4sX%W;%s2)9J9~?P1JxI&67+7&Dy? zgA;Z`fIYppg!X)d!3mpGvb_DKm%s^YL4ddSZqVNM^tbemgq2`hT{d!0jQKW#P>!nz;e`L_>|J< z_>?Le@hM^Wlq*|Oj&3pdUgq6gMSj_THxgSwj{E9!2-sJl4~pHf>9T<^x;D+hHq5jg5@4%4Sp zw~iduGNF%Znb1e~Tj-CMj&tRqM*0Z2k5O(jo)0TF~6_x z?SgvG`Cayc@iszam$wlPQ*TipPm zjI&TF4rd{Z{7@~54e#}Vk+&#^lARpmqEhr-AYb+t`? zs4iFXLt*f+N{QiNVeqhf7ezU!11N(>9Y7d$0EfZD%KpK_!r);ydV9}D<!9@(p|rH2HY$b0XGYSn-%G<@9DS5&ALp0 zo81M&u0fs_Zq_Qp&BEYjC5Pc=uO#y5tz;?OtYjbD?3F0ozkT3lCCSibX)bhm39&tw zVQ{k&KX9`!xLJWb+$@Y7SwSZ`vMPV!2Vrot0yDT-7(AjN0v=Jo0FMZRM??zY5n=F% zGVq+i(u8ocFnB}+0Ui-Xj_jN==Ob>mcJkg?Sv%RAD)5Lfctm5T$&rO^%zm$JlOyYJ zks}L(M>LWR9uWqQxOY~NqknL0PB-t=Xa-TEc^EvR6fHcWe-0iI29LORR?tURS?I$W z&3=oymTtS@5fxs;Bf{VjrIq0kVep8SMH^O)=GyjqXGN?F`L#Kv>RE&4xv>V#b3>P9 zdC+Awn(Ol#>w?cqmD@*^dV6q|-`C@-DHuxX<9&0rF95tGU>3d4e&G+UTAJ)E6 zVXSG5{;X+FO|zyoptGhmc(bNaZ`L%Lvz+1H=2$KerroWTdt~b<@a91nZytmd(5yac zR#gt(Jm_zE^B{~j55jo!;4tlOy)DYYn+F}$s#Eqi_ckglb(G$o~n?G{Y#DN?& zL?B0RLnB9TLnB9p@W@dpi9Vw3=%W!X%2DvQ>(XcfUM!c8009Y7vn)XzfrRQ*Rv^&To$>tQ{)dson~~)(+J^qu!!x=&f4BwL`rVLfm~~ zAV-6{u|o~KMvjI@BS+UvYftaFW8{dUuRT4Ai5%4;t{l-iZAtge{CnM{&cD~$f3R0= zaei~Ogx{PC;5*8t&38m?twZUS6RsBq*NZB{_3oVu*1nP~*1p~rCIl8k(j!ZUkM6;12 zN`oBrwjf7WC&*En8h!Zwbm5?NC{>Wk8M?Dp4(m|voeSl#4yB4pxZYj&tQ=u*y?f_k z^$`ZwD};sX-8&a6$Gvm0`pC4y^}?`W_s+%2dskGew=nc}?_4Oaxsl8`=dw2~@KJ@> zdoHuj=I?Ve*w`?*Xr=Mk*f4BtS7_MSFl_9N17+3fhutVVZ@i5O(+!no+hhwib zlubFBkh$y9^^9^fA&JlR@GDr4sEQF={V2piAGKg1M|n8pXm}BFRDy;aT`3_)Ap~-i zenyT4?I1_Bi0rGvGUX^Z*fn_Pjm@*z#8zR%R#iZHrn_=Lj#B2x@xdFLU9R%RW*Bd5 z9;Q7g4~IS)G=V-U^+O+B-Jy@JNtA;(Hv26PT%aa=^ilYWKDygRAJrl*R>=u^3nM1( zmJYpzp|>-C`}^*xlwH4j-(Y@Ai)TK&sh6Dn-YwwlhjI4H@No9SIQuu=adp(#3eJ8Q zXTP@$IQwCo{oXR*?4O&NH7G0f&e`uKfwLdR*}svL$k9dn?zOUsoc%KEoc%C$+11JJ zwR4Tg(P%Vu-l(+)OuZFG?b)gvUA^6-=woyGOZ9e-b@MrG_PKNRZl)7?qpZjq4Aff*K=gJG@va@X6Xu2k!YbqcZvwV`RN7naqjcsJ_HlUilTL}M<7co~~O& z{aZc8Ya>d*?>Vm^n)&G2dpTSgTJpA)Td-fQ1Y`X|;UN1Zj63==mh6|iB3L^V#(v2( zk+(f_wf3}5GWJU)BJ7td8$pgZ=GxQ3TJ}qxk^OSl8psjH+ zT&at4m=`D%CohoYByW3HF~||eM2_p`9^Z3r0XaH?YfrN-grXe=yN{-mzuIZG+yg(z}v7JX5l*7D0)&>4|rCZ3+5oBK_?gK__ z&}oCKG+i04a!(GePdS>y8r%?<&*v!nY!6IjWZImE-q)Ms&snW^iL+W8DQo&r2j6w5 zHYbC{ns)eEzYY>-wN1&oq;%G$1yl}ZW>!kq0)AeNOdBTlFs*0@%7sLg3KxN=zI8uQlX)Xu3K z*0@H;wK;J@-r9q*NO1Hp z{AK0Y_{%W-P4LQ1G!NzuB!TBhx<$M%EAV(z~V$S%e+>Cr;^aYN7Ph_CW(lqEYgFnAH+iuN7 ztNie{6~OG;XE<2D4k7E;hGzZR9P?ZH$GW-VJIWM-6%m5v<;BQF)*-9kYP1by3)p#qbINMThV9y)}>ijj?{+V3d3(*yUXe$CXe3=!*7*Y$8TLZrg9Yg z&HBY`@mrS~R*nchf7@jC#Y+DnN2!+8Ma)0am8<4*{gDR@0>NjZ(Rx=Im)vlM|oD{D9!qSsW*9c%?n+1 zu6`StjxHM{vNj@SvGzIJ?u?3iV+37BrO;(=i#4d6nl*UnU%fX*kfW;|`Nl|w#Cyq1vHGad0Xb@sU{4lCp^qpl`uI^$ zvU{VVPxR5KI^<~i&_`o>(MKau(MQF3%Hh3at$1*OvUPBQZpz^TVQ_(-3-lbNa^&dR z4>>BvL5_-8kfZx^^ijBqJ_-%cNB8IGqxJywVc&60q~1%GLV*i}!3A>NaDmzz;R0cB zfy%Go0%350%J1O<-L%04!r%gB6yO43a)A=_=%Zvf`Y0`cJ_^CmNB8IGqc#lmaSxa7 zIm#S}c`tcanw+hYLF~zRHhZ$#y!p-9XXla>Vaq`>SnTIo8d$#$9P3vqlJ!gJ^IMAS zowHa~y&R-EJl3EeJ!?<_6>}C3TMlwn=F342TMiP&oW;YIgM=|>F^v4xVXMn9Vz9$j zmto9V3}epXVaq|nn6r4;a*#0QEMDvIa*)H8gM=~5=dg{P!^`6A!}hM{8rza z5!=u8e6qiTm&ovnWtgdCSy2->``=8_<`d-)XYxAo7-UmBb!O#Ad zsL%3<8$+-h_%QdbJ+c=GEeAf#y=#wbpQ8^bj6R?W?dbzLY&r0aPFN0n*mB@7dSt`s zkv(iVa2P$Zhb;#Vqeu3z<-lR|$cE7)d)Vf~!swAbY&mckJ+e&~phxzw<-lR|$R4&F zIE)_IFnVMUTMisXkL+Q~fy0>9e%Ny0FnVOe=#f24TVjuFpQA@Mj2_u81L%=G3|rFV z9c)P$XS%Euwxnk+uq9!e59@pBkv(j=Xc)8F!?)(+qP^>}aYdi2P>_GGWDpqjD*Y|>Dq+;CG3isI?-6Jl~X-jU7yM^LzHjKO3!#vZ`gI&|Y6ynP!ig~6>n;}Oj3goy3 zuF+es@p-1ZM`Vw8kBC0HUPT{W4|w;0rSJD{wkiPb zW)CC2Y)7)k!-y}dcJ$1YoK_L8j)`uby3e&0c8|v=k;8lE{#K42Gt=eME=QM||=DQ*RG^vdO9N$vn4y@PSX3W!;=~iK<>enllcO(xc4h|Z`}J8dsd5T@d5XK#h%rB zzhd{syHJj2wj|?5nOc(MQ)u$Z@YrtQ-%ys+a(_q$^>3Ko~yY+e5A@72yNI@B!UI-~+jn8+K)MBX?i@>W)g9MMGN z$R#6398)=(p0N6jV=6~OP54{`Ld1yuu5C;?dd+_SHjarL(Fo*-VtZk1x-yK|mm5#*zt>a_zQen_GC}yG8*RP&IVul7 z?RgUHU)Rp~z0~mOrL)k^}uyQ z&}SWHok!*mn^Pw;{Jv3K#CdhGz(HbR>hkTuZe)m9gAO%&ql4t<=>5ubGfz5<9-T01 zY{INl=sFp{Rn$oQ+YLS3tbb0~suki)R~N+o>;8!S_i$Hq>5|=()n^muRf~eVann#LI54AmSHSENev zSG5tquPP&h7nfQh|5Eih`=u5HV#K^TcCwLi?3cS<*nQttgM-x4fN$)ykz4B8bZazo zcujm5KT}qon7tMW_)D4Qtt-7(9^q0|su2gI4bJ)17b96jCTR$GhRsI3?7Sfw-E@uT`!zPINk*KSnf3P-P=Rb6&( zfV|xi@b6U$#@VVB9(|-D)+*{K)^xO8zw+{auXSYYKKyUpF61XGpM(=;PPJjqsjP9B zxxZo7Ox%-y$dTbi-i(-^<1L|fF1@N@%ytNqi*{S4EbA3tnkh*&mtkrfLdM+S$voE4>U zmr%kZ@;GaM^(A9Vx)sN#)UCmNN{pYLsUO{aZV$qbnB&GS_fpy32h_-u0i54gZg}l; zo&r16AXaMVi$35H9bR(2U1-wJS;mOEs&4n;u@&@onJ~Y(6f$d2v&`mXuETiax5j{z z6Ra4M`oxCcH{fEvx0A5(Z{Cu(z{-44FIDvc@10y!h8?P_1HIK1h~Ap}yZMbDg=Opa z8^=v=X<0bxpKr|e#(qVJ_|Td@$$O`?4DYN}DYEsek!<&KOS*jbI<)uhu8yB7?Djh< zof+R#pwR)I&y{=F{NHs8t!*l)3}1`U#4h&Vi|Fh*?`oDa7`J5)9oJhw6ZgSB*Z+YX z&krtVjo9)|W(8=m^v}P!twD~bAHqzcUd+y-?%!I=8DV9f$5p1R4{d-8GkWTxN}2N= zbvJHav{$*=yAjb6xAV7m1vz`8%rG@_HGEeRynowjO&ri7!`Cj8u{psSbK5cV?{y`& zxz`)gipKJ9tE~}7~c3hd`}<3LXg845XuefZv^jtxVtivij=GEnp82_MXLg@&i9bG{3KF@y)e#<=Uf|`?+lU__7LnxW{hVHGVbPS)@GwUK30=x75WLb4ZI) zxOZtJp!=Zv1~O z3UP|==eJx9*Q5zL~;jQzGDYKfY z24<#lP5IOvHYyBz6;YwiydzETe^;O6G4JY=y_=on%tR>nKE4b8tmmwK1O^@D_?N?V z&G~)f!AuUbkh;=@tCY~^j<1lI8s>_JsB^2sjykf-{%!uRtIEo;N4EaKwRL5m$@^5T zLVeR&tG&-lYt*GhSvxiJy?w?0zB1gY`19wwA*J4;>p|{`qrl8X%xW<2;jRbw9F%aWzl8LN$>-(3#`@6sY-~aIC{{#5pLvR29 literal 0 HcmV?d00001 diff --git a/ref/mips32_quickreference.PDF b/ref/mips32_quickreference.PDF new file mode 100644 index 0000000000000000000000000000000000000000..e5ceec34b4a729e202fb4a78cfecda05049659a1 GIT binary patch literal 159330 zcmZs?19T-@*Df5VW20ks$J}u`wr$(CZQHhO+jcs(-C-v;ect!G-~IdiHTJGOYu1`; z@|kOls@bS!_{2LLd!{(BtrzjQFu(fvyYGaUo{-?Gef%yfVI_Q_!e{ADu> zE7QN?!oteJ__uv5pE+UsTb2#L%=8zJm7baPZ@sLHOsxMJ$Hc(;w+>box_{Zs%F0Uj zw_Y|n0Q28*W}|0gV*JY%HUK@tU$)TG(=#&uHQy(XiSaM{K6z{mf5nQPo`Ig_FTI~U zR))X)_*C&PKR$U3Z2!{B2w?iFhUghtSm;^)zkYo3*y#T9jh>Me!1lLqjI3<`@)y8D z&-_2DnX7UsXleOB5Z`2AlTIoRo%S{T~FL4Sf-{u9Rp05)0~J42^`0LuiR zm9w^XAo!e@K#o?_%E+4FGo*h=0d-DBMtT8WdKNxbJ{BPsW;%KyK|WR%CP7{%2Eor1 z(Ft;K@(I!l2=WUt(Xp@yvhV`v*@Remg#h%7yg~v(Y(fHbTz@(Mw7r9_ox^|NP6r1~ zN-8KV1PA@EegOE4%l~aUhE~Q7CIs|sjI=_g77m7Xv_clT4u%4T`ql=9w4wwIj4ZVB z1fNOd;<9(JGt{;GY$t1aIyyR^-vdJ(eVrK9QGx*ma?tGd#9{%FP!s-sJ3PnK4SI`JUpNZjX5!KW+no{kSj7IIlzOkutTsQ zu;soDvwT89cts#X`mh>H%3q7gM}f1gPo%x z7Z(!P0rBX+R^Sa zUB{SU=N@TXsW&Md@C@TZ&op;$iGzyDCI1b}}+^S^fe zSsnhzFp2*l0zgmC%JBb(iT~WECtwCJF){x?sK{98aP?4F+~D?_YIXi~u(|q}>TaAZ z!N53b0D?l)iug+~&jKo1zKg_&70ryh;B}_30K_?tBM=#gI;KS(~iBZ4y$$Iv>6Y1r{Jtt{ABimk8R`2)dI87 zeA&PKlbj#5RyvQ~ZNYMNSr&RBTrrtnjKSn2r=f<+7qBX&tm)Dw{7h=s&f$y4FK%Am ze04#3_`oFjX)5?QW;l*P z7Q(rBzRMs_3U&7@xlAc6L}rwzJY&wS9w&|IwunWq%}CE6c|c`F*YQjfG_t~3Z)$zz zqe-a1Der(Y=H|iQHZjCc16~Lo@k2IYe4(k!3!g1dWR#gFQ;WhIT;7e2jcA*x^dRo8 ztV5UNft;k*>)LsPd6)EgXK&O+#8^0KD>mkGuhKtSLWu=QrexEho0~ zF5w%5PKZ=5_>@CL57 zokP+_3W7cQ;B2aGyARS8%ETBsM??LtH@~PwEb$EQJm-Waevnl7=T$tLqwd#|g5GxZrS;^)xt^#ZHBHa-tvFSs6jvU}T- zx%0XuF+LQa=|gJ~{RvVP-yYZ=|N8aOzAM=~!&{k2zER?##YpwJ?v|FKo|1(q4B4zi z8Dud}2mt?NjAk>hR-(;f(Rr-qfV&oC1?kladLb0u@5~*o#oNtyl{=jSiI|i-h0(iC z3trU@wFSQp-+|HXqup1%y|qVsEYw#+DvDxCYH5I_Drx0+A!V1*A;`7+_7mEQaT#)5 z>Jsr0KH4R#x&%unJ-1Eqq2z^0QjU?oUgPy8btg70b}Du&QFc}RJ^FUz0AtL%f~w3S z;AL0_cZ^4}nTOgL2!RWBJ=zBaAvYPg-Fx!%MOmT-nsqahxCgFr#=O#nJqv1G!voI( zMpr!|xu*%1cGz5JJ>vEH;R(Wn_#M70-14!n`9}nPf3S`_G`{bJ@{{Kq;XBwzA`l7+ zd<&ZpGKqNDb)lsJd#dnMuqBf!q&mw9DRxdU9x3FA?k&3Uo}LD7bHv$>lMVNd*8b-H z`zT6R()2C$8<7{2H|Ph%2h6+WySSnVlVYP#qx?hOTCtS^t%7z%m-6p`7vhJnk!tf* zs#UE@cSS{ck-%!dQM+EZSqNy$y+F0A>h6?dA9XcaTCEn*I}p_*B++e>ZJO;r3e^Io zZIQh&toLYkmz41Ki(E>x_AZYf`obn_s4`>o8p(v>yGxNjF_ z$A^zpmiSvMc!tvWIeAMsqBT4U*uhloZ0>Y;GQN?$rIs6r2HlO? zCcql{QG&e{i24TbLO>vjc(jS$WgGa?$;2b!f)moEpAoUOe_s;6gftuq+8i%$K=>H( z!m8YZDYM%>vzXG)ww+nq&Cmub)RRd9oWhJYv!C1o+&cTi=dpNvT4q40v$75C=^VHU zJu}*_L_mvwl8%|nrxJ7{0&50oyH{N%>SuUW<^4{g&#OH!Hx$+YMm`lYkXl=gRXVR0 zt0-oT4SB}{zRyQB$m#TuH=nW|l+Y5Q*loi;vlj({JjV7_Wlg03DeEg&8^5Q_gE#=8 z9dWntR%-ipE6>_@;@R4z8>5lucTx`IlUhk5*vL;%XOC`9Q1Y6M2(5lFOFwq$0Nc%} zX`ubib~}2H9YKQpLmvqba7~3)$VT3+OzqZOJ4!V**Bm=wwa7!|UqJ6xCFjDDCgElA zRC0ySLOmXgljUV%6>c)BLJ z2LyuBdE&F#IU7bc{AAi($>-$~du_aYozYX}oN7?IKh)$~!D1f2-q#3$ugnMgl?D4c z$1=F?fD}6JvQeX?h3^eBp<&&@J+xXBRWWPEi+$UzH6fuA&(-Oi551RD9JyHHH@h}H~*>$ifkbHddLJShtV$aa+nCM z(R#X7rBJ3!VO%|sx8SFCYF1c^WV*eQ5CRBE&R7P%cPdIZamX0xJI+EyqM?d1{wpA1ECRn>AAv$#OT*j#C%08 zV(upf=Rz!B1n)v5ZvqF=va(=E3Ar|Xmcl;xeLT~#5wyip(BK&;Oac#a?i_hxNQ*JY z3VWtzSp;LYnzvc()hxJagPAPvK+|+@Y-G$3!vWT2oc)A@%GBj50eE@w`-XOAUw@?~ zu6mc&>0Xnhqc+Lxyybe62x$BC*^^tT#Qc<$%MehgvIScr4%&r9D@+p5SSwjS zPyqgCv^1AiGXjT_4c9uG;VDemGGOmBmt}t>&)98w6&TECf)<1)!A2&sqR+*3)yCB~ zI}7?mXB#kw>hoZ8ztVU})5+^%*3&8?vjskGi2HUdRkbdHE9~ur8_} zd7E*Z6p(B*hZ36QlI*Bd4L$&k8*>5Yc*gz_=?<@1PCm>cuU0rbYUu5pt>oV z7l{(aN#oXjdh-;!)TP#tjJ6*DyNYnpWXCMtN)-aL=Hk(irRkj~vRp4+RQ?%LrA`0S=b-WrNRfww{ytF&KgKv$o1_B?L zO9>e!2LGzRiUei85amrd^s^y$zDvcuDr15=jQ!$p^{%(=2{wDcb9GR*n0qM;_v;vS z6ss`TQ8jD5u6)Tibn^taRygrEUxD-St?TpqiKcq+^{$RYH7i$>-trP*(9WEScr;lO z7{ft>Jkz@E*kn~DW>bDHqTmg}Y(;o?J}xR@2f}Q@C>81eoyv873+B3+d^9i-SGV%- z6=zGoOr=d)!_bc!F4kzMn-w?;epDSaaCS_;olP` z$FCpIq~`w+QyETlbIZNjMp)~@aDyjf_fbXfS;l5WisrHRT1=Wr+b@ePwujlZ zisw>DACE14xZA^v*AhF@t5xZAU~(QcTh(`De3{6M2pY=GkCv`SFB??G6-ph8x$QjU zW3~@;@3ijxSTk@wr0F)we=R+Z-M9AWzUjtRbVt_v_LX)hEEH-~!$@>DPr$i|R^R2T zZZ-Eo_Qglz5ewI17KsWF4 zpem?tho97_kwx;?WkXHv3HF}@1EXN+a{%h2YlVMIkddan6eQIU`=HGabg~9*2-L)d z8b*;a?gCzL!Q|J4^BTd=UT3{^@RFUl6rY&f>&AJhe&2el=yI0ZdFx4YH~QH^uq9PR zu04xU7CAT+ykws&WA^L49G}f9t7@5hX4!Vy0y`qIYwXH3(To~P8l4jFOtVp?2ZY{6 zWILX$P;9#YE@WzsGo+Y&IDLr4l?}gpp>v^rp?#rPDOJHpfpKA?nx%rJlEoUa&3_~J z(!$xQ{FhNb`aJqwWM9%fo|m*%L)vYTkUU`w0ssOU$i5&d*-wrvv20u#OuZ>_+SRUC zgY0|uyo;1>kg3olNI%iMo~^8zEIVQ!;qG`abXO7hC3qJ2=2Q^OEe1eKrX|O8l$Ft# zuD}99n?f%p?R$aUWapCB`qFV{ntMHmoqHYsD2ADq6arJWSyOg7?iK%8$Q9HZ#5Whl zp{Flc`$m*SeVz|q+B%ve7_fLyGZ{kDp1-hkpz>F(0BQ3>Sd93~wf^jW!aQQ6XRRW0 zRmASK$gnJWIAMEF1W{yqOSc_?9oD^Z2TMLwTIFD`$* z8_}td{4SuQ*_%-os_N_6sOpFZio(cj2&HelZS3=~DW@Ksr>?7Fs775Sa#uKo98?Q> z$QX|*%*;{%O6G_C+2u$UG?icg}LB{h(L7sX+(X|sWVA^5{l35e0I*w&6rDnpRFa$gA(q_0k2he(!Q65auD3bax@T4yUMzG zkoZv6cyOPzv9;#0Iq-HaSx(b=*YUc!T&m`;`WDX7weGkV7o^zO`=Q~z?#JP!dL2-w zKrvD6F+eR>$qVN)rCU&)v0_biO4ieW86NK-|1b|*-~Wcqo*@<~O&+NkX${CSd2845 z$GRceI2>6_#TP^njyBL5?Z9{C{*^xQeJ5IfD0OXtpzDH%fjp1b?zZO!X9~_P5|S

    J;O46&(zzss(C*o7;0t?R9@4Wc(-jLTjcq{LGe zi{>GtXjF7&*vE6Ozq7I*b*wIbB6bvF&&^jkm{&M-j6PMlT<_3DgO++&wabbP9-3z~ zXuz&w*>IutfYkt+%D97m43%lQ7avGPx)kG~V7}?wQZRgb&~B0tb?|W8;z`*qMxr|E z>Nn(LXP-Kz$yX~6o11;&^q00PGVeA=L8V_V)XJ@TOy!rMF8n9$(}{-{X||fnzs;Xi z)vwxIHsd&)m+;$+w%dNlBm1gVmML1UxQ=$L?tzYq^8RkN%4l}GBW`du=k@i(abpQt zCR;ZD8I(7E!CgFC$+Mdqis-;DpN2juF{zK$Nc0=BTi_MHiuAH^?IG!5=?dFRXH$38 ze8t>L@FDEX_|lo@D<|Ra_>bc8ogU!L{986osc6dWrDF|X7X9N59{i@CxeG_>f$D6X6kHV<6;_&J4JI8A1@*nC3iSwp(> zQ(|5a?kvPD&n(g{J1h~+QLz`VJ4NJtM}W{Hwmud zx&2kQp>TVf^*xflss&9OzR_W{@PZMT=GM&3vCq{@OHTS0H=SMIwXryWCAzWCeUVB4}h-t_p%X-Y=4K#~NduX^N%U4J`*_ zI`7MgnXzwN71C)8B}ixrxe{dJ0R=IH?B#2vzfS#D`I=6!)**tG&_sns*r(eNRk2R% z99mnP#M{kFgWJE=vWc73W025{*mbT6FvqVZORU6?3NXYUAUlq(38*{WYMK7rEE?(z zZ0cNwxc2!wXY@qq#8`zd7vu|5JSt9-Bq zg-T=1J377U67Vq0`XrMZ?iY90#9vNrHb`?%R8pdfuTb(^Xxk`X1QNpGkwt6oTAP~K z><8R5y00=U#(yQfqgR1L!+-rfALKHDV23oQlf49=!(e|ohPJ>($$NwVs@@QBuN3Jw zU%0s=cQraUiahy4l2I+CCYO{tU%3G`+Kev&vHbgzEL(!8HN7=RkPT=NRSE;jaPd%u z4>1XP1jpV>3j1ZGWT^D?Uh2*XN{wI*Eg#{zc7P^(4kuPl(cs!GSyl>CDiiR!#I768 zHi0eX&$C!*a40<;dvwRHmwdj^ci&P!@y;Kn3mitlJ@{7JmGB%p#5-g;kxZx1cLcr_ z9$kcH)PT4Wu_B4Gr4^tIji@hCDmxJTGQ*Mqxjm_XZAo%XvBOOM3ivzS8tOpxxzFcd2>1#BtPAe{OFrkm@(pbL_{a zvAjG9F;+0orr`Gayd7VhJ#>VCHw2T-ZY=$rIQ%O?d#fEI>j4w5`DhYb%$^1zaf@Ol zG9%)OqWc@9;pzeE5pq9O{J4AMX|LAk8QHQH=&(gG^uv@F zb!|nzMn6Udm1lv`nR*d|v^ld>N4Z9Y1tH>sDYDMVQGj7_EXBf9@7T%r6EvQ;OHnsU zL~ps>d%N$@HR+(|yuiOgc*Z30V;SbtAwLA0E4F%J!5Fkq&HNAUiY`lOX+r;TJ>{`(E@oIn4b ze(byOU_OH<9HSz8S2#9^^L5vRgy@S==lIvfd-EF~beJ`( z3z`dTH7yR2EJcq}4+1q5vBu&yxef9z+Qn=v5nD3SB9X8%G4}R)`o+bk5u-42orYg> z+S4KrA!Sw&Pfh6JwNKC2U*BBaCYTV^yxpA;Xsb@>JAJkcd!mj?F`KaOG{rZp{{=6(R--|FS$ z>gTD}iFo^h0@74GdL3Sm(Ws%m*}}SiBb*=FLWiK;T6*+im$MK1ls9`qdE*ys>=J0g z5>cO+7{9MfH+A|vG;5nQLYXkl`+_;b=eLotT$`Sn<2PL{iD~M^hYmsceT5Zhw_%QF zJkTwYnQ)|osj?4S@d+7CX|PTwZo!088R9040Dg#s_7WsN*`*R zHCiZo$P3q%@GktD>^8aBcjQdC_WkeblLHdiLnz?%}z4$#OcSB(Utr zL8wt%`?da>5~(uVey!5WxF`O0@p9&3W{cL7_O`R6H;tLp+<0+noOV(>v90Wv(OuRE z%5!uJ!tbGkCa#byQeK=sRXRvWTQ_buX2y`tu)-nSd(JZ$H-0TDH&PQewPV*MQJ8)u zbATShHJ=}@0`HrA!syZhu>khst7Y`?j}b}v^C>DzmD@Hn{WI*_*W2vW{btG_D`01+ z4ZiLkDE}7G8$ZuB=Ur!4JAy3;pfAr2}{u=^vA+!1!K|WRq$pYIz|{?rO$kCeYb|l=X^iM zBl_S6>tU{l+FLY(UL}4!UNE;|;B1H@XE_vyeb{P1X<03cJ+`aGL)f7wP zvEq{o_Q_AuSA=H;q+P(4>(+OfEtgU31t z`M;a=3f$=Wc48`3&YBdn4J*0CftVlwLLlyy28E9qa$vwTC}9~Uevc`~pi<;YC>_IK z%RQG(HMoTz)!ZiOQZp%~ND~rTMquq3`9gF+=qWw=R=7Ml)n9uGw4=0Ldm9AhOipmC zf4C3&i5moulZ?M-ju+EtDZOiIZv0*bOiro`l*hW~z13f7a+X_pFeIjS?FOeifjww( z{%%^Irj+`vW^70V zJAR+}w7;OmF#iPzZJT)wU826`YeS>o{1k%TmKPS+vYW;JQ|ic4m<^G31#gkm{zX!)T9KRFiZc>Y%6V4Vd@JgjM~ZZk9(PjmeAzO7ra}H5cuQLx`Bq@B zN73pb=yd{JC*-6BAoe0`Y>@mCTg=1o?WR|@N^FU4v;@AD3aW_Y+fPCZ-LSmCO!bsD z6R60O8dS=e(vDm$yv-bCr_{nj`ro-$WWf3n9YxBS+naoEF3ZLjnEoW zG=~iwQIZ1D8y!99Iczg3C((h9boMV{M0EV$hY2Is3$@FJ!m!F2bFno1sb*!!NOrhN zwTXcG=X?MpxXB7~%BQj8Lji*08c1#3j|S3LC9hZ(9O30=6U4R(XrMw@7rHb1C2FS$ z2*(nA%5IANEwYB?WvV-D$<{b5`Se(-=QZr7cFgnpp$GR3HNw>lf*rX5cpNR?z25Jd z)&%Ai+)vdHQtgp*1Ozkn2Iiua&$))B(a5(k38pW-Ex`Sz*q+bp9gT|u}SLHaZ8x6 z0ct&O{tG{6PGx^}-qZ5{nWQP%KGjnUi$u*suO~W1wdZFzDTIa-1=Vn?LTn%A=fYtIeM^?stD4tSiD+OeoPnIxG z&t=c2;A!yM!P@m|jl7G&FZ#hIUR|V%>ASO$)|14z{*0AFS&)tUr)9fwEoI$%6J-urF{K)6T68iwlrLbgq0Oq0yh$8@#pxg@N}US> z3n(sUknPd5N`DhUHw=}Ob{hY!o$kyZDA z8{sQ2l@$xJzLEf2K@QDA7FGFz2!E|H#bKfR^$Tw6H`o} z3e#s0Ke5ls_~D+A0w@(D%d*{DfI(YZZC?!NLM0T1H@CRI>yml9m&$~npe&kC{SwHJ z;xGSVs8}854*T5{zF)MN1M4|WywI$KZ)1yN$t^}>@v(r_%**hzc`PA zd<~BjT9RiEpM7R#W+ZAay?nS$;{h|YHb3sh1$(13$5Lq}0b0#ia6iB}4mF_|^M{Z+ zw}%)~ZjG#d{poAzmm@O!Ml@Cjb9cFq7JdJ1IRk$d-Isz-0G7Q{@DcJF% z*9wyXP)tnJC|wt&*$P(X<4+E0Im_7q{q|EQwHY~i#$2jsk?^p0lZEvD7+__va~m^;$9uuGF|+|0EX;U8JK>8Bd!El^uU>l7V(^8pD#AozK#9h3pu zP93|XVqc~gq85k7=Cj1r;VSQ3Dz2YcCD)&6y3((XAYGU~zVf8zD$-wqJek8ZDPBBo z0)Q|tW>!H*pX)zgW2@IqV`j9{4oq`?zFMpwQTfWpd7e9lsH0@8KFl~W&P0H>+8Pu+ z811Re0Y2DefA4?%6Bk~=^W5uDxA}_`6nKqtpA@c)6`TxnJee*&WN80(T#PXIXo;rV zl6RE*37AzIWHOz~P7>t^+G+WNa(Z=>)7C65p=D|G5=@5IyO z`3U(JaRRe1d_-`BkR7>o$;e@Ydce?MJ?O(BOa<6Ip$G4+;Nq8TGJ}d)#mEV?Jj2Ay zyEb)n{0_--w9n}LljKUFeXk0G>xP56^cH>c5iTHZhD0USY!}58wn_?VW%E!Q1tJT+ z&iDvz6`stWFI}f`JmKHIx<+-0fo2Omb51?2<3aa1%OQ86vu1ix<4j1U88R!Yc9_{K z#73>w6y_JowfQchnTcOrWY_Tv`$|vF|3f1Mj(lg zq;mI?ESfF;b{RHHm-Lv<@pg*y=d(!5b3vS?R#dbof zZmIr*!vf(1Zw|o>;S}PYo79`+dqnYr(}gXZP}&~W9Dj7f#Y^riL=XGwz`E?zNseNp zYWPxN)MS*Vj>H{&@Kv%yUAY|&DstE9#ZIXfL%&*qrH3!VJl;&c=mx_ACWr2@&b<@mdpvvoQSEbaOrHu(5fvrIcwp#Yq$I2D0)$WT4u&lz-eQ zjtfoSFEV;QNDNP*)>j=P{t%2dAWtJX9PK-?ZmHL?Szl0ePB2#>u9J%-`!-yTgmbl< zNZCx)T1vC;@~|1q{d+w3RSR#`@3BN+I;`lKB?hXxTDyCf;&o|D|81&R3CH~zH`Marw0F+yK;FOnAtkUctDUYhZtTl<5GZj{- zUuE$chr)&Bkhxx z?tPDf&goQ3N5$pLHN^>Y7kYq~F+(_s_*R5q@4Zs2TyK-?fPUX|U&9)QhlQnQbyLj| zs0HyuiBl|*9B^3uKpq^ZS0YkjhSdM@=-_mEIcdExwK`W z4&V^lGF3<8z&-1Ug##bUwc&V}+?pI1Jv!W1b1`)^ zCbeV82N4jwaMv2BL+BI+Lj_}D7LE7?jQOBJIH2nE^e75?dtTBuBUc05^>7{T3!mZjekD%jZXSNLQ;b!Q&@R zh<%76FcY4q&J9n9@en>`i>=Lqd2faZh8L}FJ@WN6Vm|>1pCyYf&T0>_kx{cs)9UCFaO3rpw-zYVaSpdyHy8Z79Ie_B8U3QEX>^nzc?W@_LJ?aDB1@Qp{A0Wue+ zm)7|wXEx0;KqA~0`fD@_E-hs+_(jc;`tf9ZZsPc2Wg?hS;qGahcGm%B;BOf0vUh<^5X{;f3Q8d9>$6i*t<8b4-Q@l%P zlAg+lv+S=Ze55iWbN&aqqgk_?dl1;rNZxPLHJoR;eS9gWoCujx4y= zyJ=XBRCcQ4YrNw+64*}cm{05~MgD{OtWM}jxI8eK;Lus160NVA#j% zdn!*FsPxh2-n;`j&FO5YR2mmo>9>^@(>`}1^Jy`F3wnD<`GRwEyaAq`rLFPT-?#Tx zHX1EAQtd6gHo|Ey+HzgNThnwjHm@fUkC%q4Rsfi^+V=Lru|Go}HM(7sBgOh$zt}35 zTk)Ib;ihAk>(}c!PEg7XFTp&`sQ}7rmol&%71bvJWkX_tX{%!qv1To;X)l?M1V#62 zCRE3KA|g-@VH0Ibc@}5aF{}%2ux>?WZ}6SM-w_6qPku$ZB=(EwJQA?`qKcH}Uz1px zEwoSM$+$Y;;n-po<57#hTmV^Hk`hO5fkw59>*tfN z;K?xri?!T_CSXb<2h3)lc60^~u2N}aY7_Fv8 zh&^}KOaN?#Y^n_ThG+K55~bMqR3pi277yiHX`G;udiz2>BH!caiyg2$f_f%*HFv88 z79ni?J;Pn9Era*awkUmB1S{9|8X^5MhJ2e{tfArxlyGke*(#u(CF}-B-T6f8R|Pml zi&0E}Q{Po!stT4HOsb-lkFl#fZx9}uW_}hXjVb&XqEbne5ylj3FiqDr3A|iJ8ENxUrIWPjz8OLc?-7A;_O0Rm?S>cx4 zw>x=pn&`-Q+9R4(s>PwE#OznYXaA#3jmzQr8W{KM1fYnQF(e%M=WE(t6KC%5FOR5w zKY@Y#dOayJ(4>jj#cDKS6uZ1H&FdBtl}*jZYpv7RG9df-3*2Cjs!z<=0O!a|Um+;hc(&w9w6 zo62e=tZ!zNs$x$Z>l`(QC!xbs~$Doz4~`&pcV&@YKnrJB*|) zc8(X3SFVJ_>#bqz?OF#4J>smWzrMX4_t3M z%iKNO6{4R2V32+}WFdAc!VkeAL%6(zM9^xkF|g#!xG6JX<3G&`ea9}2p=u-{MdfbH z94lrWD?I<4b(0wr;2Fd-Hhul{Y)oyOV-WBNMfWQ_iZE3s44H^fvb=cIRLh)(PLR=_ zdCR_``$?#yV)bfBXQP&PI;0Km5)8`tcvc+q2L%#S(@hL04=AU!=LT3VYK6R|lN8zG znn?}}aT5%{HO-uYPrvTo)e+Oq1iB*7NHR~&4G1n~+=j#CP40DZ{%G2bVo9@1*6{g0 zSNp(wfU+2!qloeZZupCtvdIdPT02#FMXH90$Hs4Ab!fe+ZbN+YJ6c|CV80Um{`|Nu z+y33CZd6rK#L9`;SjeJTZ$Ln$Zu=q@Ji;zM1)m=6(wRfbQ-@l4lzurumi>?uLC9?r^b}+YG`#ARU z;k3TW5|hkeBh}RSi|MP-Z)^9 zlFt+wrW3poDnKaT_iBOJ+Scf9ugVu!=pJ^gE^*qVP`V|*lDZwbZKr9li6&c1u^RK~ z_?b<8g)_#}Po{0Ym7n5tcWOOEkvF$wkMSoO=>=X?+Yk0Vz*`)uU4UAi@%bfLWx*rD_eYAyEj~?YF5iP{dU5bQTZO4 z-h}gmqEG69FdIE)ndH>JO{TrV=?n9$`OS-mmov}g{WIqL5yCz@)+&9b?(pwYvnJGP zBX|Er3yks2s}d~W$B-tWcpaZ$j6l^sfUS{D)=zRObc{6)KMa`iL8e|fJ^b#$4#^cF zt6D3#P2@K17VV$9t=ujutW;cQKX5!GT@>F`w->jq1DXe=1EU9`{XS6N3Evs7r*$f& zQZ9&d35p^EKzehujh9{>q`JqC7LX&Q&uUgYqssmKL_iWAwoiq8i%Ro;myh$ zO}&*gIAN!^-?|8k^;^*~56oRFH;tHn!!!9pq;98?7c_!i!!c(yP!aQyYK6ptYdPD{ z!>ws5_d-!tg#oLTDmf$;ddQya1aRd<2m%H^NUxzN*ed= zjqBjPA--3TwwJjUQNrSYo*&2Kk9R-XtIh*XMXDj={k6gsfjr{w!k3kZu`5MoV0^4t zgbVr8tJ=$1&LVG#{pta1CiQD-tS(7&F`}thg|na3gf!zw1ECoM2dshewv3?qS;Ica z1i<3qCGiddGl32QEb|}Tu6W&PtEN3%ghnCmdrd8?CZ+sb=T-eqa57S8GI^T{!9T!| z%a>$>H8@GOQWkT?iE0EANlv9vhnZTZ*ikFd>5;zotAtyVX=}bWyvaTXZE<}(@+Vt% z{+$MCrbCl05Z$#8OeyQ?oX)^^4128jAB*myfV5keoqn=Meqqphs3?F6+JT zosl2(-g%)cb}ZOIX)RyZbViQe)mN}?TlYos5iIZ)fp`0#z7%1tS`=2kcd4~`Xk}I$ zOpMZvtjfex%6(yo#Zr(DfDfWhax(8u{IcY##OJs@mA4`UVZdj$7OVilY5gRV&V-6*j4lizQX6 z2&oxz#u{ey5ZEdMusGptp6J_2gQMRmbGvUd}kiIoJOIK0v|0?}}qT{_~6Fp}XQSe9Nj< zIUa9}U0Pl?vH8rie?Rche_piMopMC-(DRp-AIJBipCK2TuOvE2r|YPb)494l$32`5 zBMr|BS}QtaRg4C{S#X3LeGbksh-(x>NLVTm!Q=k^L!)cbMoKJjd2|U8)kkoTtvY~N ztVLvW=^!Q z){((C97Z`nL)D)SU^Si6S`c%%JGVPGkUNp% zb0LYTkP_qfs%u^fTdkXIc%2Q~s^Pe17N^VN94dEs+}PiSisiTf3$$D{~cBLxnJND`S!Hqyy4e>_hC+&3TEA`#syJN zV9xe6H~rUKu=7-jSgbA7GxF~1zklGq>pMRu)=lTeVufh$$i8{6_s&{>@NE(Ua}W4n z7T}Wr_!I@5bFwZ-7oBIhZ0K%bNAN-6LF*ymDXT#n#7-C9#9iaK%K05`oAU?U-QK<2 z)11!8nRybJ!F6(~tX8x{85$jAXhc_yACm4uLtJXU3LDmFHQpTHga1V+H1Wd+w!FJ(!|=T~zPd5As(k9{@)L)*9m1{8es6n? z)o1q_04nlt9NJob^Zmi{U;BIZ*bnac*O4=?;RR36aN2x1IszAzXOU}Fw<8;BRw8#> z9<`90jaw~5f3MDh?!`8YkY2aP9Bx-*b)S8~w?>yERzm}s{A1YX7@ZFr=TOsXyMlyV zd(Vaa-^UGKT(k3B**j}fd0lMIs_)`$Z{j9gxvXZ&XXU$Jdh3a8kKPA$I0Yg@ty+Ve z=pMzcxVzlD-6z~Uaw~4K0o{yKjx92NX~}noWiqYTgwGy(ly?xhfa=7=70LYms@(r2T3eO0`*su-`-gx z1w*u*Gtkb9SUVS$Xo|1V&QNjCz!qp|8!(?v4~<+6r{mOpj*f@^XG7zXtpF0k>JX6z zVAl$`n<1HdFWmn9@*97@X4hQVGy7WA%9`1$?kHdRtMaR5d|7Pjr+Dp4zZ%%~$bFz6 zbyPm$_CYJVQ4*a|YOc_2&|hi3UiVJyC^Hv7jL~~Q+%;%P0Td! zhd5s@Zu`*%2XNpJo>A@?nX+Ym*Y781AG-JPe@W2t67ct7Xn8#{p{qNBtzDzhYB^q`HfmAGgiTN5?;|xb z;9ZJIh1EK(TCG*_MkD_;o{2aZ7poM5PA7197xx55xIz4P#SMllU5-xIGwl{m2%Ml+ zG+5&?e{IcqPYYXb-ZJ+zje+=3WTtU3TMhgbM|xWsbL052I{+8%{(RcD1saa`mZ(c!Ga9ye{ zb(f|qw?Tcm_i|r%aDDXh#MQ|g)i?WY4sOr)HNTpDH~VSyUr|q|w))#v)nBs8M-j)@ zqCz?p<-)1)wRtWRP8JF}XDaD(IY}l-?ZtljqbOkfHW%1oGClMiXr0tZPY<+BV@Jgf z`E!=~u|Amd6aPX!9U5O-&%85J3s!~S%@YL437%tNcY2eh5%E}}Q9k$=O01TtL$ypD zs%7G|HVhUmjqMU7dMfNJHa~-Zfn>Cd{iq82$)A?FGzMn`l%P@%b)wZ*=gsQrycwu4 zE!DN~YYCQ)Tif76|82uz@S&fkQ!UYWceDR#RO}*Moa|sR3}-utU^a=Nt{S z`}YYm7n?DQv880Avt^dAel19?;r4%L+Ood)KN#uDFO0bY)MGyDp%r)UymsW8*wW%1 z-#hokBP-k2_Z)m~(Tm$BcKFDX!O0ig^#53U6Tmo%D`B{*@9w#J?o%^8Gnz}IIi#79 zG_vez`I2q<5WZz2ET7n5kSt%ABUZQ#0Xqp0APII3AchcZY;0kZ;IKf*CL~Ta_b!W* zbqFjtak35@Vr%?YRrkm;B)j?k?~~o#uWPEStLu36-m7=4ePL0oB|1cJ{6x4h=BYor zechKVJYTtW&i1dl&JXy%a>KmOEM!>K^40 zWxw)*VuXu@7A zLcOBqTz_j@LKG!J`AQ^JBC=BHvGB^iOZyTUiF@uGae9Gz^K@TX^Wp@D(umS<(;sO} zXPo17i(}lH%tPTV=ijbvHB##~E>UD-^KGlY@QL+sJ73)sYg4w+*Wyiv7~*nvT=@Ee zkkgUfidqHl=X?>h$~3rLlVTn1BHM@{HgfR;Vki(RVj~wD28*QXMe-R%J649a6XQD= zAuK|Kd~XD6+Gw&#k}=}z#HR9f=x9Vo4joxw)b<`h1sxsqZ&brXP+y;&NM!>O1u8ir z4SPegqQ@sqALfK+^YUi;1h#llHw|{}>pIzWs*ACAJr+<~dJsq<-rNw5MDQ+oq!{vN z!;xtr|0Lq)P9kiPKOBvP{F!jH6!K3DM^Otz>+AiKCQdXMBr2Q91Ok4+W{*&61ilr4 zN+cf{jO>e?jGT&a5$wsrCwKLCo#>*KF4#3K7Af@<`-@cZvFX@3+8p^Dc8S&@ZyGvx zxrI47Yr77$IYbaS)`|#!#7)|mpR`MY%kaliKKclJoZ3cwsO71tWUE1Qt~UB&enH$j zdJp-j=_78}@@Yim{~X@FUURqRmOIy6c<_?%HTcEq>PvU@wd1nk`Q*R&YyK8Aa>{g2 z8#zZr*p`YrtXOg1Vk=V#Dj??ljKM}31gwyQjlwJP=t=Z<0_KSFr^uOjqacaAB;~m> zZ!>%BWutEQdPoq9evFaPHGr<_I|yhIOSxHMFSAs9Qsm-XT4*#RjY)gbm-06x6HP5# z*;mYWaZ~wj!wmmIZYjT1=#`clm-?3G7dCC+*6wW9}*A})h+qiA~Ht7b#O~#vi zH~4P}+@NgD-NAfHxF>LT?(Y1qrqA$?7(Q$NtmhHm!~O@759S`oe@%Ewe9G{Y?`!`3 zfv4)8%pKwn2}h+7-{Jgs@*fHx8ZOj*sLWcQTbp0sv`b{#{2PM?^T?rIoMZKi!tPT=uJtVjv(Ol!FFxT`(vB4*G%J=kVK;xs*R?Gon%w z!MHzBZff(FM=lN?@*5;&(m0**;-}y5>+Ai*D11 zK{)M|PkZFib40NuJ^J?8EYrP5-otqWEOt~!5>dC6AU-L1bP9QFhKk1G6168MTHH7uMj`qiPt+u1*v=Z-6L=nz zxqK-RYR<-@CH|JuU9~TW7-7xfv42g2o1kZDo&rt?)BPJlw99d z%s>WGjdia0M0R#8UX0#;{y4q*!WWr!pK!-xu~E?Hz$Jwa#>P%O3AOFU6^V$HoH|)k&*jagTGK zlXAWYV~D%@G2)pC_jZnWZqj+T^koJg<6s!hc6Mgb(U|L;jIZnaf9 ze5;_tj3Ad0LG@5s1^og#*<|M`;FX-KF3GtYG)>xuPuh#NR-%<*bx?%+YLzuHXp4@? z5fZ0neQL|H{x978`MdvR)yto}?v-ifO)Xo3*?fID)jqW}qewmW4xBf?bMLFwXWy?L zdGNR2{_pBL2OnHH^mSN%=kuHM;fV{XUqE=-f>!ir5nis)jT&A)Y-MM0kJ)m3fXu<`1yoxl>K6opdLj+Pg#1;x=%D^~T6x`o_$okw>CWz$fJW;r)^Q(I+zdbH}5{W5?si z+KyCSmtXb2s=Qu4(fL!`Pn8c1XFCJ7oUGU)N_{$+&E+P_d0SqY5N=82(_O%11D#4| zzVl=!^I8UO$=sZ~BfTrfOiA||d&9IC^+sKjDxKZFDGAQz$in*U+VJDy$Fnsp(+K11 zR8!V?ma>9ymhs1MQ}}(HPryy#kGI7!A|*&vzu-pb(@|-6R>{FkSjou|s~oW*ellaL za5C@bd&#%sYq@T-PcQY^*4mqqz7{SoeXiFF_Z=bPg>R`HndR<9)u#JvI+0# zzN6ZW<*3I{&Y~ka!&Q#wT|~9?h^rh)y0CG?spni}2iDdz5O=;5v8A$=GlH!gQEcTl zyp?PzC$tORMn)G!yQH4wDSy-_S4}mwkN5%Zg|)r8R!z8{pdmMI^RA^8!nm%u zig2{uuI18Fuo?nA6-IY#T{e2GJ>c|5Q#qb~RLpO&Xj5)#{(Gdw4aqxo1ND zJP-_qsq0eIKm z)##4S24Y>+@4}{*q|+%otq>(y+;cYoBIy7O)@86l>ab0W#XnbC!>r=_?OT{3e$aj=a~nTw-w*ankDFcsBk(0@#N@EZEXT7n z%~@H_fCgqnlpKh*5M@Dv0HqQ~fvQ>%Btz6{1r!CNJm#%6q26AO;e0uJ#XiqY+pW!t z4CVU;ug$(Ad~F|Lb?2Px!-BB4`-m8F4$;s-(bN;PlyOQS=^@Qp)>sCb zPNy_-@h=B856`GK!&roj*d`c`Xi6fFUbus59Ntw5tLG2U>#B1%t}Hm?0e1FzZt!WY z`bdoVS+4g+xB#_e7_}shER}%`2a~xG7*tzhYg$A`ln&(RhttQ>ucd!U|4aH^=3VJL zb6ye$*+Fh6zf%}yhq>MSZbSe{Vgtp8jm8leS51PSufscr5iU$oI3>mUIWv({1VjFK zIGS!uN`jGLaqA%#%AEnxI7rG#Dv7nKVi-TT+(IIq{5nV>J~p3Hv8Sul9*zs~a2^j| z;vtVW!?VClxKCZdQ?opa1nUShR!0)+2nSd9SoUK|afD&PHl3rVHIEFx?;BH;vf65n z7Sp<>)M)LhdF?=S7_<^s9mJ7p^zXAPcKkd1%6~7KXF?-BF>UI9Bi5u5u_niK)&%iI z^dC)8-?X*Yu+->#-2>Iqyjij8;&Gga?jO4PvlYEbJn}z{XqEx+GNM`1Y5=I50TCx^ zdr4F)r4=IFD?Tey;vL3oZp8EnFSbnBt*zu zh5H~4po}m(4X+e=hM{S2rLdH2E@*V?2YsXui0~V=C~Ei*`iN6{EbhbqVodA#@8W~r zJpbH$5-;gKW;ydu=*#!2KD>LR2#Uz$fWj(V&M*K53>>y!?zGTX03KCVLRSN+HiaMn z1O$on6-NvP(9c5ln<$Y10H5i^QP?j);W%eNpRM}Xe)PKI3YDi+YLGffu?hvLD~($9 zvHMn3QMB&l&YYG{_hF0RGvxp1={{|-N~d64FesZ4;d0a*qFlV0;)%WtVJ3At#b}em&?Okk z`(2Qzw0JaymqJNXcxlX$t9qLfE&iA7eCTYLKIVSGbKG|z{2|Zo_kP{?B71~;foGp$ zpXB!QPdT4-v7hJnSoYW+b?phW8=Pz0TbLcvaF|`@TH@{rujMxI>~g+WST0>v^yvi27(L@L;n;yFd&`3M@)E|-(# zI81JoTyDI56S7#$W~lDSgzCJI)(S5+9Ym5=ziWrxSaZ-Pmj_4&CF_-?xqKoMPVyG*5Y-L2e6FbNw za#;}U*zoTcN9<)OshLHNTeN$7@qU}mM#U53R^l4Blqj*^m=PsEVc{WI-;M);i@mG>`ENF>tJdqbZK1w0jZ9P@owAxnnfq zWa!Dy(F)Zm!l^(7koqN{(V-v;aH^VBSy*8Q*q!V!ixvS08NjM6wTFd0Y(Go&qhv~D z2U!ZR3QMuas0DzAe^gz-!ky%(72Ix)8sK(v6n7<0rX#l0>^O6QH2Eg7m6q$#Nr+gFnOilD~(q zGFHlrFk;;c2!$k62>>4puV%VtpcnskuKWSW&CwN-8SD8snJ9d9CTdk|EB!c{*9P$I z7XWkd)FHcn5{o&BGy=Q=Wa$QRGEhzZrhTS=hTo-r0e?ZAG8udT8Xz#KCYoXyW(0m# z_0g1rrYVLtv1(U|{SD;Mh5HS}Dy;C4Bl`@{;5DKqdIz=d?^GjTWCk0*?_*fzMe1!} z)R{oM%Xpe_g6FWnH7%b>SH`3UHS_*0>=y2J_I8dTJ8+t3Dl{GuGDc%CjJ*&gen9=a z+A#`rcH-KUuQ z>i7~>3NNA;DNDlF2DY&~0(VjO`0fdOh5nj|b=)m@j}b@qbfU%IVZy@-22`sOb|^HX zgnj-1&3hOYrS3hXC}I0C_#yDnb`>rA@Hc?^O*o7iMca?;dVZJ~0*$~wtCAXpYP3H} zMO`EC!y_`aFAT%D5LHxEd7n(l-pDcdAbgkb%BTCV`B@*{4I_@aPXpcP>q8d7eBw^Fw%oZf`Gk-p(tX27;4i0Gy|7L(tQ5A%$+YcNiurnW1I z<~F2v%&o5Ph2rP$SaSOnn|Itekd68hx$Zez4?cG9buU7Oo&D62#AA1lTzh0V(Yl~H zke0*6gFA2fX?upJi2ig8&Ffw~uOXvK9^mGvG+n00rZ!*%p2bs}h5rXr8{nzUk*Uq- zQ=1)|+7z1FX%)f>93T-08OSn}1*GUf57yF^1uv?lv zh@4<#fcG4F@Y*~`V%pZ|25pLyxsGP~KRD5`e|!i__&?Ko{`>Ua3oDr-bze2R7=8vm zw7lAYcNDwPN_?KZ7Bqp0U?%KU~Ndd>CNdcN^%x3aA9u@)(Tw{8Yy;BsM9tPCIXzGs8R~&Ae9;L+*YNu+DyME|$ z$%ZC?l|l1|z_d8`P531+34RB7L5h0qXrL16cDsG15qO(w_u?oBpX@Ke((wrg2SS4( zDl`H!s()(73h#h-r+2p(J34>K`$O+r-amLHuXk2AdhSpdZ^0s7rEkuebJ(ol%#b`f zciP&iZ_m+*oDW88E~D_gI7hCS<<>(V=-bA4MBzi@U(iCRxq^mx8KZdDB?I<&g45?f zYn&*}Y0721%dPRHF@5t4GpF`A&Q1LNv@lNi)g=oKT;Kbtu4(;_SnR9U&ELP~=I?BJ z{N-<)%|$-3>Xz*feR^c)JqLoWRQ1-IZ|a@Aq&M=$?dvAqu;ZSsm96xK7+7nVbe6v(tpQ;{S3D2=<7R!h)nGK8=bK8Ktf&? zbj__XukLOagPWuw!+dIO_L?^z?VR54Xyop`vN5|JE|}K6j{3^2pA9Wj7q7f)w>kLf zeq8%6Ri}e`nI8*l_%w!hX-=z9(acjE8+ktx4h<>2a z+SC9os+4!D?mceW?JXXIztfpCGF5Ur~Zcw+aTQ^*4YFW^8-*p>rjdxK`-@b40 z?JqqyH2bk@@1DDE)9&x>Sh;!G!JlosW!{GE+vXM5=c>P({&@c=N{+F+54wAmt=D61@*D4QLP z2HI%E;}fA&2jcTNp10ZTIKd5wyG{!mVsk9b(ugg!S_OejK7%9~3>LG|L_b4~P+clw zX`f*>vn=W}Pg@;MKh#~nGcV>7A?D|3AsA3Qq7Xdv8a(f@S47khz5 zEF?Lic0^^`!(M9Hk9&RqZ?7)dLzPFr@-IC#d%dg1aEZ!?VZhYML6~7o4j3zTJ zyJ$8XVxYxrmvGQgnWyM59dF!;rEKuX^U`!LjWNS~)gM(;2Dl!8cq4!CtvYC_gLQYs z(wJ|g8jn*ZkVDg>^-%Z=%ZTK5^lh@7Y}%wLa)8R{2OWsY>eB^b z*h?y2LEJS(WHbqU?tozQ#|$1*9T0td9W=V7Iw%CtNmsDMN*Zan2}WBqv8Fzn$K)Qm zohX)Hj+chKmg1Y{bkyIqYRQ_8Y`9_C%TN4Z<&4hdpYC+(yERb##N(gcv}4lFhvw&F z8w2%C3ubNl#tloao%!hcukJe6nIke3tegnwi>Ikc1gp+RbQAl%(A6zyC~8QQc2J0- z!twkJh3YF|F|^E~q*51DK4WF~4#pL&&GM=gM#Q^^N0LmY@G;riB`w zk1~5M_A#@WpCdj{g7t^D!y71_Ry+zBNo0%$EDbs;0aY{?QK5)t#MChA8UJAx4H1sa zEKDZ?ME0>9gI7F_ccC!R2LgQ~V4ss8n^A6MtUe5BBa zZ-CqX_DG@eNI`@B|6rt`Mw)z-dYDxtkrzA7c%-0l0`R!N3P@w)1dSU+pQG-^B1Lq! zk2{O~77lX59NmvjdpI<<6ryBX8y#K>7A48T%Y2@v2l$=*Zl30^H0Xn26YlymqhkVu z%f|uMLi`^FLJSQ9r%0i3z|1=TL#FA%Ec(d#AK;Pj0epP{d~IEIdv#0osy-Q>@UA*xnhmb7uW(Rn+=K2rj89um#8{gL=3{D%@(G%WLme@0aCri<3w;5Vcc>1k z#{tnek)HP^O=4XD``5)X@IcL|5(8=&OT7aTQOpafuv^$GJS(s-32zA(1zMo=(1-tt z-FV{|BgDgnFZPxU_|%s%X?MDh7#V9;mAXm7nZ8Xpcmx@5H3zXizhv|o z0~4S@@*BJpY7SzxI7FN5AF(&kNqmjl)dl6>CqWTCQ@*<8s*T=oqf$t?>-{;R#DmQX znv@$yH$3vK`}#VXyrG8WEt410kLhs|;l)2xr!%(dqX?fU8vZi@3k_OWswSrSbB7jY zOGkP(ET*YWUkJ1ar|d6Ke@vkcs3SL-zh$O20=N@wrEW5B%kL=N*z%He%p_a~ppBW9 zwXSJtp%zhVsbOlDx`%p1J!Cp;K2|t3<)@~fH=Aq*NSi5+Vw>*;cQro-z7F@9f7C4C zO(a0E#*i2^HGmk*i4}34_$lyO@t5FFMT=EKUj)!p>}03qL1&R^H$e&qE$4aj zp!p>;WsdUT>?J=C4JU1nVAKF1sNoRmCLGZ#=ctKdCrWt_f9=$t~tU5;~e4YDmH|YukGJz zA|v>}D$H41S^;rJMAFO@4g$6Yp1bKAOGa;MFW5`fMl#*9qu&~*GdDS#YYcfDTVZOF zzo9t<|I|2R{cP9s)Y+=#rd}=s`?B4th)2_#Q`b~i+BZUZc{1pZ#$wP_ zneM>W(jQi5(Z}d#K?0Pih;B=qnn3*1H%krpB5_1>)MNJog-+RtV;8&PBBoX~kK))D zIl*`e#&{;;8;wq;ZH$@o)m)4lJYG*WW^U=__ymb)1V}_90C|8NkfEjX3<+Lz$p_h+ z=@Td9*Itod$!RfM#@xO%U%c=}uSvC_UJb z_Ari^ChJb8*>HdR%iXn*2bwSOAwsR5sbG(|?lF(Jv>EGfcW7o(xe{*@V#T;Io{yFI zW(DR<=u{9}6xd|QDjzYE8p6Xo-P=pY3eK?rhJ;y7)LiKnT$*1BVyMGv`oVbg>jV!4 zeetf{^X|EF)7^tl&1y+DyUX2G#oL-dL{~KEiNT_1zHY&qNmpE{F3soa>GIIecC6fZ z`%lh%ey7utslIzvA&7^qp=k}hsyFX3@2oyG5N%&Ncijs=-Za-^!z+lfI-Nd8KLDD? zMzTW`(+xDZApsM0HqJpjMmw+{JSzdQy66##fD{Is3D}Ii;{n|}-uviZCHF=j8I+ft zng+!*sB>7U8=GM>uyKHk-iY5}aX1P96brhOT{N)!UO_1B&AyXlC?S&YfZV-s={JG@ z;$MLG;#uIkc=n(qw_(4we)VZlwizns^n)qNUd+1IwA{wt!BL{f+61rQ6Vnb~T&%a% z`{L;~*kUXByKL*l_0k6KI^Syl`oBfcz2?|sY+P#jT9W*uvflaaoZE->I`h58Y$$>I7rqlJJ;6N>h9%=B2j3hLo zt5*^QA!@hT&?3quh*u6&+e9u}uCo-~Zl8Aq3aWp%1mBX*;-Nbz{Q(W#TksOQLZro8 zgb`>~>(W27DA1zpRVZcmibj}gtRRlmTPW@i<0x5Jgf%qhbl;}ah;_$%Nt(11ROXDH zPV0)ywaBi5z86^Y*M)24}Pj^PkK;Kp&NSTx!S zH9biqeA=1Ggx`9@$_tS+Y=|X2qPKc)%d=NZnBAHWmy=SktG=^()Drf}?gITlEK!%3 zR&9oVO(kuj!4!)zp0K%c;rcu7p4!+@a9Jkx?xhZevQeXKL=@9RGa_M(Bc|&{m@0baA+|S&&6fL?pU-)KQ0_Y!v07FR+{p34^8#Z z5Iatwu&eY#-nt^WqEhLaFMA9e#otJ8ShoBaya9xvbZ{3I?GQWWO+#mfMhS2xJ#<=5 zZ_+r4v}VS$Nj^=?_({Y{;)HkfZS0b_BA>z0*8A|L1I~{!_f1)P7zga4`g+qt=g#0w zb(|7=D~3{rYWjZqZo?%u7%ew9b}wn4R$mI#xjm4L$C{f8O~odf>x|EfXJZZV#j%9} z7?=;w5$Mew5um0nBcirNHi4 zr52T%qF_%&Oh*9D&0P@y3sP4oV48bMK$E@J;xy~0%Y$S#5TPt~ZAOT>O~jS3DrGS? zF3GkscKdnIrblb8MPb$g@1aGIi$-<9t){a_{*5hmGBC^#*SDH8 z+zg4RmBADxPR-PO;AU!b3<2+}7UFV{l$U`DW9gI-b!pQgVS*$&5}=4pKuW~>ZK(w$ ztaXhH_N1@7xy2Semq$LrfsdNySG15eIF8?CA&{09NwkI%Iioiwil|Urq%|QCGE*WB z1tmgwN*UpRYGa96;=^rw+L!}vC)!T7(P<9$wDq?Q;v}^VDuO2!v}yq`GpS%=Rzyk#=DASYa z&kSam;mn>4bsz(=TecIKlNlz{->L<_?EA-qUpjmNR^Vd(c!2c=ATRj%x?1>4jT8k$ z=Z}QDY5BYHFUfY?Sd@oxlxZRc=M3E1Id{-+H%oc7I?1UvOLS;zzUi7-&hqqX`^2cj zV+r}3IWx4e_m8f+aoXZ5)u*a2E>S!r3Z^_4PJQUATyb7Ca8))`UvHP%7Sj{IIKwAL z2l4LO_(;s1FsH`0*|xcN=5{vUSYo@Hdy|VAd)qc7*JU=eZFLUj2b=E58RiN35qgSh zY+BJY(6qCOZYmchjnIo#hY|^g3!O?awjPw_vQo~Mneqs|P?d2+8y4b`e+zqtHrSe2QS`&gBNeX!Hc*2&49(1 zw#4*562<6$3rOtNT{ZCfo>;3Jp;@3sqS2_e|1}EnaYv&5i`UN|P6d-k9{i`Rv3t+G zUi}byewCd#*K3qrhJPUb@QLa>+qX_Fr|+Nllka}n{yj*)Z~|J4KEWEme8@xqC=0@v zPWb6s^cwfY547kt4kHJ7-8(Ar{|$-%Z)n750HZY{j-!T?jI{tYMjU>DL=iz0%JU?U z2>VTh>ICfD3)nGhZFdlS=Wqh7o%m+DdCYmLhBscp{!_LI8CV&=F#%OfAE<;QegqAdvbaapVg!`Df4nq)?Dk2%z9{-bi2iuMt+cH{X!o zBta}h+X88+XStX55|?$Q2yUj8&XU9H@I}Rl6t*h1dXM5&eC=XcYDY{`$=mLmCCn73 zO4B^kyfb|pgf9q>h+p(Q>famL556WmE`HhjW#8BQ-x8h|k4Q&6N4>{<$NeWFKlOYd zec(Co%j^|lgv0~tFOqAzNxKG9+O?}oyCxFaH5%2fRx7!xsy9%yL~aIHOKXt5S@|S; zhjn*EY!`}B(Np%n#+?ZN!pGk&?eg5^rCV(?Je1vo*;T)yv#TQ)?^GK_pI7mCy?M}AEi#M0><*2a)#1kSVj=-0W$PqXk(OLuL!q+1fdohed zPN)4b`_Fwt9&I~O+m^r`eXAEDC@E zQ!k!oScC~fAVYQQ)MGqHe7vSO5Al&JXrbbW?_8kNxrir8mw^Zptt8GNvF}zqx}5PZ zs^;H`h-#DomM+$zR>z{mx{!?+nAug)Od+%eVlk0#Y{Y@(Fx8YklS7A&6>;oBnM6b% zCs|bI+t5=$G0-%AG%#2P)w=#VsxD+e#TZ-!L%K-3LR3S7JQac|6-ojqSW}B&P3)Ikn>RT;KPIQM#s1vQ%+2gQ%y916+=(2o z=7w_=$hjJv=|$`!VPX0qo}a-(CD$r-NsFb2nI{|e<@gi1vuR3EfD*sc2aa_wce z>k#_nF+rprVpCHih^HAL5sM2c1*92tO7bxUHn5Fj0!Zva2Fu5Yq#Zk-#zQxzi)d_! z5i5;FHXj%L(3+*jqR~;`nKC@e(#Uu{yVO+VTS% zmtQ@7;`vu!g$fp$C;!&Xz+ALF^c)ADJ&XG6S)<5R7Ux> zw7YJ3#iRQ-)-Pl;1`MSU@*)mf%@aYG} zWry9*Bsm(4J__e<=yuBn=&k;)o^J3)n`c#H7k(0LRIVnGd@r7652LzIKU_Xtcf7UC=%UbHKfTu!rTtr?2cwlCrr-%+O$<}g4)$&<5(2!{mi?1_sE zNRq;LpqU7^#$e2_H3^djt@OsmOgNm)jER}iUtgt<$eD?x87t|K&-Wj+Wr+}~QgS5} zS+T|vN_ItdgE*LdH}-DwFR{NQjX1+|_7chWx<6D5XS1m_Ep=XR$RCxnj1;enH^$5H zMeZlvPkNq=3x-&0eQRPKm<{LfGlj1D>4`bXIjOt(VR_hkU+nJW-KpX1qw)hdbL_bM zLhOa)OWD_BuP1*Q`(^TEHUwA(O-#lu#`uJo$a_RLT1GVGS`_U!WR ziryW&J3gFs-zDDZzAH|f#9p{v-fm^k3Xj&NSWJRETH|H6H7G05a8LoM#vrgr=Ab3y z4F*GqL^vcQ6KKJ>MO8hqdPU#`k*`lB9jR0jt!=SHUJxCEAbOl0ud`l?IV33-t*_5} zybh1Yn~F!h9=C+32?_PXarizW34-wbLm_Cf;yW3b;rps&vB+{LgfK3J6R{TR`-c$8 z;W-Yk0Wl!JCsa#PMU}0uPa2d9mbDTbfd>zt0BciGEb(-z{#=h2?(@QL63t)Q`xU+g%PK^!5St5u^a|{Y{yhjUD-YE;=q@)&$-Jnd~uSygU(AxLZgFp!&)Nd z*NC7RS)|{?D6LUNCOBLQTVHv#=t;Ob;NclThj8-Sjzk%Tm!zscNd30@=UDYub!{Dp zI%0x>P~+$y;WKx2xXsvt)-6XJ&e1=?^DT-Ud#p2UxbPk|bMz=p%`D(B;b7*)GxRR{ zSb z(Z~?W3daD{sGIZ~xlxS!f0BL!ky&r(lok%G@rwKtEy}$*UMtG81-7GYvCK z-K|sFXHHyVxY}@sc)N7F!LrbGn~MrnR#d2dp#X{<*;J;8$$2A=A$deB8Ag92L_L-s@lX8L2GU@{tu#RA?9$9TMO99|9TK@7jqTn4dFY&f zC>HSJ=mWJ$_yLY{kF6i&Gn*=Buy#e-TGqn6=Cmc~Iob$8U+)=xsV0u?hy->~p*%F^d5He&g%#q2xWguXP3r>aaYN6h6`#f9_>CuSwdaen#5NLT_toC(N!#87N0LooUbkGKW#WpPf~oJlzhprr7N>IYSnj~y8|O|4*d(__Haa^7 z*EO}n&o$1Qy0~rj?blhow_Y=)Y3dD&>vye-L>k+(&Be^(J*m*-^c~gj-ZsI(n>yMa zn)+GT*WqpKFV9$kXa3acEC!&NUx%2ppXf8+7bo*I?j&kqP7d-xU26|v%JZ-Gq@?dGku5ezVsI?5Ba_jd?@^I!(-{Mxt@G| zb9AN6vUhAwCicWOcbrTzv2EM7ZD(TJwr!ge+t!zP&$;)UKkoYWTD80ItJ>8MYOe>~ zeZ`IILi+A^s$LAyCDxV2lVv5tn5Lf=-*%o==RIlrqmQn-FGNQQ{5gP@?#7+E2MPDS zfZ(ItqkAFS{w(@8C&_ zNR|)7IV3%uSx>>#XV<9e6JsRYC>0EK$+=1StopXo4cq$d)ftL|FiQHJZVNag_4?2WH=tnSazrM}-` ze9h_>Sx$1-b+kLWA^u?PHq!d1F^Z$Bxz|~m1Ic3H4#wC?2 z(~9I2TgrrFa!CEURp^IzJ%mk9g@HP>v1P&VrBF%jg4k$v9Ai1gZxcguSIwxaTBV>_ zJ_9%+bngNz8>PU~W2O>_AI>(e_7ihPIxyPDYR$g}Ta@7cf zs==w!XxSrJGvD7AY{iI3Z+ERqp`gWk;miltxdh*!a!6KI#TM`A^HJzkWuTN1tCG|szxn#xNx(KDsmOz9h`SVh1E{t)uA zwAAFp7D?$3tutrT273#9<8*hrl+*Ok#RZHNAMEjE0S&Wt$(HDhBFdUVN^HO;cY+ma zdq7GEehuP#4!`8OymjHmlTLeBI#mY!`C)L0uW?78iroR0ld_$uRSYFYd4!omixX8} z=qCv!10TCmqO(*U!h-4$J$=wO<3Jgbq&2r=Vob@73w)A)l-r*^8QAkvK2YHlry*So z#wXg5M#~RCx@eLB$2C;#v2<6+_2bn2>;An(1>zwpdohj-xgl7Rg19+lTBY?^Q*X~A zXoUiml>LP2tSYa&lZn(6j+x73Cdc;_qN$t%9fqtv#q_zZdglN&C#bT@h;9~VqzU2n zp1{cJJ$sj;(|V`l=>?S#zI=g?DZ+mH31=*fHPEtizn>?OX!6upRct{sH)f$J`n%3O zLDe(>=-$9cDky~KC#4j;5g8`Q-Hl}8E&Y+VX71XtA35kcQ&{Rop1Ri)lVz8enJpA& zjG{CsWw;N}x=sC0DBOZiHX2oI15ZwoaV^e$AK{D^X5j=|S0x{2PEISJV&Pd!93v|f4{Oo9 zJv+R6f4D3hV)dy7+=n091~@)=ZG+rj4Pns&Fbo(TVy_j9aD}%C{|H7Swek?S*yFep-g zLy)91adU88bZdZ%pO@xJlgk3#44V|M37OstJ*Lm5oK%v~DcY$2lUls)5>pe%)Rel z2aM(RE)1?hw)72{&yqep1egQ5UN6iY)*GD`pA>_2dn2echw z+qP?nIfPfIeXSivzs3747dc}X$`>RP zi`|gcv^6^qQX@;FoIc7!{c-E|?s-eW+2aQ5BvAdZ z^6eh}74J3qJlysUm>1~o@(7X-`<*O0okwYC&S#cT+o>hRWVx4sYM zhN`gf71gLMdY0kJar=6mo`t%ZTvMFf>`Xj>6-|XTba(V2x7DT_06btnV=<#L;{x)z z<3{T=K6Cdv`**`IT3G=Dad3IgU_yf3;VMasXKZtMK~cxSeFffvy9l;LAAT(jr2UG^ z3=soCco$(xMH!WG-T8j%HbO;O>LDPPur%YE&6DHe+65U;`g=GD^b83&qSDsmV0eLE z&iA@=r~UG2H39NL!}7L)HIx8;W{5mMo`Qq(cj1m2i$;y%H;P2D?`|^YTXKFRN&EU* znN@THI0bU!M`FY`;CXp6^tqe&A;Qjt$hTOlcDB(%*x?={-+X+LPC_-%oCT4V%az)b zlMIov(x4$uiFcvWAKrXmTWf2OO?dKMa?qI;g1};CcDQm2yRv%SzU@n!ynT8YZ(pVi32C+``OsQ`K zjM4bjrH=zfDI8S5(W43?VC)m+OHpwr?U;C`(>9*YkE$bKYu~QBqHDLWYVkvwCHqLN znvB45E;(-=YM{mo^nTc?K9wp-q&)4^mDtR0pPXePhxR2Ez7+m!O4nk~(>Nb-IX}tB z%19ki@K(EwrUP6@swzw5;=(LuXVN9y8|6si!Kd2KxVDUp=@L=#cZ2Oy4c?yd6CYyJxy_c+4a;<5d z(N>aIntv^Oda$t?QW5V|gSf!m$KA(!>azHJ-fLcfxYCt$7KdIc@MDruqB-H(4P#*6 zBFZpDZhee#`ohjF0{T|`YOY(<)fYTvN2{K+LRcs)Hju8j3KW8dS@&sp=NGYo-_VXH z5D;1W<#e5prc?BZ*~bJAv$$tADrJS4x%X*_QsF{55*Z;W(gh--9*M^2gGQfqKk`$S zi5UpEPFFtMRih3al|G*6R0bh!eTcT)#9RPcFVE`Hn4(T!v|JC9T;8!)*JhPGsC1Ag6QO*c4L*o;gDxRZ^++y>TtGR@?9~5 zO&N888WAjy(n8247~{1@*M5W#rF;UxAEoqr9saSFO^vwS0Znx0ZpD)MP$mBqxI0sI zn8-bqb0CM(^6?7KHkNOu{@~dbxdixlr3GHlkZ%N^UGeuyp%xzh0wy8iTrhAQqQHwR(kg;o_pq z=>9mDC9Ouqm6yeAwjy=N2)&$fmw6eu-G&kJkBI_B*@k#hKI!^Rb7oeV_Q~;Sf34Mr z89$WXv`&d%k9i)SF)@kAWqK*uY5mgl{0QbWzW@mkbS8hO#?eAn#Z}BL%jGR`Ui@Tl zbbV_sec7kd%^>aVa zTg75pWLT~m&Y2U47|#HK8p7w@I2<>Up9`n;$Z*v~$Xn5_aKxV9P9(l)oIbmc8N&~r zbKHmE%@3h2WRknE4=1D>|CAol3wY|d8tBjbqoWF#vz+&=Zm6cjR(2l*J@Fe~aHLK~ z@kwY6>90ci^vm{%^TmD-Ii^3!<7-05mMu0oF*gyl4aNi%UFZ1_BV}00nc3y~_Z5h%>$< zsm|J)IZ+b1IU}

    7GJq&fNQC^~HvI69h4adLs=2ulTq`R&ihQ)?fZLJICxh(v&~K zrgrrNY|5(w<>bR(jaCjy)nn$M*zM&&4R#961xZu6l&2q_DGn?@y@BL+?MfoH#b-xO zZb;inPki)mKIvM`kIO~qY2>}Gm~Q!w;Gzc98!5Z z!=#+YX+bDGA+m2NJcP6yG-*B!VD&lul?rl@d+HQt3{+4dw7i6)u zZ@N!3)kG8#wAJo2LCvMb+_i2f3cLytWoy)`CgK(u#Sa2t=<%qy8EC!%{^K7lg<&jG{^5G zG)tK;z10vIp1Cqn*-tUwz_8pY`GBNy2+5Q?&;$0vabIM$M#=5Gb5VWQUE_3UCBM`Bj;A%V ze$PnZKuqoe={e5vh0TJ@Q8RL~d7XyXkc7e0o5Yc|HQR>`HU_>G0cfZgOjC{kYMcHSTZ2(Pkb^ zNj0N=_Vk>Eip3vlRhp8krX;RT6Na8$zuVQZRbh^^Sin}r)GTRClRsUliSN)>`9@W^ zt%S>ew0s`g=1%xTKW;stEv_E9brdc|7wA&gChBni91=3`fj3yxly)=6rm*+tL2O{1 zorM^wYfxGco?-R#Y>J$KUSAY^X$u~7J6f$_c$|4IXz1f*9jdQNlMs$#t%MvrsfrY@ zz^z=me%`04jBH`ae{7iPNUX0`npL_vUj?}TQf=<1A@|&8Z=V&czQ50xtbcCbshug` z8OF^w!X80M8%egB7uj%|ji$PPL^ysz@ZK<*y;Y}6<85wq8T^{MQp8_CxL^_gsZAM0 zqsg{BnC-;H0hN(;nAN*!+})d<*L)2-gie za)_*KxNhmhaX}5Lse9q5Id-py$dIeDTVGQhwDG75P9sQgDdxK2zE-O|u|014&eyh} zg7BQ}*NefBA;(e-8rBa>_0uJ4)x)OjxAPFM;T+cP&ss3^2>e)8+|#{ZAEz?M91fcT z^uU$qoojGPF|`eq`FUJB@FU)t=2%?%$62x}-%vKxEPcZW0+Pnzpa3>Y*`fyC2`ekPY zovYc8wXBV1%hr&XRSnL6=r&oSC^4c9&F^Op<{Wnys}l`aO^8n2I_?tl(ac9uOC#yI zCf)Cwc2-&>hd#@o9yYV9G*;a|w8rL5YR_N7!VhPIY}swNl9r5SIX&y&Dju+=yI`A^ zSI6j0zaCG%d73*&FbWxcEHXsYh+Y1^Hb2}N>`rb7Rkwses%_7nKwIFLu{n_Wz<~@Xnt-)jF?*+3! z6=H{!_()x~HtJuCCy1~rK9A*^qOizRF%e+D`WK_SX{iZ6>wjJlHSN*&UUWW3(T~Pw;E?w~9Ps z`rW_AF)V#80pqp7ou})>VvPRGWiOSXL4C2C2m4~^E)plQ$`gG)x20l}g_J(Wine$D z<~U+3LOJ0KZ#k05V_oHepwi9e^e*ToQ0p^arQW+aZO!cbv6#3S0kMYmbEY*$3dUe@4m^1oi$mtA2+Gm zTM0M=B>dsIay9BQnbL|cwa=vGK@Wep$PxjlJwQ<(Qfph`q8m%7emjk&+dKD2xV$9X z(ddE)Gz8+6Op2Q9oKdLhJW9*zZI#T&X&OM9_IMJ5Zw9G>ULZJMxeGlg$M+Fmn6L`A z!pGrCwSYe&(X=n*8IWC&W6+;!pOwF!OAqFjn~L5YO!jq-lyl}1E4-lB(-q8ux(PRR z=bpF5F2E1W-oZ^2qD0mU)+BrimV{#Fb+~a3v6G&UXKyraw54JD2t+ccO3ewbnv60Y z6D}x3+On(^MV63q7fVeGzNEx){>XM_V86`9<&||{aFUc{QI)3B9 zNG&n;y9-IGcJOzi5SE)zfjWJV(H3Lcfwfn~lq#F2oZJsFN#|$K#ju ztS(DVbcw=L@i-oAe}GkfJSpznj?Zs#Vud-Jk%AU;X5C`0AkuOM5&C*rn!J3|S-cKW zG9+rwAk*v?2|1P|VO=VmSvZy+GCdbNQwe%t``tR+AQ4QAiR!qVLt*(8^ir=0Ii^C^ zO1&7$G{uD4ph=CNA%pjK>}jE~>As5)*weOXEq^?Ef(?z}HX0~M^(vTNLr6S^38rv| zkXwi)3D#Qzzzfnx)Ex_aiF*!u<9pX|Ki3?d{<>0_Abth)O zk>XCS+~yG{QrDRrJ0wI3zN-mH7OnWq4bSM(RH()uu_sFknhMm(IVdc}{=WK&pBAtr z(vTk(I{w=!6YliIHXguS7(qE@g1Jy%*DF&4LapEc5R7;GVT>3{L1ij`XeK%_UYIDF z_8UhTUR+<_QbJY9Nm_oczh7uK1!Y(`UrK%yOPnoI71L0{5{n6vhShOc#J^%#nDi|j zo@}0ZOlWgY$65PubMnDN+xU@xwIkWdv2h6D;p;uCtsIpzzB8RH=R{{zZGb&&P@+5+ zEWKk0Mx5(!k};72-Qf^4@h(yBAvMxF+fLMFim@}D%)zhHYl77?%BP9RqL2t)9*dBz zD%N9g+?V7BrT>7&(dSePO|4OC#szwwFhm?lKz-{)xSGUMx+*$q(4Heal1xIsH?Nv8`=mftVV2JoV0GYFo;RNK>god+tVB$+69N)dSl?9$$D) zw)DmE@28NBXExDaWVu(&EJ`?vPv5QXeo(bU#SZCpzPzVDVQ zG*|+>Uk~Fca4sVM$dqg}`YznC3ZM3)_T3wb( zt2$=2V5nA%*hW_gu2Y}BvBqzpRj2if_JoT^7ui7HRK4{UkW+nJ)0uwmNV!R-CV7!- zLTV&dilQsym6vm=sJd3y-slAWFK4*lGdk*@m$&Hm0Ctxcm;<0rpX^LX<#s*Bab7nrMypWM~lPjlrsd*(ss+z&_c0$MKe zKRh&ratyDSqMz@M(URz<-o5SZsvr)MpId}qE;eF#(nALXVpXSKgr#5`9COMfWL%DR zrV$Ge2g2DY4Ct-U7p=GB5MeAWrFLdCopcXkgQm}qD33vJ?0v{R2{wcaua%e@B6Heg z=`j56s7sK*e#FttKGJdm|3lf`&(INY;19gHogEqt3AZxzZGlH{&H^bS)!suX5gB=;+9Q!!{du8f> z{>E*F&IXkN*2tHB0e%|7OxpZfvYMy)`G+8`0Mb{Y^64I(PC2v*?49 zJihDTsw?uvZQ7dSE^XTy$P?93_;bD_TAX8Z((XuggxVsGDld(o$j8VhV3vx!!*9By z_iMq3m`>UPSLwNI!fA%I9~xw^EM7b!WX7FuI!Dw3Zr^fvLx(ej_N2a{3z3C!l38Rx z9D_MX@g07B5l~LVg?>mpFoN2U_<#`iZwRep@*;YG+JxVF<3BNPQAs8 z_@-|E`38HxX;l2?0{cS!0&vlG@$JOQNo1Yd>Xm9)s%@%uOsY*fIZSYW<>qj-VAM`+ zQv;9Ul7cQ)Jg{yCJzw{qO+Wz44sSy^=)Wz0T;{_+K1d*Nt@zLjP0wHP%?5TKkWEso z$X5m8hPtifqYg|9Y!rvrjYM$zYr{TH54+GiSrkZ}P!p8a-E$k^N>d5sOk^TF6`h5y z-YT@qH#o8T`!r|_`y~R9DyT*R3*d&(CvEJ~E+dz^cQvdllw93<9{QPc$0Ea~RVpMv zJufE&vADFDXqa2s(aQ5AeuWDhcrS*No_hR+JzEa8CJiDRsKD}&Y>$^#*CFfz> zyB%L;;#7;2qvl2Hreob0%W{FUq@yU!S>UpOr+tXLw$F?+3?k2Wvyu=VQmsK72;V6n zD^TJeplrZuz?|JAS`bMC;@esvkKc|V;6TKt|9o51@-Y+{d@^p1NKDbtAWo*g3J>I7 zYs-7B*+&4G1R`GUgnIs2X_-uG?}hh-aOezpG3L;Qplyt;?!nz%TW6r|($7bwhZ+VE z8#^#={ZpsQnF>aaCW^W03TB8U_NMspytoN)QW5T^dp?#Q z1{i|mKoDMBaPd~$eQzFo4ad54Hfxt&a_N%mTjh>uI7MBu)tIclq8xhV z*!)J3+~G~-9zD8=wt{^RV-0nbQE%HGx(g2n+vU-go9CC%LG>2r&>bfOwKP)qV@If2 z8A^ozqX=me@@6aW3Y(ydHb6UR3&o4%ib(P)zxtVoT67 z{K)ki8c6mQT|k!c%a-8llFTRVwQI^pT7Ga7fXKn_!#Ul_TL}swZ)pj(NA&Im3;IT@ zOBKos>|!i%JDk8<4yuq}3Ke9$rwC?8Wba7rA=dcEoMep8FxRo2+Z(Qml>bE?_7DvJ zVyV9)JykvW+ZtaZBi$CtTYj)J8Ty+?40dQ%noG)4%N+JEpE(u*aOX{Nk90Sfk3-#o zcc*Ql=dRw%THpB3@02js!@TZ@y8yqFE~tz^*c)Cg!yU?DHvc+-?XWk*59j@^6)q|q zieWatIy|UKNaLShL;JA!Ck2(iR}Lrp=iQL-CTHFXhL!N3Sbbdw;Kz62@^ujK;(J85 z@DI}eAwog~!%uGOMc?c2RR#X@3nSyF(un(8P&@XSW}U#{IJoQDf$D)6lZ8g}4_-gK zrl%IFVD-vSfM`ifIGA|F?9MDvfph|oQfo(jPC}9uwP&+KM$xGJvFfhsx$6G4%Q{}N z$Q{xY=fjN?x4XSnMq3p?$GbPH&w7HlYalb%YeZx4*`;VO!*X=iy|UHUs&D)zZaW3=5t%MK1-`(9 znO(62*Se{n`RKbU_x&{sV{oCb39oUcSJey6oj5${fWYs>S;6J7WecZEVd{sIW-GH#oL3FN+?!mx`oWRP<$j9I+SxXz~mB;YRyl*?aTPp8<+&Zo6>w3+wV}l z?NSvL{?s-OLWmAY-r}E4Z?bEhKDG3D?O7823f>mO`0~Jl&(;w|rMFY?@|+nSS4%;Jhz-!!nIEQzrr0LlvxQ80&y?lC zIq)o?mZwy8(YFKFDw6kg*p)3%Q582YzHesU>YK1Sxj`m^38B@TzfItmQKO1qR))-2 zHBdI~gc5bC?wexWTn+fd_Uo?vWe z^~BhFt-cZ^F7>hX<>F<+WWi)XYa=A@*@5aPYd&t=h5GYsu=N|uA>zz(nq|YIO&0%obOv+9zKeriESFFwny82J)pNCEg}@) zo2NrSXQy%e#Z7ND%ZN}1;)zY1deZ$z3WuMJjsRbFe+#L3lD>o1wKp*N0edJsjG>i* zy@Q>ht|j~zXRT)j&%j7PPwp0V6#gu(`Wim`Vxj##ttR~tn@5&U#U45+Wk$+!BEIh-`c>C zhv(n@hH**POc;ww<3sxS=m9=9qGwQC2@!3edhvL+VjIHYtZd@>-ZlkwXsRJ+V*&e{HeMs^N!jmcZYar9Ublwx?LQpZd=A@EP)o_JV6Bpr> z*kQtZD~TjIo$h|hEM4%HgL%xMDN}XWTF3Z|sX7jK85^+cN4-7kQU^&FIk4D?iT>cF zud%x7TPQ?TQ+%GJ4xpSu=o81_5}3>z%G8q z>k;tbSBLVTEt@CgeMWVsJSOdp$d=Q!Ky~NLPM6cwGl6Y>+R>Hdklfq3Jx6yhej_UCdFp(e__nGF8#H{+`_L;!iFwQ+Yw+M4JfB zJT_hLElIO43{kg<2FckQKbr$rY2P}?g1x#aU*92~ZrN)7uQQDKKZmHiqn^XxgImGQ z(eVE`$_f5C8|COEbnP7kO?2%D*xBfmO${7O?A6)W*a+C!IS81Tm zU3%VFf%m1~zfQ|HxQgl-Q zRAcl^Uo%ER`4R?D$9c+z^ca8$eqHRq#UMozHkc7F)Hf=g%9%G<%>?m%6a5p{sMe<1 zwnS@hdy}X7)+7;(2-RK}=(A+T2F-P_ zJB=q)qJXDDJ56Vuag^|+pcm*~VC7Sz(7oU&+^{yRqXPHF2+tP(9I*iItBI?$b#9Th z)*|#UuV2iIx=)|YOf(uF#{^1L@(8L}qFSD$_|)_v)s&to)Ro;#>kRP$-8I|_y9uo?d&cN3};{<3L8 zg}}|;E}?KFLJZxCI}`g?ek~`2^|rm(~(EvC0hjG_&>D;>59D4QiZ~2lxN18VXAh53>J=H>R~m* zdHiXbfu?$ve(*- zspg~{c>39??6n#Fqt~O?<6ENx%sINOWRk<|Hx zlA3vYnKqmkRUcY^+e=~R?lU~$p=pXc`0bIgWnbk#fGh219@Xpq%@KU*OsU`U-h!C) zi;l&(jyc>%Mlln~Gi)82NTA|PV zPub^P@L<^ylec-^VB@41f04CB;SgKfvDpW=@ZMAvoXADzcYk$ZU7ff7qV6X zuL#l4dLL#hUMr^0ls`Rg9!A>a;OPNG^|;_2B@lR|eCYAnD33t+A~W$vsu*j$)9&5q zMB|I$hkq7a$U4z32OzJMud0Ui>{yOTxp59~(u2qLAFic72tM^cmTEO?-{U_<3KgJa zJ7oP?#{+HIdK-`%`03iVR}nqf12REOJAl+)IZbZ2X56Q-fjP`=NS$^xG!U0KW88>3 zVTNo0o00h4kk?h$+M!V@p=me-+60I(Susn^=j(?pZ7mEJMeD>_ai#_XHY~%bI-QV) z)?-T})=J~b7D`;@Z#_ai{m!IbY$X}v>Ejt1OwY$7@g;-vd}bmiAM<@BWOBiBMJWY# z*=-2ZbikDw-$BMq`NU@w=|3t=07rZDFVrtM8i;*3gVV@&I40}SGt$$S)0aDLsPCA> zuMlZ-TQlG(@u==LV0Q&L*4=5g*cUx*%l8x>WFOx;v7@(*uPNTR-{jxK-xzt|mjF-r zPnvoJ!rw^u4S-dJlwub01Brhjt_WTbJI4nP$M1o;;^n`=-iY6jF^(Ae6Q8sUXfQdW z+8D&FjcrVEkGw~`ay&9V!aUMm1wmrAxFBBcQa#hW-M^Wt;$4*}IyIc}G5H(S6nlR1V8iE0rs7+ zC2bEEBpychi=q>(ic90buRL(l11w+Dm_YCN1Rw8d)k4*$c`5m$Ci~!3CcY<3^&=7q zS@oZ9TWf*5n*ybJ9)aByXbHRBwahCyd{^(z{Z>NfO9Qt9;Q?b|0=pvh(W|}fkeyRy z(*@GuifX|dW}WPfZ%F_=-ROG6V<3!5FtwG#PaT7#7Twu@4l3FvgGRXxFx>9DURx)3 zi&&SkA{CL_B7y3F_Oj;gf+G50etHMl>$lFX>Rav<@e;Ed6mjL~#IN#UFt8V!jz*73 zUJw7K!Fw=*^o;*uct<72zi1!$WXaQOYeF)7>^2{;)h%|%-kH%Ju6Y#h0%i0`_6G5c zaw7QYFK^%n;9I?RzJgtr0CC|_4j>(&a$$-X4F904(;=aN1jPAkb%^2Zu3l{%71dhX zb?qnH4k1Nn_3ZC~ki~$zV6u@pqCM|j+L^}lN-yMq6DPJtE=i<=URa29iE$5sKeKzU zxQlGLhpJpzuCuD@pPY|u)Sim6n8kNgrUXNX;<|(+>(Fopz+7?Yfp!9b<)PDSud+NZ zxocrKhSi@gO~`nF7Iy-FmxE8)LRt1i169B+7GkM@e~2RZEolti`)6?kWrA9Ep?S2$ z)^~HxMJW@3lz~kx`nU06e+)Wj^M_&*yKaQ|ITATcuVlaX?)1v{WnnJmOr(LV zDoLAr2<}3mTjzQnflgogA&*ruErzR_tjjF9%#F(d*fgxqkxZOip1#tiD#J2PYCWne zfnN1#?QgP~yAn8hVM+*Hq=ySY$ddY*uFxwl&5%}!CajS8ocXX*#^%dq(MM!*pKU&G z6pkyMA>8Pu>tdNV#3HBv$UJ~bB7|ip{e%fXXhrnMNB}k2^f*>dgS#hIKSCeF5 z?uCdkYmx}=6W4c{+juKIGE^L+Mf%ZMh`$tP?ge~b{^}P2y_^i+y?`vFUjTzrorh_) zjZXU8!dxB-0q{dkVx&w(2_qbrb4#(pWAxCp(QpJ@h1vI8kW~x(pEWkwrU4eYBt?Z{ zj7u|dIVEw&Cuv;Sog&MVg!V$mSs%$cOxUMuR3g>Sf?+6nu6nDxrd_s)d;LNfFVvO}>8Lrn{10llx=S?Q*Br_BP;rNi_pD(tyy^(r2$ zC7PAds-|4`XcYr-;S2e?Q3?=7hli7+Q&^c!DgMB>UbEFs?^o4sN-F|3fWAIh?%v)V z$-e9B`Dvr*>{~eeU<_-6<|41T1fd~$vWGPhG_OKv(wQhKes$66cEQnxG=48Wfaa!1>Nh*vF>2MgkSA|L^Ao!_11 z=M~h__eM}oY_yzK9$ugd6SLx8na%p1{<|(>cR8NQ0^4$fbyBH}Oe7ZeF-M~v46PaC z4`G#WJKp6_U`E7GycuALbXSy%12)--m0M%Stp!guxqy8b*TNA!*0}!<>{!;n3TM2KSsXq;~fv-wFQ$W={^*avZ;kj==1YQ zlO2uy@)zlK{TaV=B1-RrdQ($t$M7|Ph$OfpV{%cQa*^Y>lYY|UNoRy9{;p?9-o5AO z-&RWEL|Z}U#Hy>Z>872$7a!uqIaxom2K-9f6&_-MJapd9Rxhd&{&_W=Gp)-}qP~VP zrih;OeGM0xW-@3ahc0-CgTHb(ht1U<*Q;|FnSt|7j8=dTghf%YHS}<2>vrlCJ}Jzo zBL}2UQ#aaC$d7NN#0Ap0{x^=WH=zP+@jZh7)Uo3e(jZ3^PCO`zNR(K`WX*~sYZ@-; z&PZcgZQXfKuRt`@(4NBqM#P{+*VLhn0TodQ5g4)v>w$%ox^3o0((Zi8(6zsa1%qcG zJe*$n#SVFX(O`KL`PiXC?OSn40x5%JD-Y%J!*VAGhbx4H0{C)nhptR6`YxX+JWt@L?7r1o$(0)#Bz9g||c19EE2YJ|9s#IbqzU3aK zy)92Zo8+wRQcW0RZujJ2q&b(&-sc|tjEWv*egABS%A;N5O!mlYRn{xQg7Kift~b4n z^Y%=%oFS`=C;d)~Hb$>RWE{k|sM=|OQ)e#-LP|NwuK;0DjfD%59*Cn-wa9U|SWYd2 z7cW-8dIa4gmdGSsF{_&OLpWhTH=lGcWiuwnuC%w5yA+i2x7n~-PVOoadBI3LNkM4} zFPqp-3Q3j2FGsO^q6O0hbw22q{4m3#GR0kX*YOfLRRyVg==6T!{yjV>A9RpSCRgjC zvnhoExKu1fIQFWr-*el#qE)&yWwrM)V+_^nDLVPb(N4gXbiwWQHc5*qQ{Nix>7^4@~axqjas>^50=G}O+%GX>>>I(*#gS# zvZh4pU(&A#l-JQ3TqAk#C+RgC4|@@t0Cil#LUMG3{axZyGctHlgSC785@;e>K9L*dRGd2SAahwNTq$oT3h{I)?jH~xa_3hmx3|qdf`%;<-d(NUQ)Yjeusn*5&fxG43)Kzv7n4WS#0qU_)az3vqnB!mNLTz zIsIUCMp;M&due+pwPsU8T&9uNlHVL5fY$^v6!J{Q`*ODz2&jC~DC#Pgge!VQ~9%ciq>x^9_?i|#s;|&-{jTGM;`O)A>KK7{o0oqWAO)i=!NLI-zPH@ zvZzRFhc0-*fmRE>Z6~O=L)T7mRqCxZe*y@!Gv2h)@jV&|##RQ~3Db}b`%trBhQ?~EHof;SO5Y9Y#d9D^mk;rVZlgIhi!}RxT289) z$JbE1CloTY0obsd7Mg=J(#Kfou9^waC|TZo&id>hb=9tU9I%juaG{WLQwqLkbQ>1K zB;#&cCcgF7G7-$&`qmLxo)G1G+^VWzAG_H+NN%X60`VnJ{6aRn7?>~tY+5lS$*B5W ziRHC;x$!T{$XPJV!B+2+q>Fr~A`w&oHnE5m-%sD%Rl;fAfAO5WLR702eBB?XW_MqEH`VtcgJd3 z6KGB!HgHU|zN*xuLcjR!vHwBU~K7N$-(-nW}B&} z%b3z7end!utXZAEV=`Jd{eq{bz+d_Zr=iF+v)9=NJ9vWHV9wCDs2WzanVGn+QlEhv z;k4#M_03QrVE&0~)&>&_WlUu`G(*~Uf;^(L&r*j${niy~VbgRI0U5jWH@kP?!I`R= zy>TkVZWHK|?r&;vUb0kiD@^)yMkU>(WdKP4PVe5I$K9|dXN}F);zJniJKv{nOE%th zuY2Py?9NJ7nLl+a4sGZ!i;q<<5pN?qYpwPA14-|xow!X7RxahVHlGh0mm3->@rM*j zqiAYgT-C=9M%xqt8|xI?C7`&GEePb+^Xqx-n(xgw*$=Aw(C3JWy!EA1w%$8i^jURR zSQWdbZ$P60sxFYDgZ6sA5hnHaCm7>Q8SNlAjCUru79&u;&6Oe;4cC@efv7jE8i#@l z?09G65JRGILSeEjr;}X)o^Wz0UlA6joF`=#(u=>k4ayl>qSxCsy}}l21#;R+1s+@q zC)Eew08Hf_kdE@MpV=k;*d-a4=j#SWfVoTbFwgycg-C;K*0wJuJ!0SCE|UJ!Ju8hX zYN@hwHSVx)O1FLY<>AzN_MF@1sdX^uW9r@N<`I@sCpe}RQYl1o+kAaEm=}F*%xVWAwQC9;Ge1>nZO2h2w=~ zl#+9rbNBqESO0t6cvhR&@pItPku&=5Fh(K-9(>f*z7<`_ENp+rL3}19;Ygn7p1pe) zMop`xY*rH-v*0lnsk54~^-7#z!N5cWMW@1z*z=L`yXJM$DkzAD$@yTpY;f%JV>eEztzjxQ)$henU^tZj%zfE#aD&qhA z?oSK{GK3=D$DnD*3>th5GrnVM^(_186^#u|)_lL7NKNmH^nHB_;M@JI3_79Uw{4SJ zL?lysCkIrT9tLX)C&e(dVASy0x&!m?L6F!sgN|_n}`v>%SUJPu8Bw}U& z&_vD@(J;o0;yt5cc8kjysmA7dZnKP4F)QI@PP?|*hss6Y0$LSs>3KPcs_ObX$|8$y zP31^}$E&t*oiZR~YKUcPgf0sw%>~!t&rmjsc3^Q&^bZ&IMs-uAeI-;_|qzFe05RSRa!zm5yl#|89lGe?T4@?`}PG@txu za3~AUa2QzB2nUk%xk;LdZH(L zgaM3-eUOrT>(TS(VX6GIf+CiG5hds)&gfAaA&=TK{Ydz&oBiFL+20I|b`T%knM@Au zM-aiW{OEO#du*D6Vp|ppH(yxR$3`sH@F9~}&#x`>u36e|a5aLg%R3AGXH4_A#<{5>UlXvgfSbKQe$)FV%hW7Kx(}y-o za2x4VmIryD)f-jkWf9F%f@;Mk$jagfiKgQJBkUc6L}|7K?Y6z!w!3%Rwzb=~ZQHhO z+qP}ncJKDr@15_=Jri?o%&#o0%8065KPofwd6vr3iS@SEahVO*_i&R3yqDE?(9957|L=LsO6ygfqXkj0%jRMHs=SVB4M4g!X_OJq8k7XAB~j@S z%gyw3z$ZSQ7M6mNXx$Wigv08pr_x2$D)5+8l2vRq&^X@$KBX`!ixUn?C6LYhC0#q! z2X43MkMMnVI9tA8Y`@f8`>K(IUlc6DghS|UTG@2mK#j`EioPDips%lLYRX1JsX3y7 z`=Qfv6`&OawQ;|0r$&Ko=~ZH2$OT-ijON59RWS305g>%s(o<&URf|iQ1h$Ul42kV2 z?+ZrHMl}%KKwyZ9vulv35hD=NW-blg-+2ZU@bh^w!_vH%TwDeIZ$7C%N!aIZ*$GF8Ch9 z^HJzpv+^&UtZG-cVaQ6~vW<&cgSzK%hqo%xT%9vFc?^it@HFE__^;qbCGe?_N*zZp@qBL_AOnoO4MOb6e zq;n8hPT$2lxDFjNWX$lfMx<5W_6DJCKz%+g`kKP7wXGbylOFsiriH$=s|%Sa<1#dZ zI`|6U@QJCwv|RT1CI{qWGQh9~3(Qh+1TdJ~L->cUi28ZZ=JeQy$=FF0h|st(=T%xA z5hR{xSF`^NJ341!K1c8OB~ofw;f=fuN`P3b#Sv|yjmW^l+Ov_R!!uAj04akkbgt`_3( zO6P!7)enU?m=k+(V8uQ^`K6abGr3ljr>_fwIiGEt4=>yL3X}k*{&IyINH)mVFD{n* z^j+#3az?3*xYa$5r^Q9oTQwU+!POj{==dDYeNV1RIFZz^5LgeBkFs*}BhvJ3P=3NQ zoqQfkmf3F0cMtph-v1Wo=`(mTG0*Ci{I0BI1O7KR$5)eqkM>6byee2%Nu+idT>0DB zizcFj;(d5?qu~aE$I^$*HJ;a;E&bC_u8YJ2|6OEoj6n)Rvc5GwwaeedMA@({Ds72{ zbJ~}USFl7dy#MhrN+P6xFDRB8ndY|-=_SM%+ApLO_QPjc4QiV;Z0BwSv^St=fx?9& zKGox=w{gKB;>7H@I5jb9f{=MmvYC^mF+8O~-w{1fWkX)`7_~}yPNNnB`9*Jy(`I~> zytQPUuHUY-V*brg_vmmXyvcXuZ}HKzH$2r}p#%dFT~dprl8eyEyh0DP95eE6G~4W# zkEKXc>e(%f0gv;-S~>?wn~^H^j7;2M(L-1b%9CuoY~SavDr?pDYp>9Ly=k@%x^K28 z)LKIox)?o&=y_>Fw>~2cNXmr%)ZGQ!Kn)9*zQ~7t9Lxp-kmdAFo};l1nZDqezJ>g` zf``!^FP9riryDsYx04&4FYX4(-hIiKCGdFI+!9PrRvd2n0Fz(mfa~3yluK}Tdey+nK(usn zcA9s{x8C={hx})PH>ixPDEcMVhoCy<6TC5aU|QSNo-Nl*9On@p1EW;5)OLs$`=gbR z2$%=f-Y8^+3u~bn3`R@_HZa@lssGpUS7pVNvF4)(I5BhHjpBSCv7U9_$ zhEnj+y>o)*aa%SVJ#f~;UO4ktVan6C&><`|WAtv*XSlgdocOi{reZ)37vC<MXDuC!t+s+;A4%=u z@@pbsfmh`#5LG7XBj+9VkSfEn zKA{nflX(%(hXqS+4=HDhwcFSJs-GC4OHQ>b-*57Lu<|KE>G%2o`D#gPN!Ori5e-COnO^`8sb;>-)u3DlCD`iJnps3a*T-3!SLLmJvys$eHov7w`f9$-{0DnHvRWTl{lvMNg+jb6V zcIIHe+(m;dvt{d5G!?wn=H1eUp~m~S<5Ufq7TR;p+8d0_*G*JivPyK8_uleO<&HC> zx1;AyOy9~@ItR@=;B5(v7gh5j#KLW{QYs~(B;f_D)ijTi&bn?N-|oWtM%Kuz{8HGY zPK8fgNlQm>#~RG;YIu0qaPG=Us}^Ys&%hN>3F@=ak5RxdlZd`5zwofRo=!9?Gwa%} zuu5i)#}P?m7P}CT#pqD5=GCz#A&0z#TJI!t!k54?WxogN2qRP>s(%t5|vGKO)9lo;~-zJ;&Z>>cVjf9Bd_q9@1OF-j{jyKX&YZTX|76oE;gM6<&;_2~8NsDhM* z$`?tbMOxSKCg%nm`l$suDeSBj0;RSz%QQh-qTf!R zf81qmb>Tfu4x;BB7v;z6SvV)6gDBN7si?`7j7o7RGjv^66IJ)*jL)i~sUhrE#RFwx zYPCxqT0s&fNFqzX^uciKA)70ylO)77#e`${N5*bTe~L^&R%4RVeUs-~{MsrTcHG5h zltTq+77P_tvtD9rIlpDC`a=NmH^pUofxEmB5^{4egtj~4gDoPgT=<*fQ{}0^{fH z2?JV!Kv;MGB)2x)K{WD*2So`ScjTk9`#>(*n>PQ-5-vQ^;*3ullM)D-8Tp_ak+DaB#{A(rtFmBbSKZ-Ua>{fCqK{ z<>f%FcvPjC89H<>w5(h$zIn$o$kQ~VIg)X?u)p~ZO+l?jM=}gPp$dj#O(+?EaK?Q1 zf&58l1~J@5^JPr%O3}*ACv*!K^^jmI`g>YeXLVW0a2wjFlO{c|J?|1}C}RPfiAeQoz&UNK<}K*^q>O?Lwd`p2;J}Zo@Je za0&JlIsn0U6E7n4IL-Rv{WWlQjAM}wh%rTL?MLlneucd`?Ce=1!dgs734lA!z}C)8 z-f&D3X@2a|v1^_(;wd+~tj+!Is=4R<9ce|G#(`&xtUZEL4rR~tW>w&QO}9gNA!M8w zvphIY^E#U8Gi||>qJ42BS7mp8!7~aJ8|94%ab|}%ze_@nrhrBv1Zp_8XR*rayUkv~ z`9S(1$b3Qol+X=4sHsxza~_1l`H)EEX_TUGq*<9aNlV7xW}p2RB{y39WKqTU9@v3w z#;#|wY$U=BertJ)J9=W9Xay2`NU7V-tE86#@b98n@Mlx&s?_LR-u$Mm8Tf_B-qn^wC1h2jYyj z)#m6s6fsANTM#$kuKfyKYhm9fY=#za{e>JrNt`hj-_bimzvZLGE z6~=_4QTxl|1I$ryP541@+_Y$5R2*L;y2ynt_DV%h5L@up=#Bo)PDzgVS;t4CHr#|= zWiqOXBwdKRZ8pe5DZ&m#AA{l0jCd_J%HEDdEl`(KBT}W1x4nqJ(y53HO`rMN(WVKB zYJhuEjYKVK(_GKJzHRzP=s52TTQnFgNwK= zW(&^)={ZkYMhcZjyCNEqJN=@OLh$A;DgaMTyZ5 zGjLLLV`~Zoej=lzeuA7n#D5O6+kOt8xCr|2b!y~)&Ov|ErCvMC1LH!1WrGZQGyAfF zvPjUy(?lGj0!n&Y1o`Mp3_ zuLv*ta;50svBEi|~MrzIzAXMv{AHage64iH`YSX6eMc$$XU0 zX#6O)D0#UcD2$dgi>HB(%!_M42B!v1%7Px^tsZj#TpD>XaE(!WAdT4bIFZFzwsxjI zkQt(6vyGiLA4z!fDyd2xNLthG(lRsg(ecshd~UNWMQ1_r#G6)fzrT0PKXsbC>R{`F zBA!%$WCKzrZLUNgU!6#$DJzu83tF`sQEVLtzuPiFdrA2OaAqy=GQg7}0Nf-N$eP;W zhN*f>&Ab3#)qEy)+koDz4epDH3ZIZ>&EuoGw9IK90S%CxDzM&)49)@9`+UTcbUCEY zoNMK+(ym(s>r?R;=6G0wWqM&GJWq`p@~cpRj_pNuMs9)B$N!45uMHgwIkkWgi@vV* z5zlf4OxQK1HBn2&T<3Sq+dVQ|Lt4@{GI>Mdm8j>8%ZghR4+^u>8Xf!~wu?h-X@M*U zm0Q{@2`pxCoU5uDyW$&H2B~Zo6-iCvG&K=XM1x<1c8~>TDW>tda~Wxs&A`O>c?oyc zjECx}+a#;4?S+k2!6KKs2B(3|W*Qr*SC8UV&2-bmd*%U}Cot8Jlha6yk8~7KR^deX zi_LUALuy;gYW1@9-NI8ysh;W>i!-gsx}LALTBAv9i+$^+X?lnm7*8P=VWxmLDLYbd z5nSi-BJ0di1-QjIZi4*xgpiF1YFcOs%K#P)g7t!NqJ-5UOIiEnrNY3IRPa(8AV*F$ zi!L{ffz2`(n?=tg_j?CxscapuQVv30*V(u|r747X?Zmvi83*~(O$=ud>svEy)Xj@C z$F$FF@lC=Sm%yH$!8&S?4p9xyoWvg2sYO%yG1!Jg7lzF7mc?1|%T0{z!$sa6BtD`h zDTeVkIYzvWjf?xm!(vVRy6P0;0n;5pukF)jkS-G5j*OdGmW~1Qk?(0WC>ra166Sku87hVe6iH;7_Rtsv&^kPf_%> z4T?pAiEDltKW^kc(Q&<}lI0%cw-K+8uA^4TJjQe_SQwhNAAh%SU+c!F-iM)isKF`M zqgHwoY&s!4$hm^*mOW7$ZU^jN05*VSGf}F8P`Pt!PM|x}NC=uc>u9=c_w-BcZTwKh zxM33k3)!y+RrP2_O9bL-i&YditfP-k<+2U+f!C$1?dSujzhM0|Rz3LH;m^ew1x%D!mN<-|L1@8&*M34OoBmQ`M zPDFZ_-Iy9>qOKU&J>6v0`H*K8UuWgDpdG}+pD2<&VB)0weT=x0u1XOv_CYJ~r^s=M ztH&}Of@sgN%WU4^;l{JmWNh-tI3yANicnr6IvWwT;m`=-u@pgjGJwo@eNhux;Y2dNxIQ;zODX)3YlX=a#14bv?+1SvVy1+<%U zDVTnAch2}Nt)TuR92&2!uKsyf8;kr3cvVe>pQ>m?kOp~z(Kgpo5MF=mtu9WbpMPk% zY#~idB9an{tYkL3)Pwo!YUNaU6@Afqvh9RZ8szod5S%LX;mphqKmNy~vj&M*Jzi75LkOW**{F_BF>Iynq8ki|8FMUgx<*8lDB^_zjEAwnEtm(DAPE=3B}uZc#1u z_)Prvb|9sq>^$7@#=$p@Lzux&1RiuftxT}S3XyMR7V|QkN87mkvp)`*d@>uUK|`Rn%(<43 z1l>ifak((ikkWEh+;IKp+nZ?MZ~>3Tu)%LlIJ&I@`2l9RrT6%SEV>gzc7QGK?JdMY zBt`LA)!+iB7gqo%e#LsJ@lMlnx@K~^Bny?P)iAc2=%Fspj44R6q`$lvEkXjFbmMd> z0{%4kvWsUUB+}6mdmz!^PoI`*k-iJ%NHNC{bh!8iT<>hI#aWho@@WuuwD?K%|K(TY4)8B6MhY=@8T?yU zc(s36isIiOS#RBIs*cp9H4o!nWXHH~=;6YPZegRJ3yg|f4t$ftQv@td+ABk%QRukf z5U9rw?xlN#W+X+1nj*6G+&5KEAgf{u_}$D-zl8Ak>!zX*vvS_bV93v9(fxunirI7;ukj7VVn~tfi??`gKmd9>AA4?i!yr8$4nCiokIYvB_5t0@+Tix1!k{x=27a4gY2lV#YB}F zvH9=Qw(0z1D^DD}1B+L7&pXkjOyP}Nn=GK|;xBl&z=&ce{R`2h4B-wWrvtW!Xf3<| z-iES{)SW9nAH7-8r7Y>soz*QLf`#w)o$q0pp`I%7ERRbp3bfWu_`jKa7Jo`w6AYf7 zhU)CZfC-YLkB`vsOh8$D?&PZCIK!?3-Vru`_e}|Qkj4G7?$06)!Iy9Vy1SEa$Xde0 z%i`4m8b1#0Rpqb!`_g1?jhz*cVSBJ?cY9yCtg2o5w@MurpN{FBxZl=60%QvB;5k~E zgVCI36Mf#UK+M;JWRu%ACs+e607I}RAmU~1Z=_5D`a6OGB9?5z`N zq;Z=20~cdW@Y&YzK%7Cq#{o@4q+vb$EC+$q(u!`9AhR&Eq)pZU`ij>n92+w#ad4Ob zvd$1@wO_%fIrM2#{e+N8DX@uXvwF>Aknh(o>kd|aPKI;DovdBJh6 ze&2C@P~fcq!^42`J6}JbraBHW3N>YxqX3iyOhTQfX4Mu6Gc!a&;lv=V+l@q7=PYT6 zBZpU=`UNG?H1GRv(QxttI@y$JfMiJLp8J?{@4Y%E<#jx_(N)Gy*~t4SQ)2qOfiFPx z-qXSJ58 z+iV3cyx>SrR2iO2d&E|DuQ^8%cfl(OwcVfMON=SR+@_W6NytRx69C3i{VVonM`63V zp4vj?tT(EbkZgkD?I0$AGo4%sBgjv?fV%XcA$BHM6;v!!$vmWF&?3XDcS+ZDEqk@Z z;$E@jR*A~T5X8o4q?Vr2*43-uX~3U7SbyI09FlgfDRI5Q+WR+g-3fT5s1K=K#s}^( z*HFZ~qDu-B^&*66c3G{k5sI;4hhA>z6Tcz+4}GEzp* zX0~-{*d&-Gh*a+Vr7i>_0nbknWL}Ee5FeG2DEHpGNzN)U-Omh!)`--QWS|&mkg6N0 zn2JwQKl@!fnX58v&U}cu?}twbJ7X|o|J*oYIoAk0*C;ExuwGy$J=fSY*ElrS*l((? z%~YiNhdb;fbjv(CyoD zSS%fB!!7M-AMqTQg|!`(J*w@MIRJeo)%Lg#s9$Qk1#p$S@WDyJiN;>A9gjueI98H) zgL4&g|(+Ep#sWhPy=5rS*7LwG9)XNmdf6RvDaq~>s z95~RkJ@Cw9PE2{BV{RxTfeTg~~CLkW7)K z%GztL=m#1ZwgaPOsEf5~=x!!aCfF4!Rd{i3#|Malz~-u)J5Yl}hC6H`7FZ*8;mfUk zGT$0#stvZ6!5WuLR_%Mq#iWL~rFF~boM>YG6m+QK zulU&$VH!Q)i8>^R9R#fKALat%x&1REC&Tda40DYWuW$KHKK%z|$O`s6X8A=NVs8-5 zJR^^nFqjx{+>_=yDHrOlsUrt3K;uJG;<24Q{B~Og-}XIBv&&f=nNK2Qm2- zBQ}|Qg$^^~EiI}7w^L$UCtHXf`u^#a9y@8TTv?O7g;13lYx0#z2={O5Q&$H$mx`D_ z^ARUTQLD+g@Rz}j3wQKg79`6Z$z#q<(YO$WV&UIcn+>dd!hzn+NhID|*xAXEdVX4f zSG=t;Lr-fl_d3w)>G3AESnx0A>uNIK-wBz-V~#}TE5cM4&nv|X<1aE~=sgDo`XmIf z`g1!kn-gkKNFJLxys#t2FTO4T04(_f)gKx*D7~2G4xYm&V#{_7U2$8I=M74;t%+I) zYUo3Y*C{>v0D>D_i~c8iob|ss;Q!mtAoL&o3^e~smhgXS8vfyl|D|bQrTZT|4NUB; z|I5=rPtWj=r-6a#fAlmkF#b2T{6BaaevA+QMnkjx_lx}hKrwmzR{~ch~il49! zq=We}H3%m!421@ca1jSG1@Y_8g-E;uO6eEJNrVD5?A~CFEGVA?Ia=;uu{-aVS7{Wa z{9Tf+4+w%?CkKCUbU<1KtzH)*)RUMv!VT)d_P`q2-l%#2GO!3PBhUXkwQ@XEW$B=> z0E(OccZkAvU32ZY;M{X_tMbzyakAf4F4rh{ch4tnW$t?^pM(i zI?iDq*$s^iwv$XI7Tzx*mv^2W=v8Pt*R9a=R(TNoFr5kGKJA{}UeL|3kD^BqbrANx z>zkY5DF+<(DQ6D{^eHDhw(R>dva^JJQP1?{Z1=Bi53hyZ{c)y_xGRVrS}Um4?n~C{ zSU#2Kj#OXn(SOP+_WvG?|EH7SpA7srC&ACa|C^KGAGV+E$4T&y6W|{Qz`vOLf7*YT z|9^P}{&58S7pwmh|1Vd-zuJGU|JSoW_x`J&pV*&%|BcE2f9CmrM)Utkx&Mgf|0MVS zwx0Zpu4iRtqyG=-_`m6TMn*bzhJR1at1d`a#icd2|Dx-;(`XvD$Eec`%~~=efcXEK@qH$)Lp2W)mh7f0g!}1H8d)c%r|Z>tcQ|mpMU;apDdCl zKXx3hr6I0Azj|(7xo2+dhCQx5X5O-0d}gwCM`ule%;w+*^He=_Ja5}Gs<-?Q+#v}v zm%E*WYQGG#{A!zU6rks+4aciCsRSnb0oUYoFXF@|$1Jiylvnsd6|VYqm~XPmt5B*9iH zyvK=<|MWM^;@wDk+Pn(#`kl}T9If~H?Zs8Rq$NODQRQ6)2!{3PSi1c+bJYfA>w_IDP*(`4@ zQV^fHr7H^IXgKNIj2xpo`0JmUT$MSWg84=M`6)ZtAD4#ovEQ z=5Wf8?F+absV@78J_u87Ao|A31xtZ!F9mmcomVYj)kEB8ogWe20H>w8A{eXRvb+$MWs-MOAD&w1r(bycH|`%b4$;U2A+!eV~mwa__nr^7p8{gC&7k1)yy zJNCHO!-{BfB?wJ<-j5(0(c1jH0m;T6$QT4E@jAWP*)gr6~8oHN)r<|rg zignq%gVYhDR_v978V6Y^A9l3}WOCqV&8TG{oSqnM(eFX!%peClNNa%eroEC@3U480 z;*1o5vW-|I4BcZMCJ5vXRILzPp_%*_T`89BY)px(WLH-BCV?CpzLehsFBH4uH?40{s05aO#<`fLCZr|I+4t}u(l&UyCHj_af3Ui0jd2Zt27UB zv%hoq#OTKHf$j{2_ksDb$oE$W`A5 z#q7IhzUqXw4wi@w&fx|B3Gj)~<@W{s39Kw)nAX@U z0epCI2oS;so-#1hrqO0|KjaySci=Pi9rVrTTz2Wc#v{ztM(+kb%f^i&&0JU&zBZCrAXOgv579nK-JL!VN<~~b^MicpKhmH0^+_Oa%S*@13Y!8p6q;|>zJ!stN0Z$yi?UgjSTQWKVJQ6+9-{G%$+jwn#j=rWtb~k3e19>SVQ)uF>lD5b=so$$F zbMDPw)n5xgenYkO=RuICqrg*r3C1ZH=e^iMJm0NrzK?22=3;} zx4?;LrnzUV*zuB5##X(IN?dCkGT%cf6yyXn6DS5M<;un!SOt=8+;JcF`0m@p8)=5> zOmq!-#lYtcY?8+AACXf%p~B^Aw9!67TPJP~2DKs#A$|iz#Uj75)WvtXa*}64S6=C1 z_idf(lp^p3R?G_iCAkIR7l?(2JvceSw%Z3)1&hbtl^8?l&ejS27ONfviw9}Xl-c|3rs(@acagPUr!(OvE$gh1_%pKeQxqLEt6!khC7*<PJE@8&MFta+|)%%*L}cf>2Koucy87oDLwYHY?wXx$G+3ik$dyufrvu zoakPm=*IcLJD*c{(x(#=nbK&XTmfsWQ@^vc)3f*}RXT6GF)t}4p&S*F3<(E;&V6)l zipe;$Q6a5qII>?JU@Eq-N zwZrv#9?2u*DbYV@1yG8ZzG9@cG~5bw@EFcDISFwe9?Y&=%U&g4%uVmq%-jAQnN#`z zo!~kJN(10iG`&L^DNElP*2l`A3SK({4jkz2;gUrc%?kB&5Yg;>Q?{cDzHqftiuQ&^ zy6Dzs+M^Df3zln_;bY6&JT(A=-~3ko2}0rIyVyFQqr#iLS5tr<>CpG)I^y~OO7r9- zlT*X|+Xef#<%il6opO6H1<=KE)JAhoeU4Y)*aB+vW%|bX;q&5#2gqBi$qcRD$2PS3ar2w$+B%d& zuPTyequmUl>YKZSkOwkC-swVBXoke!3$v-=h#9;e4s0{8ei*MvmrY3PTa`O)|sj>N#^_9JG@YTMK=}O9ju7C$R|K_s^Qmm^bBA?QzqPdnlQQiH|+h~L)=X!wcZCXqGW$$wNJ2h9KEP4^RZO?8j%`xd+=_$b$wuN zwA+f0LJ{qIwkp&~@q<-c?f5Ug02-UH5%HxBn+%(ic_1GlFWJQ2K;-L5PvX!8I8~`; zC|4cciA5@9*#CsczY`-~L{`G_xJ^d=`I^{;rcVN5z9Rce&VtXb5Ss@{d_Y#Whaa*C z1%=&DE=ZAr6X5L6rWvBB+KXrygT7OdR;^~trM1AM6<`j?lI@pY+iP;4_M<2a^_+p& zykn%tcmsg^uoJ>Z{a^w{9tpr1$^0db z6j4-UClexAu|!^_t)nj3zGCAZzY)P!jbHr4YQiGw)znSpnQ0RrfeW$yZqi=Vyil@} z!5|}xQKBm;@^@LLNU2#^&!FS+HBRdzC3~Q_alCO{0;EL(ojxdPWXL|{PIBhV!f*x! zm9PKc58oweOKKHML`^45cWbIa4Air#vUYZKo7(Px<3v{&VEY=1SH@KoeWxj#}xQTDERmK+A7l?WWr3uK{jq zeE|Cmbqh!LZwymBI>yKrPOc1248{+KiMln)Cd)&U>*`g?C->}(4IgfzZ8C|`^=!1t zmuMeTUs2xEHDY8u{Bo2i<5D>ZRJLpOji7p2%c%>75k+R^R`jy+W7-?^Sas6&8T#w} z#x%?8jiBdRtQ$m#2GWKQsi=K%KJu%snRX+}>;qimoVz4PO6nxG2%@W>XBqRmYj=Bj$c08Bq++NTS^`9v24Ir7Z+a zs7bIE3r}rkU}<lfF=On5-vK$xhOGn%ceD@{Dl(il|VwplWoNJai?bux>`$$Y51 zl@9CY!=dE|_w)!Rt?zZ}r4d9;g>KosKe=_$3D^K^y6#A_GM9-n2}tCQXS(df*8!u6 zT_LqVULjW1DWPU$rpTgBtKekT4zsaH^rn>#Ubk(^(iR1lgiBta;5LQY5M;e<*&G`w zu7IfFo0x2ZK#!SdEV`=CVh?***QhQ=rPD_-3Ec`{)602FPu&4@Gh|}`S1@u3i&1B< zay1OoNpvs_lS=CJkvpIjpXVNbNXSUx)}P0)F$woX?0nfHNsO~f&xI0YiP0ZdwlK%i z8qoL?pYLC$pvOUkGPCd}e_f7&5tueUopO|2(U>JFtP@E{D?!;JTk7-3cY5%e9(uHU zxSD>Eaw0MbwH3xVz)oA}qfsC4#Kb@5q!cK50>!&CRc93if5S%Ni^*UcZ0QlqYQ=qY z?B?rCSgpQjEMsf=TJH$sy8luR_h>}MTHX0|Di)?Fn_uipbXOEoe#kpnc}hhH^A*f1 zz{9RE$wtXiu31FAU~9bU9C0GlN8=fG++tBht@`d}d^@YB!d7%`YrLk+x_MKtYt6^v zIcrV>+L~swm*<8jJY7ghaRgCdTM*&CI_67bi z(+Dhxs5s5xMP|U}Zm+y()qx$j%D%J5z}m6pc(yGY7SXLi9RpoOvcIWfk%EGbX_I=Q zo~T(WTm68D=wxBF(`x_Yv6HS1dWcoDZCSg(A@8XSR&ikoDMG4;=P+hH(xc*|alAy6 z)!I@sXtr-h2!wDSAd+)$4(WE2@tyTu!+T(#CY8MsQ+7^ic#bK56tj-I3?Q4okd>K* zfdI+^dfBb38DR0_6r`XL{iZ&qAc+l&vdFHzZ1ES^7Uh(&pb@F&*Vv-N`vMhF172)B z#>Ja_(~DOoV)2$3cF%$h&Bc7IjoCUUun9xvm(%&#RB)7qV8K{pT;#)YX^AKkmWH57 zWf2aheTLrF8}+@0=UKg_xkW$)EvLgvP@gUbGn!;|zmDv4H4OOuD)34jalG_9^*2M( zY5@d=HwaQjlnzI%-tgMuUvF4Vkg)UoVN8XB(m6nj$)RKg3*p)@YGvv<8hUEhY#VJu zGZ^Q*DMMr}iDor0qLsLiF@nQ!u@U9NMI%*)%1)??P?3`Q`%Hfqhsj5GVE>H@75}rR z)h%mTI^CAEg}#B{E_@w+&i+-4rnIV50Qp1-^Mo|^Va3}=)G+}K>SJB}-g4d6DCM;) zme^+TYpgn{K}7%1l>@-BM)cn3jRlAPW5kf?i5TB_2q1iJsXa0WWEIO*qWFRtb45=Z5R0 zhaz%VG9wN9EL1wHGkffL+U#&aA4i$_0h$3m1zU?4t~=Tb`RUpfR~K-F(;ti;9`@_1 zJqlToWwfExQ-gh(K@&~&MJCI3FO0A1h;RyF>TVRQw|(3nBqp>p@Tghfarh@y=zCx3 zH&Ef-S}YLrO1?b~Ag>BH!|uKKB_{qHkPQ5|NeqZC?><>}B9#9spadVpSaYwMfP!vEF z)q?44onlbFLM;EmfexUNs*xW>&KQz@!=iaTcE+x;n#3D}9`B4ZZ`C1~M87!#UgV-|)6NO0gP z0y12JLsDM=L-p#o%XvPfr~_elIFuzg;9akEHOT)37!q0PH;f(Vbu<+30>j@wP^oMu z4?sCnr<94eE;#G0@%0N{8Pw*b;yA_7THCp3jI!~1;5Eh2=O{q3G3!E*LUK(6R>w`= z*X3B#r3FT|r^D|3k+Z1#UKq@&zJAzhA;YGf-Oa1^jIz3fo-DBnf)wv+G+Ie8vVrlb z{gOR7ZOda6zqE-Yd~9*Xz&fd!cq2AJKG^5rpdxVwdJup;ZG`HUfs8caFL+4o3>lS^ zzlCdmmdgHNB&qJhuXY^cq&h!;dNc)AKnE*~v_K|Z%SUEpUnTs}y&dmKTs31)8_5Ml z!=FkNxLaSr+)!tS$;GUZB?Ry3JX(LS@(gpT^U9$bsi^_#o8;SH{m|36CTh?jkL-O& zDQU!^kd0P~gNs9Kwx2ac`-~PszT-Y-T5ju9RJKRPKgo7&Mf}wXVKD52FvHy zf9XjT+aK|9KdVR~&2ig%9!Y`Mv{&01qI%D`c5RkieNFk=*}KsjFzVT0$T|$BO;l34 z{!p=Bg5Bs@bp1u~G1ZhpIEvW=-b@72JOJEH!M&Va1-6ivi@SX0qLfl zoRYu6VBEHC-*Rp}QBW>QBdW-&&{ZxWx_xO`xi~`{jtUIIqk5x^S>LCLpM&KFyS8if zh=8b&w0r@pCL6G?9*btfXFa<{m?Nu4u$%G7t{1S4ZfakP9#~!;Q0xsPEe#^-M|6zt zxOu^LCdWqF)D(0A5M6T2(C&4#rk(8~BkcCe^sHAG797L&@u zzL5ZgEH7?i#m;=(K!MGDBJ$;+(DLT3F*VeF7QtMBx+ax|$A+p|NPtl@Y$N@3@;JZz z8pr+OSS{`n=XW@5tmBPr$?^PVrP0>B)Tc`isoWUJ8=qH}fM`c7ur zEA)*BYQZ`;hBaq|loe^k2C18Sinhe;6Z)AG6FD9F6qwj}Tce#iK~V+-$C>=vYrIYZG&H*x#@-7bFYN4aG7 z{9^S5`vsI@EGdSC7huY+DZ_;fp!4t!lMil(hd;y3FZeyvY(}iv3^G$udKN_x&cPWc zY)$~BBj1a;iVV|<5BV0nrNinF2E;kh&5e3oShxcI{-3FC_xk5+6yJSGCP@i82O-fI z<{37T-<`VRAEAIA=R9APIE$ArrzJY*ceo|9>{D*?&8A=~PxOG-shVaAm;pZi$< zR?KK(!X{6_gDnj=RkZ0S1$30m?ae6EJiPZlC+B{L(ooJV2Zxdtj@bvdYHHUW2i^Ct z%?-^B4P6Lk2y)M5wP(HIi8$RiQX>zhA~CcR48xtg|w6r)8+b?nu+6uYL~N8cT!y{`{#T0Z)iw zqF>E`V5_`?bG=3_{zKI6w(0=Q%gLyia|imlv(V-1ZQ4q5x@T{A4}9M%Axu9aWYigN z!&7M@o?CTo%W=-CXR6)^WavY_`IjS=|4o>c%&$WrAu;(S(0gcPt8td3`P2}ld;d-x zH%*jsB=gTEzoF8;*3BNS*?t{j9iqyI8tBH2^(){nzus7@(e5dSwD0=f>Bp??jm~!l zTo>#a4?$;!nO~}g3@LoQAUuE0l;FtONg|?PbgAO{OIOK7WJAi<8d*0i5t6VOhJpS+ z%I-Njwx?eh{E2Pbwr$(CZQIF-ZQHh;oY=OVoY={m-<^BsK5O1*-aGThUcI}jcJ+64 zRj;bOSJ$VeeEFi&xG|<+!6MT(v64X~tD-0^QP{|zD0P*(s^h3k2}y$x2ny#ep3-=f zSnGz# zbcRaCS#ySr72r)Mc)5kredRLfk;;mPNF-nR_j<5URGw~xGZkpL$hg53<)%#VcQ;!

    o387h}7I>=|j|n2m;dDT%D- zatYW%MN<2KV=eSV)Nj#GPca}z!_q;T#xZSpj$Ll%uqdTcb61M7m(1pqm-N_Mih>z< zIr>FY*AEj`RZKHDKP{h=9JW8j-0{QD+|T{bEVs+E7*aBkq1vSyJE$*8wz_jbZO%@x zJiMoxSva)2K?3vMXX$*K*G%trz0qG8rK~%5l8hO(%3DcfqU{CHM`?UDxr{8 z$yVXM^#=8ob3U0%_-bh=sxWBEVS#*q4i+D@d3SIq>N~7$sUjC1RBhO^vXRE7Ce;2k zXxp;EEIio8Zu5sQMEd8J0qw<#niOkL7lnZHMJ}Xxs=NXIY2QpFe*P6mZk?bFk3=FJ*(3x+GwfPf=VY{@>d76tv^nHYh<$=5%^n-(l zyP%)%arMLzpO6&DhN;~j8HLI4UBpny@tsMiXb~NH)?H>2dRq@qaogoHdMuHTlU;Rl z^{UK9F;P@SqFKVG{h`#4<8OcKZHRi1nkpK|hGkW|#;#gMj>liIv1K;j7bdoA?E^^E zFu^Q2alM?)S#J_o>>;kN$0}`8CS*d|M@01q>o`X?NC8^g*emG?_zIjQ0$Ri%Zc0r+ z5l`wZ#Xx*6@>}D83)#lv&V{(GE72Bv)fgURRj&qa4ObUtI%HTc8`s9{@#ZPhnK4Ny z=CB6&)x?m7C=FsNDwufY;%a*9R*d@$=U5ZgF^je+{BmAUi?+f)rj00yOaV4=P1sNC z1udFbW=zd0Ni&k%hghXE>#%v8^n>it_?PP>g@JS;6O91(VuMHNXoSpK@vy%K_xtVB zaN&h$16IooijA(h5XNCpLCA-&l#(!H`tQLvks)Ie1ux)vV^`srNkBDm`5qQmY`v*o zyU$XcsW1tz-GY{`Ub?n+R_iQ8Ucba58Fjs^_l6sa&z>$cQFkzBXnpc}FG5=KN?U@o z>_vuhXXL)qs@OXm*GL6R@Up&GUsu#FJR8bo&+MsQImbZbA4iqV0|zI-HAP9=t>7Fm zKBlcMr7cTkG34^ejZM#ZL+mg#nC;%^$9zFVzj+=zx}v^VQ5wjcG}UvBqSEfNV2)Wz zSf12AwY->1f7G z(y6*`Ez@1FzfIZ}znU~tF@qP2L%|1%S0Hd|wqH4h1kSTMO}}<+9NcEi zPg)onCZ!m(8byH%+vqSDPIFUsBPhAk1l-V@9;6&nEq;;?RiuYD~nFfNjpG zqq-cQhPYqz6tIMcH~O*MpY1Uy_gE}}%Y9Z5XdE$N+fKFDj+Pd&u&R8$z=co3<*;!`Z` zSfmAO$7b-!-1vRR9`vwiBf55h%m^l?MWjUued@5YKrxU59)maWk#XeU4>b7~{+?4; zpn;t(Js3gGA06=b`GpxvQu2~Nwi%C_6cgcQf3mN101P5r2SqGsdNq=jR15oCli6a4 zYt;{Q>!^#;dG)%Tv!_l;(Dqtgg@Ho#>&h2f2|4`l#aMv>P5R6|rn(pGNc`a0L@5IW zu*aI*gp^-k54-t{d)3`}TG}Ob3#nC5iqO&`X-Jka?ULowF`$~sSAMqg^K?$#W!Q4* zz{%%wfxEbt>RtJenE+Z2W7=7fG3!{xGNZ<4d-CKC6r@1wdT?=CwPb2nmW*ApPNhoL zjnzjj8xcfXAZ|rom8Ew`wp7m1SO1G{WNPi3Kn3Dk&4>K3$}RAi82me`K=V1ayaj`g z!^Kvg{AZuv9;G@J$_Ez6?)u|GJ2?^=Lgr@_CY>j$Uks>ooX`4ok^Q@Y8d;rSdY#oZxcn zX6&YW{R)~<-Lu_%JwV&x_U1X1Hy1fawg_ER3bH1LL(PgsUVpW~wC%A(bYjRh@Lg22 zB1lnrl3}aY+naR)IU`O=kRZfh0~^^X-)O7Ht)Y3uI&iT+>FKw1ak)LiVvU#;jjNA3 zbWMO-q|YZ|2uggetniQxSt8f5QN#g3B#*;{L|)WO2Tx0bo7+q2Kn^#q2V z>;1Pgd2A!mt@~r&PeD`Pne?$E!OZuH2i zNnOj@YRk&!0??+vIlj3abu2LT2rFB+kRdO!4TzHtc6R_UdgBbXozPY{*Umm5KC!UM zf2$y&6Gfmh@NmpP1yjSHC;yh^)0@02kKnlpp#U0ZR1iuha0JsL@ueqi%|_?KjdZhx zib*Iap4#otJDZz5VgXJv-eoE8elh9aLja)8-ml>PIJ+e2D&7pc7}5KC7!TTRggpsu zcX_lqj_3z4=l#p3sHabXACqzCZCgFSgZ6jGbO{Z#y-da~sBAYVDCdYG&`D$Zd#wIrMbOjcE!3HIOW}=}wok%T-8`rJ=pm)0oMwbipvR#i`uvr-E-oVP z=d5S67!)P4cMZ^{kc0Jo3Y*I`m8xM}ctfJxs+oc4d?t&B+n5ZU+A8j>rqjO6>$BkQ z@n}y4?pfQ%@4jLD3djRWZ~gg=htz| zx1m=KU1MI!;~m>g7vqdpmR=#N>r#u(j@!56Y7Zj8wMt$iST_dM8^E_UD1-&ni=j<< zO`mF9eVQJ1<-+nAsutSDv>V-%bH3JWwI)(6i`A5>Y~?-nFdPAx<8G-T>oXW=?1~UK zC(S4YIL%TFv?t;!iqt`P`Uym?fVC+|e+zW8kYrKJJ^;Vql+S0JL}+swJ*YcMFX|-2 zy(p8JD>%P(pjo($cdaNldW86BBOB+n%j|(h%9=#4X%U}o(vB z(V(>N$9$vPW+AtvY_T5TBAH_PBd*}5XB&g z!YYaFT|Mnp$>qD$A8Dw=Q75%#V=B|dg|om(i1KKTP&q#F=U!xlwsj*BDV)83>fwF#p7~EmOGCe$0XfE{0f?I2TMB($+bgzinG{4S;UQD$1P*?8f8!W?W?udT&8P1<7e^pDd9 z%{{^v!GIDy8Mu~_rFCJKp4IisjOm_irkSrIgauwC`*3*qalX{YiNv{?KJ8qwlM?R3 z(l6R#v~2JdOdonBa26GmU2NO>dUcviTcsL3)Ozg6DjNj^saA&R9yQK8oY*(>m_)^x zS@X(ZP;|LFPmx}!)irSAlumc7dw66rR6!iW?C8Y#$23_cZuE&Aug+reHwlMIZMH(5 zp%aNxkK$I=lp3GbitjsC?oTI}hjKc6cU-O}4g4%0P zoJ9#=p;@At;(Jodg^{M;+Ox0-H=D6?y5TwvOXU}PoFnZ@i63~gK6m4bOptEp(#W2Xw`g1Un6|7yuHIX955~bE+7N%5Lw8T_8NSJ7H_ZXZ&|*RY&60x^?j}BvGac+ ziJ%b0``ie3AD%9x{H)1frC&$&mF+Cgre_ z{?tr=SAIQqnyQaGHPM#S z&-nJ98-@163#nj~qEm-?HVzW?b5lAXGpGx^?w=;NDv$3Q@YdSKHrsEfQ_ zBuUZ#T^W|5pF9}X*$-nA9PhGu?CkgbQ`&%EX>MPkbW+0m^xpZCrYmGMJv z2*CHiFtq7AY7=C1zCaRxHhIkY9&KLO zo@Ph~#%3_6JfAj^A{_uta4CVm1xDB#?s8C%>P5)y>#wEyx0mmk^n%7T2y>FAhl05^ z-a+{la5sl;L1l;?z$7vOkt$c-sMC;lNc05|_NY1qD*YaVw$NEsaxVR7+{Mvz;3nwn z4fR}rvdF;?sMQV}YnF~e-P5hF_-tjL5$XZ5Nu>}~Ch1T!H(7>KE(CdW)qJ1OJQMU9 zS@hITKJAl4RSvF9_La5tjDUTQ#>VWR*^bY^h* z%wN1ueHBF3d=M=&xXWgy%TNGz0ClAYAjpPk%mVG$%h$$=0t`h5i1ZiqgWXygG~Y({ zh$QyEmW$b81b6D?E|c{WWs4Lb`Oj|HbJm;Hj5 zpv)->@rsT4HjtA48t&pRRp5>UY7`92svk^`y6|S zx}7*`A08-%+Jy}j`ihPjq%ln&;}~+CB|X(e@2$gxdhI8ifekArwC=5nOqOPk<9PipQW{Rf=D|Gx8tS_>m^CW!+s{P zv8V1AOuEotN6zz%lT%MKk3Z4YtW6#lFvaluc*p2igm#ateefjkK4%e46+~kjrG=+> zrd>6uC3M)1jJ7ph#~I(8UWa0wh|SF5MbIp@VPKatgG*D2_|E#YGHI=FP3-B8#n=W` zrW&x3Ub!c&X*!hHR264VvHsGVGi{OTItyYTtfmX}#jy@y2p4s_8Y5+OZ49myCy)%F zZEI=dRaQJ+hornHfJ2Yh1f8zW$C2(4o!Q%;oOCc<06U&s?muPw84sj%D&XBsQWF+dG49LWn)2xD!la3TE8&U`_1 zZzlGYtK)!mFcc-j-8s%Uo1l{S7`dwn9*+=`DnzrCBQohZ`{r4u0YMU-joDYYGT0iv zR3pe)WV)i!d#?b;q_o2`iV7{MjGNg!nL9I)bEFbGWTMbwTD60oxRTCt7SlQ%4tcD( zhT$#jbDnuHxG#+*Ja(RH8p}kDI9VEjD0R+z+oj|5?w%Ij+*`3XgWNAZ?aANyDEaG{+c-yGrq zx==uL4RSe-!)X%Ii5F^vA<>c1`3el;8r~{|p^QEtF*RiBqt}%(oTcjon`Pit2m1hmK z&w9-CxERsKyBG)C0o3pxTlZ;OVY!9rw)JlUTxg%)+h%4Z?^ycv+F^(PXhEjrOs>24 z_I{?k3S>wLd^{_3)058%yb+6tZuEq&cd-ix7%{_q!WYwiP#cZPU#E~)iXSZ!o*9t} zf_K40yI!WId9MrJ#HN%6$^nw{9LCvzNg;oez&MN`v_XF7P|f*OD_&~+st%b|BuO}u`~B<&9=^Rd)M9&@!pDUKZ=YvH z&b!LP+Db{V2s7OJ_~15I&(l}QZEz&s)yu{J$`1Vq4fk*YS?RSd1{xY%e48I5{0%PO z-Da-hHbcKFs1Rd!E(1=)RCRrcfr*Q-9~2bc7EE*{dy~|;KVlFo1@^|JFZLO3GZ=K+ zKO|o>3qfUlacyyf>M0s*b8C=K#IU)rlzaLI&A&sCZ4o-w7E{(50|eW1G*~A5DWE5q zel|BPf2}1Te>=t?-|iPYI_Q=W&)>G@OvRhfy}!a@_t2?Z@p++}aP#hg zXaK@|<$)j^^0dQdVGnU_@B(BD2c3rrrNfl89OV<+0Fbxr{?Bd zh01PujBuFe*RXE3PymZ84E$jZ>XDD0Z0*UQ+rjuLaL#YW3FSNM-N ze75 zsQLJxH8klI@FFSGK;Gv@n5bxdi~e;a!R{;agaQyLbWHKIW zEdl$G;kcv$1%H4NIRmK8ZUHT0p`A&D35v6_aloX}G0p)H0?GkoB)Cq%>w=NZ$EYbx z!GN&QT1LFY(j~&-;K)RO5GFKQ;AmhOjLbEVONoYH{9p$Iclx<)Ai}%3Y$$#a$^#v1 z>#oAWuycm8Z+It+_9oBYb17>Ha0E*m3oAqtoEKt`j_$%uW6F2xM}4gHvxS+SUZI_5 zob;*U*Szo&eR%3D?4-X9DHTpPL(q9Jxn^u*ED6v%4O*bdWxNc_^w#_1g4r&(H+kqG z$2pT$*MVR|#{0xt<=z3vkn&0sXy<(!y?~qa0}y8K8o5ibBBj*bd_S6?kJbRL^mkZE zOi2S>_K8%Q21BS$f|MZCl9J4zjzUm8KuAD9D3?N{@*48$>WYBJ@Ae(@yQ-QRniNaF zfUDn?O^W0cAb3i`F1xg&c14TY?36h(OV{SivTyv}P7#H- zFO&9%rkqsYs@8aICkaEDqJvUDu$X)<$!*!5nV+%UpvOPOcdw6P9C!9$?ZtM_n2z5U z zZVCfn>Tr}|PIA8HS7z0JJ&w)wQ6;${(#K{*cz&Yd^Ef1Y0Oht3z3Ioa`^_0k=bsTj zm#wWZW4hqXa1 z!d^&yhsra$mN>v*%ExQfz1O8%K9Q^@8q)+Z$Xf z40z+8fzF3_9`R3kmLPY+cg{Dr8ONWP3cdm8_-rQ;$Mv+uHyrw_uC2c&+j%WE5h-@o zCe2|O=zpxkrEd=QM+>9(bh}FdKa@{W*8CkOU-VZEC*S$DZyB%GUh>-SO80NORXy1J z#f@0*%)9)X{Mgq^y=J4H46c}`fk$?2y20Vn7^hLV#TB$+(mmWUv*&Po*ExiB`aN(I zo+%tMzF_=qD3lp#_3Wynvv3e7;=C$BVA#V$ly1;_zUb}HNP9V_8GiUaQ5^6Sv~H#` z9w+b}@?Hgjm?GCWJXvJaQPMFuxVDJMsQc`?0ns+lz5O_V@zFg(bL{a%==EPm-Ov$& z8c-{acY~`!*rLB9v&+h8BUl6+dY|*2+n!NwC~B+LHui9Cb+3**YS*y-rnp~pH7IQ_ z=r+uFExr@cJ>P}zWZ|!5w_OY!ki}&0%4M<7J!FzP>MZEU#Io{i4Y9E#>-Fmq5UIsc zu^aCGo!GS6+|Z6Vu-kodjCC4i_vL5}z}l_Zed#`QaE$7bcCX(vi9y4%-F2r!JF;v) z!uA{XhFb}z$J9RpRi!mEp`j|QdT5yM8%L(vDIr=eZ)GCjv^+ZL{24|Ai)}8*&5n_me<=DhgplJOo0KFcSutXsRe`iY-3- z1y?!J`Gs3m(?SAtRz-f@JX{P`ulW64rK4Ky?D#^Q0+K%{I~kj%-*=Xg#}w)m7yQ%~ z;){j;cMCH1nw&w1y=?G#g!!lgZrsJ=h|{gzHbEU0^Bh{-B}rW#M_QX{?(j)Ap(31= zZgZS0!?8;)o^VmvzlGRuz^l=%C*qKh4tBr^__}g0XYkyGILc@juzM)-UA-F&<=#2V ztKbEB@;Hk;2afF`m~MtEyq9h6FkquTyd-n)X;OFr;zsGEtPPQud+#a`;}(hjp!qL% z!1$@OJ0Ins+klUE!6kW=r+0xh9)2+1u#Y}j*9(_DUf??rQjfOz*HdsUvhB0nOU2$( zHN=jm`hI3v5{(0e&I<*1XvXTK76srvjl1$D7H(*=*B&o>i(X4*>8Ji~NR-Bt@$h{_{u1kfRJcksBD~CM^8!yiZcl?b zx%1Mk)I65eK$yPu@iSFre>kHw{ZY0`Ifcd0%o+f=82$+}We=^kU|a^&1wnN2+2 z0T7^rI5Vf8Ti$CPZK-wlbd-FO8h$nRK?-e0{=y;QJ?w^mYSY%Xu~_5TCMl-B4{ojZ zOpqr=Q_KCk{O;HVWzeHPd9E|tG{A*dhCtP`tfjGhG4+h%?qu*Vl*R^lm?s`0Al^t$ zinI+ikxPh10P@A5-D&t5u|t8YxLK%PomNV&fK1+iLLeefKt4InT&z&8W|?eRtVp4D zCs`mY$@EDE;&`SfQ8g(SQ{7DC-POYs;OjC74pB zO|Ofq!B{R{jb8hk8bgh_)@CjCR!!?R;r8Ile2moKqdWOaqN+!Ks%^6OS8OSbfRrjt zozRIx16Glt$^^0O9Ks6w+4uDwxO(NdRnLCWbB0yV{Cb4Jn}P9`rd6#>rdfry@-xG* zXgT-$cS86o(TVpZ!t+bDlxj;9-@YvlT>=02R);aSRdHR-5%`*n>+%W?LFZ|8^AR{~ z+}0zNIS=e&vtTRWGR(7p3(i%y)@2LC^PDZdQ>J=rNEMcPyv{7lvHVqeglKDie77PMa1J>)(}IB`J__b~W=iJvBm^QvC-*5LX?=S-i&~BiElTFb&@rod%!l49F{<}<%_9#L+Ahfy z&3m%Bz{P;e{+1i4%z zS73qzYKqn9(X0vpT6XceS?}g6aqM3qYg8KLi=vXQSC4xOBy$*>`Gs$=szu}8u5~ag zK4KP({B>ZfjSH{yT|Q5&&>8Wf9$RB$qM_h=7`M+=> zMtasCT!@M3{}mTvV*LMy3;h5x|4+|_iIwT!XfFTix9B9u*ap$Vi#}%!OgJM1zz|0V z#~~sTqkbw_!0}%ah){xJH0(Y-PR^>H6VBb6&HSx$P**+~cXfI>2h|y*UZ2JUTd;S- z973_phABcUS-9^g@Y`ZDf`420OgBBH+JMzS4Yu>O%h)1h6=TxgBLLUS0$5qgT=hn7 z)?0aY_z1+kq%Odp2? z!jCM_Ha1BI)1T_?R(BX{UdoNW7c1t&6bYZ?q1sm_7|p_qLz+f9Ou834#JR`tn8_mK zV;ubhW{5(7$3Yh_x0!escn@`#?o`oj-y=kuJ|`eL337MdD`xr){7Ldo<(yc__Y{Ab zTTp8JjeJ9{_D#h2PSsUt{GI0bq~`4VSbEdo7d4&mY2>K?o%B}MJJ4CV^eqgeNfuBz z(E4x>9NfcoGAaiba~y+oL;cY+=73%w;kz?O-GcG&dLPq&H`xCK5dB9_{TG1fr*r%Z zK=cnR^v}V>&hdZ2LTqgK?EjqqXZk+~(SHF$|2IJNllQM_|8f04fYHCC{{tBPgAx7L z@lT!qg&X}-j`8PneqR3tDf<8PoB6j;(SLN`e-9Ng|M&OwpHLAa0}JE-v1f~iH>t_Q z9^D|m4M&QeSJFY9 z9(#B|RBk)_>GG;q+EH0h>%;%%+?VV3HUD|idEJY4ny1v7hu?NRqer*PB~vOGc51g8 z(9Xg8cEsfi2zoX%h4diKx4OOa_9AbGcaCeT>iJt$Dz>XL{ffy7yW58K_ePkehOg6S zJ`iNzF-BPUw*oRQ9$J|7KxO6)ukZEOt*is%)@bTXXQ03i3ar}O;vmZP_>dfz_Isz} zU@YE_UJhO=Uc_?%1s<<&r7nOJtSZ9`G=3SH=skLkyIO6q(AH9> zrzrW^=<^=tb)IrwuRon=)2|10{&SeM#%XwnWmN#M-Id-^G`@gtwg*Xipn0r*MqO3e$huV=eT5jvs zYk`@o1;X|w3n+IRN{aF(mE%H)_l6)+*$vPrB0VgLL3go1kHeApu@Az7h2Jp|r@;s8qj}F(XDN7omu+ZA z5)HlaWN_i3)Y#K8ly$X^=0#*nm^`J*@4A@vH(S|DghVaerk<&lzdW+AD$6dt`yiAvr*D)@TJSY;0^Fc83&T(0 zOxnIq>L|~Y(ncp5TH6ywU^9=3(qkyL$k9{e+^}E@AbTUBPx2?IC8)eFWb7Ru6zpxT zLr0a5%5X(~%3y$W`+4%bWsz72Xlo0!FDz@*x7g?7yYN7s^WF-}LMo-Eo2LJ291B7G zM2s(}3@wX5K=b6rLGGV_xX|AKdbKaZHKC|X&T0cDy!6BwIbe*(mhZ)X|mG-_=+*4SS=j>rP1HiL*cozOrb zHBQN3SXMV(pDmq#UYvkcdN``@e4Sz7N66yqiBeL;lSe`QRaBRB5^G0LS(%th#9&Qo zQf1CCt-C_coDoAa^M4Xk9ggR3Z<_?68Kmhw3tsbhgeQDOd{f z&EE9VlGC9bVUKx;RItKYAbzzSy&zQbRB5H?#p@Tfe)MWG<8AjaIk0%>d3KEtNTnb6hGE!^uI?~8fYKMllzP0i9~(1&eX>G4o5H zd_Q*ixURiL?4df{!wLR}jlfw^TUj&lTWEPK8zE$Cpr=IxGb{iM@w(6vGRub^g(Qkl znKWK0mCmQ6%i7HS{jjvzY_3OY$N1U<|NLjPir&>tx9;-AGm>F2S)B^<$Mo^Ai*?fC zy5IZ-MDMPwP~kapIp3BHOH3LbGy8zN42s$u&I&dtw-}`YarXdSpE>5QV{|7vm{e^r zwl>zle}+jlB(0^QTeqL)rp+v!H$aVZvRJxdkcI8m0sHb;X_|{b{@W^-KsVU7<6!|0 zO(f?#S?{&hPnVbLYftR$;3V?ACgR~f7M8&0z5BhjuM%+pxAr^^j;5ozk7Rfn*ui6F z3MHFuYg3Pyas6(IYpT<1Kso;u1ex_C=dIa>h4r)@mGxL5BT0Rf_?>DXRJveQeJ8yX zNej)=Ew~nQIere1IZEi>+Y_8Ogw?Rvf}3AS*#v^0>hP+@+(P7H8k1v}Y#y^fb> z4SI@Tq@77r@f}z0qFxo>Vv6NTkYPcsdv@EGqF>V1)DlE=hS<{p2<{6VjduQ-&GgC1 z6Y<;FwRAzw!#b!r`I3>`!TO#>{?}NF@yNPlkt6t_>$yBMKQlPH2m6ZjmwO4`z7Ulr ze)TNN^Dw01u_{RN-!zI#j39lqazr-Qli#QPW_SMJIL$paf$Qil1@icDDb>@xEX9T% zzL0*#*_WETxQdvDJ27Y>s8Glnkyd5m!$t){$G($ZlSA5Y^@@rGhcXPZSR3pfo~`g2 z#Y6HuiC3cGYKA1wW;r8j8k=}KE*=I9yP=;nicB(ct3q13X_w{1-K?^|%28r{z^)A2 z5l9ZP5v?xRzf$t+cRy&`ejSA@H8F<>|7Umt)-ksdX;3?BXSu?_Z=%q;XUQJI>#Xp(cFseSSla%%V57{9w#qq|UjuPACs-k0=tj#DxL@419rt30}E1V$2-tfE+x z<4=VIQ5-^Em8Pg>`cOJZb9M1s%w5!&$PKATIhv2YiiN80YiRelUJjq?D@v3YRj*-{ z#w(1H;g-?XtRpJMiT~_r3jsX|+Z?g)@8Oh(19!jz->h)IC@44Y~#-|jI2i? zWZ0tOGc4nR_ugMXzdZIhRR7(yj^%%wt}w7Ovi=X#l`6FfTOv02t_!MTu%f>lhrfaV zs5cL51$ug6z_gt9uGpGqPyE9EX7tBDosy}$$GkyG3oTm@@XF) zmR_`~P?)q_;DtS3?41niC4zr{eSO;Z;1)TN@mY|`N^KU9I%PO4XgF$hg`ogLb{fQ! zeRlN^(ADhNR(fw;Ry>wWQhu`5&i9Gww3w*u_133vhQ;pdAWC@ zx5RWyK|k^JnM9jQ!$Iwo5`M!yC*sy;=;!cCAWqvIaO!~^2JjF8+EOJUw7I0z+Xd=_ za!uhd(}+tGVX^XzzdjVj#81Yp>{WK!Zcs!|9ss81}X?)K_PA+@UO#yOV zGrr^P6xsX!=<`7{cyUYzFye-vP{EhWUlH$&SU&FUS`;|GBB26UvIZ7KicUO@-Nm7~ zsYF`d#vnEwNP9>Vtzpm=kVFHE9Vb|4{yGA>8+mV-tha_ScZ}@=44+32*&k_G?>uEN z!o41mku(dfqXA06kO*xV=wSq4`+4CP60y&|AYa{K@`Dc-OtAA`!Ugm543);YB1C(^dcLzq*^ zW25kwG~%YnP1($%t2w}D`&(DBIqpNwwh*VaxyTKF-Xwq$;>I z-;IBW%DagbMSVkAr4NEcvZfb>KNhb^ETPDAlT54xN2B!^XIg@(KqYScWDTi%Ky)4m zX*!1E@z~WoToJqElQj->VXO>`6uRJi5T-$thQxRK(q1)QkyH^Iu+X>%gr}{Y$y|a8;;kcy%SElLDf_J8>#>r?K%SAzez`LN{NT3`xotTKsGBcCE+fP zwveU`1sY?-kq#czain&ZntT#Z#7eEWGSKxnsaG+n8 zRF|Gh^9lyayD%|t=yc>?#g7uE!=GTueTqCKE|7Qg*2N&tfCQpCV>d}DuA()1f1fP5 z)F;3F zjpJ)*XL}exQE!)KEe~?xKkx3W${6f46db!goPXK>WXq%BB{hQoAN|5)`PQx)Qv9DhAtA8a~{-L{t`HWB= zzg6GY9Ok331R@!+f2FeD?m*X`_C}S7if#avkjmh|3#9*q-g;6aW)vh`{_$6y5O1Oq z?yp}N*;&v@II>iL!9HR;4*}ePDR7r7&#!$xqYt$|1FaZ2)6~BkX#e57?F=npxVirm zD8^@CWupI|2ih2{{~TzE8#A$CA)rC)mM?y_ldNU#B}M~-?+ZDO-IPkis1h|~!V#$J z6F^*+`zpIQ@Op=i$=LP!Y3#Xh1?eUOHTw9xzdpRVz8yV07wzxx`DNAEm74VQ0oQ4N zJUscl@#o)*DE+ONe0n0o+QXf36KX$VY?R*xDYIYw*^WZI9e@zWgrN%EkPb>M-m#t# z<{hk^o}SWAxH4S-f#eavmLOCzI-40QZ8njq0_6n8VfdnCD}s*GXK=%f;pfh-oOe<9 z7FgiBo|9hvau{a0*}a^Wh3IhR?T3sa&gsY)9_^BvPC{{lkJp-r6QoLu)} z$R?k^&L1MA)sRVgW92_Yh2VmwV6|DS>+txhq<~KG_l-mWAhhg^iR9!bft)5h0h-M< z6_kF0Ldv5DIvJEqm&wRLDoTq={BsL!8Rsd>J59vF@iink^g%dD{o?NlknEF%;Fx8o zulZx$#DVh9Xay?AEbOh+j17KsZke2QxsFA`wJPf;`7rBvv2Jrc($%R?(8|$ID0vmJ zN%V5-;&Wr6kq>UX7G_0{5?s(Upv_E-LCz>emCMs!n;KoZ0#S7j(i~EyIty;z%dhL; zb1FV!Op=zX(_M8fPDtAtt=eRM{6fAz!QN3JT_)oJsU+_F1ncq>Y|X+>Q&HAWut)Sx zILgyM!8)4v(U)LzOmsS0@|pmPj`50|TrJR=$h(%0P|4x0$Bo^(r%I16dYTOIFBvNW zRCFjq+!slqWLvi>`<)~%i)!XJjm#R#TM;pdYce_QDbg9Hm>RsX9xA5H+)<>CORVfE znwzAad$Nt=&BpEgRQ53r>~%ECzjXMFEbmNFQ_>;z$VNk9R%9i?F{urf%rxIqgk24p zYDq3O-7r&pseV)4yBmDZL|Y64eBzps10OWS%TD5NfyC$q?ymMUYNHEqnmfPY0l~7N zA`q92cx$sdOVX1Nv9x>yaPtBn*$wXfC zS)p6ZUWhERh@ZNssa3x6D-;WEK`#S!$3O^5IpZ&jKZv^K*1PqA?iLaxusF~Qv2LVx zm&F2dsx{TH8`ul_$7V#gbo>}f#Mb`xd>seBRw z5(_}r6OdVw%GEw*y;xX4(U4JgsZmnmiJgaPG1-&}a(D~3Oi{#fYqur6r79O#{ z(qlNOI1CdB!%yy%XTvk(LF@d=bmA7pGtYQQko8#y@{8tr$!K~ruASAc4I4Z}DiYydCC8Ab zhDS8biW%R7&>&mK%5`MiticH}HMsSK}TA*|mXRvt2ilexp= zdZkg{(d`Q-q(W$=^Yh0P{l_{z8=p@vmtqu)ZaeJ5Hn^u*5Q}LPgIAva4Bqz043MmL zO0l<%uzDcU_H@)QE$MBEzM-kjsDtrv?FN;f$6`^W^-MMU0EkpfWdnrf;t1%rrsO78 zLTJZ#Sj^UM3G1-vHM+mi*$};^U_3!0Wwyjm?L^0Hkc+t35xvQ5i%A4{V8Vdl#=23) zStzywVz6rjNFV}%kVrr$AFh?3B$Vl}cKcH-H#<#b47|SmFT%blxYOv_HnwfswllG9 z+cqY)ZBK05<}bD;b~3SVPSwS!y8o*4&<|gIFS~c`>fYUZ^;+(>1Zx?$cb+F9nM<9N z)BP>(moAQ0W6sO$?w3N}D1~7>r|a`iz3qpukKLX1pj~?3wYalxijLeB+J0Nmwp*WO zq@?u-b@Xl+gvDjwU|2xS&>Xb?DM|e|?TY`yvd_W(pGfxq-?NNlRa*@{^^1e}6r?zIuu{Qkr~l=Lmdpeg3Oec#4_mkA&F2ypiL>_N{%h^I2)9QRwym zeo1W^5o&X}PKlW7$IiO`Wifg;E1;p6t@G&CROMfY=uDuFKOBvhX9sTt4ussY>e|kc zIJK9~GHp*Bbo{S~&w3J$4b~`y`tA|NXlZaYmj($oFdg2u7*G6QE@h~Ir>nymD<(WP zv}uAO#kw;%rdHW~V3YL-Q*PK34pX1wigfhEEYxfW{cu!C00Z5`>Y2B3b8Zc4qk1y3 zG$Dv?+r!hBPhk9jr{9Ty_1cucLR=Os1)CXN*^riFC?YcfE|rrf=3Fr)9R~JrZqQ6L zpI4LBcj=x&gxX(1ko$f>x*vnMYV2kP1rs#@1R55Czoa&vsAE4EK+v zyq<27|8Z|5Nz?6o&DU3=3Gnp{^4F#5U{)|jHcPDyj54)kMqicgrcw9h@!kQoOX z7A*V0ig2`R&-dT4pHG1ruGjX!T!ATR&RqKhAx)vVS}N-=6i(c|5Xo6*9IzQ}Jz6d} zuCABZSRmRjsL8))Km5XG*(`-YUbD|M+LR@BZ&f3HTzj%(8gIo$%v0F-aJILgwUs4l zciz=r(3w@T**J7^TJLu)5|tiv=JSu1`x9Yod(Q7~sC!N=;_=@3ZEy&#tOtYTYBTO@ z4TtaM@EjU`ENCZNm-3f1D#e#RnMPVT70$_*{_X1}-36cjdLqHss~q(kzoD8)KzjST zJYeRwIcW?G3GsrCsv`XFC>=0K=NJ#&zhl^Ec(?p8Ot0lw*3f(JNMuGG97%gd@W6UE z9Q{oj;stA4Sp3E8op1tU96yqNzK2TQ4bhwHmopuE?cTZYM7M-b=M4RQDQ9}oaQUSy`qbHW0K!z`)uh0{j1M_xrdR~&Ws%q-}S>2)SfV72H8jbcFTFN z*QFae(Zw>{i1kDoLq##COpvI4^jLkP_E*MchwQI6;CfC(-BvoH+)u^LsBaI~5+$+9 zbqX^tiSU%MgW!^CrMToIr&Ut3q1=xbmu^;?v?ztt2WuIhuEJ+s(NnyIAN46TAk#_; zdxaEY7oSR`K!-Fd`?(a8lU9VbFT!Jv@Q3V8k_xwV+xTUG;tBJa^)etsHz`w5PIA3M zKi6=n2rCY@;mdfGWa`^70KzvDaj6KL{bul9Bhks&emS8D@rpzxkgGzKI zqCy0$*Q}J?xD>BToT_^CTus-vB{Dffl&1h$P+8bSbsfep^cMP2wgAHu<2yqnfOGq^ zunc|~-_EX`SD+LgZqmqZZ_BNDU)aN{!lKxQ@sagarX+95aIcC_=DdQ>Tsio8ne8$m zON^&?>HS~SmvWtoEvwLcn%$#G$uARQ(Y4S~(az1oFhyfG`Ypn~iOg1|iK0?+0otv+ zbTz%AQSw3;l8_NcpkWMsJVc?hQ;zeBq{rY5t<@cY;;t0tbr#J5di$lNB~n9s;l8q) z=&cw$ms-t=ev{eC?%2E&vE2;NR=q<`h)R_qg*6RyWq+sM1WKuS4 z4I!=%%R*O^rMXPKsN&nBk_u$J>7JkzM@|r=CCrak;O;S=>yikmiL0#DbRNFqX}5}9 zB$O{S494n}&JO(m0SpRSTRWD_iM*QeM0U+{*8{X&PpMF&U2CxsPS})R>z|RvM%`Jq zB=Js`vn599f{vW1>R%$-3JTxQOhDA&oXGzvbN&BfMa-Q4V|kUMF%_r73Ag?Jleyf* zdTPP2FtHTOVO&>Nx`?X4=oQ(b-4}NmD`Hm`0k_VbIS zf9U=09eeO&)GdB5ZRDpPTy5T!d2odtT*bq#Jw9PNDB^ioh(btnK%Hp%y!ZxkqOYK0 z{fst`N>~i8H(nD-UxPSaGw?(}xTZYb(PaK|bJvxo!Rk+8^G{4}oP?2zWN)@6MlGK8 zW}TOawi8X(V9W%{5stXSHwkf%(UuWQgmGvo9#j}9KVW@vjholiaG9TkU=~o(I)16f z$`3thynur<6NsdMMcig^tSNRp_PzwklwF>)fjE;E>3pQSWWv{EFWlDakH)6&ou=|Z z{WA!mVl9LDOL9~S>1+?`6v{)74O+du-z_#}d4kP(ibPX?9(myNP8hj57!OnU=eU4f zgwz%di1^m|`HK5jZ!DCd={MWn{ldyRmExxbEmq800BLfVLqVBCGaw3p2DcG&=@f{VQW(ehmlF!JikbmdI`?C7d~wfVD@!EfBM4 zn>zuL&!d}*W86>1PX^u^Q_To26J;DPyL5Sz*FW?W~ylXBI4(QWQyI8 zwee_jtqX#ht|uW*aURZ6L`jSF`UQa&jCyJ=t-j9E%K)iHB*e{se|)4w)`axXB%B^v z??~k!!0wt=&$>NqByTiRl6PhS$7@9Ru$a}|Dy6wIPrU#2viEbO@Ca*Tx(H~`LwJT- zo|yS`Ga(K!86QG%kOj3AVH2VnRYkUmB8{RKh$d7NKZXBH3dADNg!tuL)Uz|C`yE4v zJY{TnI)kk4icMAVxULftmdM~=a_Rl#xy~hMw^QYJtWwy;aIMa1G`2-@dYj^G{Q3Z^ zd2%^*s3BJHA*<9Pu?)@86-wC+8FLy#y4(nuhD17BhJatp!Nm*$xqZPPsQ)%0+n4oH z3?850(LRAsgLi_?L%%A;F2UmUszIZiC4Xq4@RPKm8qODS&P0cGGj;6Mwpu$e=aH0< zU!ZEUT@_gib0)XZerex{;aLEU`sud5P*wJ(YD$nJlD5 zzAyUo91%C7zpTldQ5U5Pqi11Ir{uNET54{u0Pgy)&2@ZQ6Yn?d6}&{d_}>>zt}@#N zTP!9;O=+1n@@UxT&+8g31YTFoedz3-rlk`if(;||o>DlQH=6{v^}v*UD&NH-?1MA! ziG-KHy#KPWXJCz$wil)^t9#%{=2#zfhNG*dV;-Sj4c;ikqxW_ifDy))hTane&Hd6& z&m@sW+ri*`Xmk$TNoUA--$|gPqDvAES=bZk6`*+K7$3$yN|m_Surjgr@vY?Ax#BqP4z93?dyV}7AZko*S5Tjb47`l)3|OEuRm76##@2S!9Kne400YxO$Xl~a6k@GtOWll z5&k!!xc^hav2*>;ea4BnO-Z<(3)% zj*v?-Z5EUGz3KP+W_bRoFD*CjMq=c|%{e21F@Acn*;@}|ATin1V zG9v__x?gpIQ8?`)xJw6WO{GeyJqfCnZw4C%QXglD)z5SL7IAvo4%yCggSFX9XZsd$ z1)rdmZCTeSBnPC(X(hRb>9VTAs=%RJOo)O~>{I5H5=Dg*n~++=(Lx<<6sf_^Eo+dd zG?S4e9nFXxBT_{Qj8xp`BNrUGWh z+%a8w4JrsI-oQ&9bG*;6t!|@N@=D z-KrIi0;PsnUCYKQ1NFKu9nQe$s0Z^k@avw*cH@Pm#L1#j?0;7Z8pRbQY;3^5Z7Pw; z+MQ$fkS=goP|eLm?I)=@OfjSpr%khTrTA@~x0ro=Y}bBkt(n+un$4(G--)`!w*;Ow z;P_CtE>{w#u3VWmdF%WgE{w1uNBd)g>gi2v*~4z*@7 zj+CPryK_XUb&1hRWy8--$CA}2;Y0??=ijIdEVYS`z6Gwdr-RGb*cuHi;KVxE$Nmub zj`FhqO|N`(@zGbxXoE-{ED>0RNe*I%P6pG!F!vKkdrY2?u=*@{eHnjDp3*vco8VS& z^KY#;))HK&!!qadx0G3WmpZH&XatCmY@q@xO&v4PqHmfVspz80(Clbqs`>t`(D;1c zTP$;ybulqwJ*7n_C3XG4nseK?c zkQ;Qdv;-*|ZNd_Ey}jZ6YiGat-iG^S>g2j*jIehgy-ofBQrC9JCzSB+)8d<5sU?E+ zPoM77yd!2tRcRJ5jsIEsh1l6aPLlvxObIu&V*gQCRJ#`rR~9xmJ5 z>m!Uu{JZ=2=im0ZKZQ)!qF!i4Zgha)Emid7!}sb6onZFL#gb_%{9%qCF6DY+qHL&2 zNAhcXxivLe`wN#+YE8a-@4q?pT#J9ri&J>yyfb>F@qy0_Nh06LOH4w-+18DCoSz$9 zy_uWI7e!@+RAq36){i3pZmE;?qeUR)@Spz0@wDj8=FlOeu@sesxxhHEwAwaSUTn7K z?>9k2CD$z@U4p%LcTh5K7iF7r?F5L&>Wgs34Lm`;#qag zyv$-|ETa65Udo!lqOA<+IA*a7tVS++qeZ$Y8g(#PGmu&(B@rxKsVK2p$kAqM)$Izw zusvb%x*Xm-UPn%rl7B4nM)hh|j&AX%;|{hAaq>b!wlp?-p<2~0@F74uG7jv7Io;8)D98HmCxbj+CA%hddb#h0k-QfPbz zRwO)(RXWI-9}@c**WYWL(@@5DR7#R6Bsh~{FC4$04V}I-p0eJM5r4AfZs`r~Hg2mu z#v~Q!W3CQ5TwfBz8{GMC+^~BZzw+B402nCdh6nXOq#V}&pv}p~@;^adbJAz*FFD{s zpS_~I0^3m@ZTgv*q+Bq!(KGbs_2xmgTWF$Z<`5>aDWoF7NaFGz^In7$r7J}Y zGlYGBE8OB_0+Xr2Hg^6th1xBjPN=J0H-sYS?oWWp7gA*X)u$Uw95Tv_3rHrmJHJ=3 z-v;K;1oxf}CE?G5O?l|YA>pr&iX;fZ*Y-yGlzI^QF+jG!sS&du092=-4DzTqkf8g$ zS9iTnBtF^-$fgKf4<2x6c;G`H$EF^MNT|&eIq`#h*~{>2#UoBs-v?IaQKZKKC2ByD z7>7<+B_bqt>4-=?0U;N!4~syqHXejBioziM^&3T8@y8xY5o0uE39$w+PMJkI9QvVd zdLc+lfpf45y_by+t9&?^f+!h{53$PON#8zku!z}8c*%fV!neQ!ejE_`aR6ulheW78 z3YzH0fq)+efP{Z+K3f3DnAr~qOs4=6>Zli(D8$uS3l?b+NIpQZ=N#zYGCwZRKr$vU zO42LB{LN}X;M}y5)2`puZv$2%2F%P`-2zjP6{O4nHCb6A*?E@0Qw3v?a|Pp|oreLi z=(AwxwIB%^@eYO~W2i5?@HK<}WetfA=M#Nh*zLnIU0bqFMH|{=Dt`O1DA>=k z^rZ`c%>}sIJuM>GsSPh;6>BMbO6;5;^QTwI{_ETb9rY1PrTIsZC%HWQ$I3YU6z7cJ3J6;J zW%=EqXwHo^z|QZSY0l5&u9m8r^MZSF6~0b-tuE#d!QY)NC>yLv-v8=SHPtz?rZV=r z`!H{xuccE>>ozgsHAIAEGn#21W%>6~JlFuz!6(_D6mvs*2w_UB{;)TvpgPOhYrmOQcHBI4eW3&jJ*|`UAH<)k3=*#Aor}`C(IH=jdLUno%=|I-2;E_P-575% z5HrX(ekTE&T!|j$ZhP3T3G*2OZlW#JS?~V~smUODtORA2G(dHiPkth^iN@M}Rp?jI z({#rrYJfMmhwP29O#0xGi}iK_Vs?7B*<3hgTnaET@;i+b_yZti`~y(A!WM~toV~`H z>26K#XS&6BTK|=am`czdh2&*FDIVeP4alo^ikEN=+UHz+7D@XN{R+7;FG4SeS?v5Y$8{x&iSrfRi1C58G zW9|{xrnkVutoiD*OkVC#MS7h87|b6H?jG&6AB1aMhtJdOm6yRp2W-9s|6`Ti>4@6o zR9p)X>CJ}+=!U|S_cLsu zpio;y57zh4p2LU7=Sa*RxkoYNH-Ki()pq$^33Q93cW$;3*mZn5b?(ULEBy8-{rY(E z@7$8#xvL6z$~g&W+i6Gf_GL)$c08K8N{*1f&RMY(r@C(3|C|B-vc)!bjo=S%7Hv*(EPaxoY?Gnpo zBVVlL#7>rva>MzLKrTsYg_RKaP^5U_xLR|`hObB~Z&$*aPn*;%2}XCbO3s4ek_!gT zJuXS60M-)8;_$W!w8@uUTER{-=&4e!_wg?Bq<=>gsDw6)`@_9b2P$%CozI9L+Fv_}N;kwFKB&tM-Tr)gm#xpchdi z_%&#v?}8;%(uEFGN-WXOgvdoguX_1GN?|F*=9+Zz8hUJ6Tl*_S)Ee8!4I%}o_XZaX z+DNmHPk35pWPB{*jn&XCb~2qdp^qd@%u1zV7*BJ~mq91ncklM&&8;(r2`kxsg3IVO z#rw(}fi%-=|Ij#DPy$lD&N9-hG}w6v&bi|nzsEHQHn^@tG?1nyJDy79IH?Z3Vna0fPqc0vuW4ieu3`iBQD z0+2Rm?F`X3<%4-=-Me7<2Hm@0s*44EQ4=f$mu`%aU~d6i_>y*?Ces#-UA%ztm|tfD z9O9p>P|&-UCJ{N#>UqU>aJhTMt;{s~;b!mBz$D2I9;B$SQ7VruR?yovgF%}-o!NHO zT7yp|re9yo)LK4k$w@8o5+$Z`!%Ec1#+!*rYPP(}#@m`2Ob+HL2eIYlJb&>UXJ{jN z$|z{~kfnMH-1teF;1R}m=xtS{B4YHs1s!jo8`S@x-4;6Gg(kFxJEd4|V2vi%^YZT_ z!%_PFG=;#62NWj8kl}~A%X2=J_*fpT&{9Eh#D}`jwuXv5kwBNpNgzm5FsqJNm_>f8 zHfu}d_5H3UW#sR!(E^;TBv9wH%z1x^OmXJ}W5xISzo6VxUybN{C5CY2iF}2pgV?|Q z``3HkOrbclnvFuD5l+nT`(wxN-HMHD9_e*{5@<`HV02OenSOm&I557r8E2a|WO>}s zt2_Y?DHR`=mNAqX*({0pM~>r3|t>5_Y$WFYZ^uXxS~@=Pn2jKIYOB-x~*!hjWidVfKV@b`VqtLa1nZT z%OGiHQ+kF1Em<+}Vy&D-rE;;Hpezd(D?DYlYT6ccA-s(ch2)PIN^N=rBgh?QryH2S zBD)&hVEVN}%j4EPx;dgH|13&ZBbo(Z34R&9#iIIS!{CAgxN@%a?kJb}Q!YmKssZz+ zxZ<6fzp~0sd@Pn+xW#d>1qV54S~lH)svWy5PFbyTj9H%Le=kVHJHbUNxrM7!h`CFO zkUIR}QSgc*!U!}7D5vdvZg|@?YPz{&=(yWvs#{BME3E|@K7c7LELZIv0Ni~H>wSd^ zfkcBo&XUqHwiQOEI%+tdQI@s-lJJzwn31jwLCE32$fDSi9U{9|wQ!+>xnBUvrmIWa z^1$MgxAY0}ySYp2x=Qd;Aq_DQhFEAIpyt=R| z(EGr-I33I3RK)3h!_PA`PQcgaVjgWXxbQ-m=G5n2IgjY+xW(|M(T5}bUq~xwB*Es+ zJg0<#Y`MW5xWPH3%r{mJ9NH;723t?VQ6z>lIlyK7C~`~4&y~KnER{6_-`}5Jc zk2OD2BK`t?gBofB`+WJ;&9i$e?H~!Q0JkN*v) zWd50#W8&cYf4~&aoU0yKP28~-E`g@1XBT7?Nhm7~ZDJu2s9;Z=A!7o6LtDJfNGFk4 zxIrY7evr$(l7@TvoD!$(MGfsmi>=bWH9Ox;ve@K@6{$_K z{+sE`Ag83w!=3l<-tX`CFX6mQR#pr1*&LVS9M&$Vc#1KU@sKA{45@A2gQ4s+wN#Rj zJPD}HPLFt)(eI{bmH-8klEp^^hh47|p$nXlhdQDXfnGnu?&rx5ex8tO6{sWJHX!;GhREA9RK6wimKW(C73J1g~EePhw!p-GAx0oq@lZarO!i6Ee zG6RBZWI3-hl5mQ+^v`vuB#O3%3tM?Xz(C*+4ICLBbW zl(4y->_D~Tx6b!LSrM|N?gZVArH=?F0L3`b55g7)xSdiz;If6c7Vs`V571qm^09F} zlXXIU;yDap&K?!1St9AhUH>u=Rw!0^@#g!ZJm*&E+ze0NfMM|$bndwx{r#3E&+F0Y)zX0>J5rB=aJI^-gY%=)&C;?-%shQz`U#th>C` zBpF;3;6v^W_Vmd5AoBn+UIpH^zsr=~gnDjs!T|1FT#o4O#Qw&^6SOmGT|Gu&I|jlq zlv>{;bBFc?dSLX+oV6>Kzogg7-uK%45LEB%7c`a^b0>^maNe&m0O7m=z$yonw3w-p zpzR?0j{e1Gn)wOjiR8&WwWL$;vHZNN@_8Bi{ATvc_le9gcUmQAV{b9idtQIt+gxC zcGwrZ=NHx+^&9)?HuM{J=ne>WcQ|{297Dl)yjV_rw4n#)-i#-rK!C%D!O+~k;GW8h z9|SYSC}KX6%0RU_gK@2?zQv9OeDyd-eR{n|eV^g3T5Off{(bqI)1yw7$_C%|{mm}x z{Q_OqReq1(Q(lKNKn&hepCYFNOB;cw4X0!)*nNO9(!H3!zqqIWjxq2UPsGX_sz0MMT1IDh)z4!^@YG|W)W7p=y^|e63PRMVcgw=z#R}tL|;V-v2%G0Nv zdCDjBz1YVociJtGA|SYxCHZo3x=-)t9FxAoA4`X*$~F67Yc`zBw*l_Y4Jz@@H2{bi zcn=V3LyV11$D7l7F{m2#GHR>kd5~4C2(JFA+yj=Gq5*f938U)G&|QGvm9r8KAm8y7 zh(HQD8R@sh(=OB2fWw1Rn`tFi%uYmdM{>p0U;>GoqPYXo>VSNpp3U&m`HRy-Eo-&; z%p=*J(TU5IrGSvQy+;>8Lzt54d)xT zrT}9nWb@OUCCKbvyc#fraR!{Qq>l^$N?L9EMZ|MVJJJw!Up#dRf%s+R1Zn{BG75WtRl&lLv{gZC3 zOcyUW)4j-lEu87OY`ty(4zrj>HMDJ?z8ukHWexpvPDp=$%`)T*6z%BzI zceK6%rv5_C1+F9Bv)>!BIlU>knA(3p8+f$>qEQb*#5LquD^nt!6&^AKh3&Uzge0&9 zmWu1A(zIusu`Iydg;cnrPqWR#)XfmFm7K=$+Khf)^&f%H;> zdU;@I-J>x_TOoCSa<6Yv5n8;N&+m7hR_ctpRo((yxDfwMX@RX?E_C(6^m0h4$nH5w z@#OVDNCmXx#+@@?H_*-ifQS88VEs6iAuQHpb5fb%|74W|z5@W!Zb9aIXX%D5uOAdJ zPXMhGJ!+ygY?T;DYpLpEV7E;E2YWuT74kcb*A91Qv})E9bq2iv)r za64(wS=U1FDWwl?(w?R$7Oq>gUZP1GC@zY~MkqXy2> zo*j{b)UxW+On}l#X^ggK;d&>0keUmOk#v19$fOs z0#kH#95V&GniJ8al5((@=^CRJ5{(?QkNGHHU2t-8cKG$iNh-l`x<_v+OX}t|hEc;< zmrRwg#ZuGjiJww-33I}PN0%aOu}u`(=^3fSuc7u?xhU)sYzNcuC`Wit^EbAiUano>UD&b5g5eA^C_~P0Bq&@Z2*rq}*(enE3qPOQz6i zRk@d~w*{B;lLQN%evpAmqQy?9sX)*$mpAQx5PU8G2@E}CC&Do0$&&*rxICXD*kI}h z=+KPbJxXql&$+lqHFy{X&^yX*?FM+8ny2*fd6-PC-lXlY(vP}lVYo?hVVQB}GC_0b zogrVOh7>~ci1vU66FMT}ooBZW7$W0sM*eWRB>fIORrr*VGl4r=SWUsScoq9or67*qhtI!RU<^`@Tq(5_#oRdcARnXdl8gsHi957Q@mD2-;zgtRsp`(nwm9Dod7$ zObw6z*lEETv%geBl{tzA;m#%8(DkN#?zQez=&lv-wpS(Ua(=B~Zld0H2du?fWmOgO zv-e5nVr~Yn-*GdX%58m^)mFO}iYDogx&%z{est{TO3$d%MN8;vh(nYAkmu5i$w7RL z`BF!fi4^oAETk!X{EiQ|Qii=g8Z3`hk6kIU&nC6HB3XJ_@oMcr zuMW(4Y;3p{e<1;@Dt%5@$QQ)|Ak?NmEv2A`*@+TZ!ZVC0WhzOc zaxyKoCDpI>^0?7w>Z|AStT8|JGrw`sY;>PRw{@xZ{tTs)IX7yaez|7;YI(b$OXE4< zI=plD{6RcdhqKz`iUa?G4f2&~T5}CouQQ3d2h>fxI7WpAZme2D>uzS^O{53|! zXYjT2-jDLaRT_ig*wmBWq#5H*Y`*A?L`_M>sF*!|&g7rIC{<dm5Hu?DmvljPhP z_9vMDjg#{B7ms-5MWx9(fwN(F_GN8BPl5kz?6#5Wgc?igA8V=UX!wdC>VLG)#i;NQOfz z{Cgd+xt?gnx;(~fYjc%QdB+%fS@6xLRrcPC`*PzY_}sE!sHJ;Y%Rvy(tuOJCTc51b zcBe<&X7xFXn`_(_v=e<=w=mnr&Q&mm{|4E;YthR{ zFjluO$f6yLfXPh!4(?m$&)cWdx0&#;llU4t?XX6fS$3)5nUB(U!=IKOSWk-DkhW#j zp2%d0lRq2-v$^klIOWQvH`~VIIBJk1T)fhzY}Tw@PaY$TN+GTHSdy~!7L$Q~@a_mB zeuy{egW5cqHFd($@kbjxK1Bg7#AH!hR!GXBak#lwX!)dk9V&R+l9ZzB9$zucakGMq zeWT37sigoIy8wE5*waEoWoSN9NMu6KE9JmYgEo@LwBk@@Q-&--?3dzz^vAy&M11~r zpR>C;!Hu6Cl&hPVJ(l@sh^?a0Nw@u~x^HyKx!+>V7kmh%UzW4+IoGRgZ1t)8x>#^z z-nU2Ha;;OV6CQbSt4Kt~Tb9TuqaVxE$n==8ju#lintpu$G&Z}YaEbRC?xr;9do_PP znQ7B;I3bvo$|h_gIMLs~j7dFu;O1@x$<}sa7Py2*-++}VTDxed{O5|?i-qmht#2~- zbaEG19RSStBR2DS^SjUg_b<9Pk3Q@u++p{C z@gclc$GWe&Q9$@+eqNv!eon5(EwDb?h++UEhM4*%K9uz>T8#Cb_9at7leXZ&M9Yw_ zI;$?`e%!dB9&~(EqHtXUSxxd<_LJ^u?l5=~D-u!@UKlF5LmJb&w4;=}dtC8Ez;DQ7 zW6vT$0DSU`;zrV8-XMnQh(0xK+xGcBe~d-^>XZ&L;s!cQD2y58-)J$|GMF-01&m4< zz4;^uBaByowlB=zj9cwn@;&KtLa(y>rRfS*3m%KF;asiF9LqP46h~sD@d5x;7p19)-EEG7@;LwTk zY9f8!zO560W%GvY-wpg;=f9KpTJ-buk6&Ln>4F>= z$b5yQE#PiVd4FnSK6oCpp6kBcL}NGc0Y^s-X74`ceV_bg2Ix>+^p3nO41Sgp9UMpi zC@tPKN`|R0fzXX4scW=RTLtag6 zA9P^orTgTbFwBYOt@7P?%MPhgR$=XoTKsbdr1G^ao6j_F2)q4zK*tH*Gy3?#8!)+l zkGA0x?%SpEWceU5IGDJPRvJ*b#-u!{O~*;3)Cka#6t3OO%f1jtM~4#Q(+8k34x;Dj zrj2oz7vZH)XPiv5n4Kb3Ry(_JbCu0HPJ0fHW4TnGYRx^4QVA<=GM?dUHPA2`AkkC! zVVBgsm@>1f@##&YbJu-Hg50nN7WWuC%`2eVhnbezU0aS@!IG);uOhOg0!@d zQI3fs_u+)plK!O>TBF`{H)i8W&h0|Vh+`TRfNVfEBriW>05uBwEd4i3GFzWa6x(d3 zM#X{;J31sQtYp`3#%|88hB>n|c{?qb!|G5mgXub(rb%K08w2MWmWlL*?c~JQEg!OecYP|)pRwBe>+?A8a^bC;Z+fg~6n33{bLwBkv`+tyY7jdjYbB{9 z>+|HnsC5s71XO;d_S7b+W{tYdKekJU%-#CFStI-`XE)fPFNl0cR*ow0S^11MM*#-k z@wXVY@a>K#ActI2{S=mu0iYYy&oCedfxRwb{m-a#m#QA9 zkQcZqxeO7k1kn^@z%*gN^pxnR*)SJxYx4Nuq=Ygu1rBx`6LLju&ZQHGc>%esh)hO! zn%IalbkjV_aS>mGIgfFo?nwFJ38YjuIFv7KMDakyo@)ZR9BL{%v$l9TKzYFjq@ke7 z@(R820y=!)Xgn=UMI?|ZQh9K{i9NzvN|1TVVz5g-cv3hhLo5zo6M+PE3;KDNR5Bpc zcGNqN!IX1ZhkV?Uo^=YY#TM3-f!5T%b_eFlD!<3ypV+xhQsg#J_$0E32O|_KS{C8X z5u1=rWUjW`dnPn}t>dF}{o|kQEXFMNZ#Vx}TLE^V%iseW4KnSjoBYqeK+NnLd>Wj+ z4kardXVndT(yjcUaFm+_7%elc^^8FTX$5AM@c#PR`D55Mk78b{XLa#g+Arzr@-W9^=MiB`QV15+B?!sa(Ali&oD-E~;oA&>1y^~Kys{Ju zDMsW-WV{o{(iAa+GS?B-*}()C(kx&xWYpxKinP_kv>H4%6ep$Rki&$>IJzq5vewu+ zhb>&vMM&zT=os|rhDfF>Z>P?-db5lcX>|`yC5gqcc&*NSV~)wy+KrGV zCdNsOSPUc=cK~fNbhObZNh(`<9GcEQ5q6AO48~DU&B8=*ZO%oa`zkGEQq?}4O{{$R zbFSCkeQCUISsdX*Y?s_Byr{M06xVE#ajBN840pYn?FX)!>arb=P?f=UC&2F!6kab_ zr$~`#L`HwesEf07H6vYMQ8y)SD#+X?DK%EB8z+@F3dn)cB5i1o=p-GgwSR<*dWmSm z)urjjKXCPV8psy8AEvG4SQ@JvuXlep*lX;T(h_v~ddu8f)OLFwZpg@&@@T9!*Hx9< z#Y36&^qy}U^xWxJ`B1+986XEom+`URF3{J;0vck}YdRC(O(MrkL926?nmDGFN!wce zJrsXFO5uQu)9q1Ic-YftetTqwtIpZU(yetk+J-=~D-TeDL~-nB)-tha@z@Bz^ot8| z*{Ypk zGs@K`6z7qrJk``I(;gRTCx}F;8yzG^SkO>u5XeNO`91}ScAH3c&Ir9RA|ajDkdcfI_R$zf(t`F3Z{xy z@EETc$$?|WR&hVtgfxrj%|dS39!pQOe&Al`!Gw!>S0lDuZR~Rqo=+O459^kG&Q$R&PiD46iw;O>ykZk2FQAi@AK?A8!4wn#n$MXJ7BJSJrsn z>l23vF9haeov2-ZYeUfkaII%ur(N{9?lAnio$pi`PaUl>ev*1)c#$mT1bNsd^}iZS zYvu>!!EMSGGrwBOL+8L2blBR97SQ+hN&XF*d`Si{0tq#~9A@^kl3WF5mz=)V-dd4IKEUgKxqBx)9xPuz zx`I?RV6j~b##h0 z`Kd!%IE#0X%hFGv_+kcTh)>&tl1p}RpijXz@4%PVZo@h1R69FKaZ*frGcsZ4xd;{Z z;x#lHpJrmw@6u(ic-DOr+_qp=)A_=BjTDD==6wbdtlNfUu zw!ZZdu}t8G`BHQQI8oC*{ez3knb-HH=OAp;q7EzK3jkE)m@#pBWc#C)qGZ;3MrmC-Dew%SnY-s-(aupL@ zjs<*SC(OVa}tGJw~A8ijLc^$7_hi|!r7!myt94nkb`GbC1sJjEsW35N2K~9;0#MWjmA=Qzko&d zgx5HYKb5M+pz{JOL^So(Zj!DA%E)xM$DswMx`cU}cp8K=-6&mvS~{AxxckaZT~_X^ z*~nKeE2i(s!um^5!3PV-oWA$avc2)>#ff}HT0J6(;MX8BT7b$I(C|*+8Bj52haB-D zO>*UitHLa%Xty?+nanZ#t-lf&H?A&p z&%EI;|Gw?K3gN7TODqw6c<4XuhHh*~@-~uOHN=U{VPNw(D8FnX*cTGGq(n*1OmJ+M z4TW7~hlt?IjM&JkIlv@C2m&H_3VtmClP4iiC9+X35_jO5BTxaeQ0E8_!EJuvoV zf3bP0?l)mCX=9Do4CGGHIDzwksvbbhfQ-t%H(XZisc*%KkEUm}6_gHVrZ>Rl=98n) zAtC$@I|DQlX*&_6oao>u?%2xkx1va$*|GXvO~&23PIikO`#J^47YIWeZ33j`tok;Sk_%t(YEUu4WOixybEh)jS_;@zwFv+ znmPOC0|q1|%q`_@ej$DoW~kT{-^j#gln)HM`8S26Rx^+821iBJ{aR#d1-Mxti8e=v z)9|LYWK=ePN9HZC#7i^QULASger7zpZBiEhXx}TDRfm8nWCr7$33!u7_Fv+%6I@uF z1}UfzLp4;j!!V=gs>8-#gy@frX@7Lkh>KAuAVx|C&Eo|NNL<1}RHXO1h=?_ssT#dkTq-J|K6ip@!7MqSg9Yjn6c58{)mDe_!jI zdyjVMbMJFbD~EeULZ3z8GBUWm+H^-Ouhpz8jL$sbp(|K~HrU|lTgP1Y9ITt;@%U@C z+$z%E*nTk6wi*21Va1f*_plefx(gqj=bacw=kL>9tgBP?ugg37^$73Si+c_U5nSNy z2j?5vAKl%U_{1<*Zf9?4$Jjh_QaO8#X zo)x73igkj9g;UYBLD`p@!xU9lB?oHjG#-!kRhvDFGUfuOZzF~o5Uyj3O4ULO!vBI* z$|nop8&Li&-XJ+{Gl4|4y?ecc+)(_9#u`RI0NLM<*$xt)W$-~ZyW-^XC+l+J9R27gx|v^C(~CIstZ zWNb?O{n?p{Rd8|a2G1&D;71Q4a2FP$RB=#M)gslF{J3;^&<97g;KmDPNzTL*+(Lx9 z^>!e5+4xkHvM9G#N+l1GD2<2A~pDDUNq$UP7PmAQ(L#abD~t@VNGmo zmxAh4n>J05`JyMLv!|L(OooWw4@Wch0AVIGE&J=Fa0Lr=Q?ypu1v4DcswEx48u+`?zOuBUV5NJZAcNd6 zRx?D3K_L8<)gSO`Nd@9`U!x24+jx)+DG>sFLU{+rMNs6sRnE@wkt-kx7T76aQ@y6b zIV{fjP!Lr@9ZwAr`b{pOvn3w<4P=FH)tb?T(^<;Js=2V6Iz7{goS)mr&V!%IuKZb33a36?uZ1i)3*;n zzx&m+m)<%hnu8a7C{F=LBaPC}?|*tpT=7$hcMFMf`CCcncZ<1QlTHM!^*^E+JP8^P zS|H`G5ip=i{Wy0tfrz@P`*4Z>orY;tb>^ra)ngkHm=w9 z*SEU#eAi#$9Jq^{$&VFTzFgamU8#jJXEKSCHTZ>m0YHPY00gSY>hb4dHq%qB#0A@Q z5ntYW(L0lh6wAk3*Zhb4`=*?}9lmQba_@Eg+)3x?f$l?Bk{{xfS|Sq2VJM~niHJVC z_pg1h7R~{-NEL#mieb=<`Kk5f8e-#2pm%o&N?tKz3pT??GidbO0oo5OMqH=B+DMiT z%m*P%`0fq|eOEHHT|<)#R5O2FNVzMRM*|zD&uND4JW0vDD*$37M(OL1IqrV<1#}X5 zQbgQ45&sGKp&!8WmP3WjKaGAHV6n~5cU-JHtmFJx5 zU6W)LAQ{k1hs-8u#J%;zsj6M|L z}$A%TS7G?=(@A`FuN;<^a_)Rok}K9MJH86iK6Lt-0sy2ow! zmyb6@f^w<)auD03m{-H!=*9CiV2#XRt7?q*+AN0t4~qX3mUPQ*z_m{ z{h{^qsuCNUMMcY|L1uk+W0|!Sitso&(NG2L@GA9h08m2vS@1g{RDuKU6`7|Fi`vvP*Cyxoz_+T99*kTz z?}^S;CF|GhM{G>i=5`s`BUUJ5jX%*B$nn3jgcTbh&06V*L9K(bs>-{V@JCI|r|0Z- zG!0KFa9^tgp&HEme2yb~sZ{*nscNw}Z;OB|6%cdO^k}(aw$=4;p_qD<^myA-+|~VI z&+b=0p{ztCH*HZ?f;=9nQCBM6Wxi!WoAV-Dt)Y3gN4&NC8S71Gl=Z;Mn3gCQBB_|x zP=5tqU@rfhIi2ZBO%HZ_+)cp0$&6rM3#w8$y%`8}sZeZP36zZ?c8yM5kGR|gh0ZIn zc9Ky(GktTfRo0*O&&n&ALV#+}Ia;c?zv`bA)RJ1PHP~u6P__KHip+j2v*lJBH9b_Q zcAMhbl+ht)5r09n>T88x;Fn~}6;PEzqSY;wmE?C*x0DQ{%Tv@pM&LRny#%_h4L}1~ zR|8Nmch28x{({|CJyZ;%?8}9{1lt?0O#nxHs;P35bQ0Il9#K!X3rZ+X06O8!|0>%XT?`U zpDJ~)hnhjd70y~@-wcCPfj*+ph640~p(y%KY`?V`1gsF2YAwhDL=#CbNvyCZ{}4i+ zF~Bf7@Pf$t{`W+B0dx|i8Sn#4UL9x_q8ahFbHcJ#K>4n601=dmloqz$AVAV_U)rU> z00piy-XuFvxEDCRzlUD5Ph(;;q#13JC&e^Eq0?p#{9m1W#{Hn+c-9lI_O;Re zt8u?}q!)-k07sXhyd?RiAWHO;x-a3TUo}U(+&XyPE>_$(jP+*n*!(6{wo0dns}BPB z=F$Z}*54GLxf7~>OCSPE9aQ$v1VmQUq;b6ZVbK(=;>+u1{V_TnR~@ zK%3B~(Y}7M_YE`4$Hh&*_Udt#6+ApqFkzMCVtTXexoi`ziquyJ@T4r+4~(`H*N{pb zA7?qoB(aN}5Fq23rR?5NvJg4?*8fzx0FvD6``y`KFSM6!ky2;}O09NC5I-qkft|R1 zg7o4jaR``PmSkc2%hNA_q1nsk9aGmyz>A}-9dQy#TXP>OXT@A{ia!xMS!*X$RcTvrMq;+IIizr!r1QWKIOuNo^Fq_wjX&R#eKml zcYLir?fA{PMzcO@FSQDQ%P8c^m1m}npKSPBgS~8pyS@XF>b1^s8a_WItJNJVoDD*S z+SonL+f`YMy_R4Xa45zmkVT*jTiu06%({wa9&c@4+!Q+?){40Ub@d{Mk*S6rpcAjX zzqP+`=*b8hw?jBz^$20N*G0mU67Fx(P;?FE(PZjx1y@-|Qzq5%$2O`w{ChvuJ0 zg3GDddO!yx&?j_HpW^{MlkP6tcv=j#R-SIXbpG^IUg`=T(eZkl zDXkv+@|t_g1l(&K6jYk#`um5`WAkGoe6-S1!KF$;<0p<{B0=x+N_~+T?xe-h(~YW? zZ|en*XX+ejo#URpNw2JC@!Qq|nn(J2|H!INuLKWN>sJaNSju-I9O*1W$>W)e(B*SQ z8p(v5k2=uEI@$P1gYDP9XfMCz#<~v%G;ep4-;*p9T&Yo@Nm;EkKF;!kYxx1Dq= zH2P)#E^0B|Pw<^81GHd$9SwD4y`?cp*qcmz!Z>cvG9jp>nk6Pc)HddoEWO^m*TOTj=?Sb zP5X0?=%ogouUoJUsn2@J0-AD?0?#hROU^6i=w}(=7<)31O0dBnq^lI51L-_8e@}y` zEwnM@0@**ReKh`Cq_fD%J5sH}Dszgce{gJ2Na9z&J-e@buP~3_3-EWg0{AyL__9u- zUCDz&gQLA6^R3P7%uq6dhVu_@6qxwd_*p1m;GNK+WpDq!j1Y*98TE(c`!mil5mjOZ z*-MvKNE5{+qd~(01NXasuD^?k2i+qPhaX&t%nW~Jha>7+8^qde%}&4U+~xb{ysldE z&63AWXWS!?A=dxau$K&1m|fb=#=*io!=?S8I2dYe#BVpZeGh@qHs1#q<0Y|@8TLft z-XFv5SGexksECuXy2i(pB|+vD`u_1R_>;*7ae1pqNMU7#y!7~l27TLyN65&Kurd#B zHU!NKm>SYdzCYl-ADM|Hq|DS^>TAc1xegB$4uLJU9|#<7pGUaL&&2YJBx`${mn#Wn zX)uvDyiZZjTH|!M`wKBII1Xo7{6 z@>kDbX4KA$Bc2AyLqC|;`*YJ<==)DCIUmsjiP&2UJefxXsQ%)gS#Vln!*wyFj$ywL ze|vqc0#7qjAq*^;xK{|`Cm2%jAA9g@VS(P!U#_kOMn$exehFkw52GFUh))0wLD>Xg`c}2%@gD@}cm8=_Mg^Hr2J0{2?m$4lR&r$+6j&e<;gBde zS(>}x4~R(UFlAw49$#hYR1d-LSh7u$5rO@3U3cb4(Du!ADHp4TH0@)oY={- zw42W4>}5j{M+!O@co%Ym7jTP@>GDQh;jD5=iGaVQk&xG?Ir;Sadm;ggAfLuHowHS2 zXe_SO+Ap>@^|zzxPn8cJHDPB2hoBy_vbV6-Y?`s9!9HBj;9vkfE7Ah|1soAb=ieKh z(|#OC@z)ah91a~G9lgrmTtFG6l0E|DyeuN8p@RWv00ZRh=1rGC2tkF$WvKiyro~!g z!vcp3e3&K-S!v|8vkMmCUlhw7s8Nz3XJGo5GZlr zLZ_@1Ldi-1Ril9c;VN zGaBkj2Y?xo`3{a&Xl~H5Edr*sA z_`I~F?)n1kD=pUHui<*xFJ`cUD~&i7G-<9W6YL<3mXuU_r9--Y9%^tP}r>!6y7(fzN$KV?zJ- zl8O`%uqd>Q`T5HVG`1_pJL41Y9p)hP$G;lfj^A7G;S{<+UKhdpE#PDxT_m@g;OznQ zZz+8k-~YSli~l3QY&Tto|LvNmFTQSBfYR~?!5Z^@GI zM%iqCBPk-=%!V$REFT7ao=IEbmq(COk)wlju3FusHiGf(tlzwb&065xL@Kp_XfljU z5K%EX77SI;R?y&|IH5!HtWedG^-y)ZB z7)b{3g_II7KTcI*?*xo~J`}hbLTCo?vKTbQI6FPzAQJBycbjSN1S5@ff7EU$ZFM2 z{FTqD0^d~JaCD)jJ=0gB#R`0bIx!n4mo4Uzozu4Sp!suP!0!Z}n4*~auKw4%tAH-z z?Mdh{^}4`Pq)(5KUGcNe9vO~Fd{f=yR{}1*T%f;JJ0Hzm5JU;%vt{H?ri#I_WiCjp z9FbP~fuk`tr5(dRMX|^N9`Q_`3u#WchjsjM6!`je(p_$p#aDZj8(l|fYPUYkwJ|Bl zCR66hz<53RA4*ofL1MniwJ#?{YRgerK~^&rAW6W|-k1dF6KncvZ2=y@!cJikrmzVz z*!?2dy+SRS&#vMAn>uuODCzzH{@YoSVT%JiZz>wMKq@;_Qb1ug zq>XM^I&!-b9QL5b{TEvDBjS_0BH(f|8H|&zfKP3M&JYe-1TsP%$VgE0tb=&1M6?L& z{I>Y?>rymh>$OgHRL!L^zgaqvn!6JmEREN8OId2t0Q5c;n^BXDa68yG2Y~(0=NZx- zsvRfe@Q-+|El#>nS^z!elc1EKLNKDtOAR29{wuj=U`(wi zk7@>o1PxRvU@K^l=M7mN>I7Y6N{}5?R8plKhhawVkJ?Va4-xKy3*CN*gzlC0yJoy+kSqeR|GR+7yPSxc!^zr@CDqLr-d33^6G}jAX@I9fa4K*k><2eZ~8so5C zvDY8WthQilOjBT_2M)hSQSK+%ul!{$ED>h(RxaLt+ zq}a7MJK6~$(*=KrLIQRf`auxPvBnJg%2I`2^hh@vYOWp7nJq+gKnfB-(*L^$xbrg( zKS<<8LOZB4Xn`qWv?9|VQ(IQ54B$@FgdUc6$7eX3D%G3 zc+&X3z@fd=p*@CsR<$?I5hz3g#6q{gtqwpZI>i>WpLkxZ#d&~Nnh?^#B?=NDJt4xq zkY;5gn-TMKZKm zP@;r2rL%+)hT4Bh3HT-R^+&I@Fj|s@cnwDwZnVgy> zkII~SqH~S#q|xmZWJX0ZP+-$xpgY;;dmxO5w~Jo8SiXs-==0iCnIevSBaW^kkHAG8 z1N}9kfo4C@rgV#6hZ0Eje0~->y)TPiFW+7-l%A-M+1*5kkE8FK%g_z!$`=L^5+1|8Q0F3+VqtZG7DYOz(^=Czg;L?g50V_&P!WnP z>1U5TH}=i*;Js`oTbPIz$y?eF_JxUFmY15-E&73n7giO4k|AF)!6QWq@_Fk=zt5sh zE+3f1T^=(z;&283o_IJw`>!(3$l z=G!I43#gRTm%}b97BI~b1`HJo1ASXtiF7%M0YmL|>!p7Vu zf{MR}v(fuvKuAB@?886KVRmB%>6?G$2S|bqoV3JstGymHlp$vDZH zW%V$*f%1cuGpxA)^CR8e41nhD($f(@8{dEC-@ov!jJjYXo@`E!2)Ep6A13Y11o@2H zQj~g{T^4g|s)}=~J>`tF0D#Ua%5(Vz6z8QDGc>k-Q0yW`>O*E}HVmD3nZ68?PH}X}fTQ09UWla|_pTclu%M~i0V&KjZ4$FPD%cOZ}ZB_3| zKG4aR7{4K0fdMq<@`b)p(mA}7R;l9YmcC(iAF|3qWRmzW3Ufi-g z?!(kXJPq(@@2G@$5>CmP4ePE0ct9+`xL<(y&;4YSOi5y_L-rbr_CA{ym%;x2$N4;U>;0?_OX5TyV* zWC0juN^%wsJdi=zHZBi`4i>0?SC8^i$G5pd;Ofh@?R{JFnPk}XeIk&l36w%Y9fAqe z5BbaYmb~u6mjObaW}UY+{e#>|+rSY>N&Rei(|da1%R0c$&93FHXCAbp+4(u1DM#WG z4OzBKuuhVr)9PN_$(C$$Vz6Yu%<+DBDwJJ1yySt&T%!TQ_AKp}r|4p}<5Ii6epNX& zpFutKsL{`0v!)Kd0#Ec)9qFYt(SH_A>Z)SPrkoa>mS$VNO5uqy-QMCu5JQ$;^HYa+ zt`t-I0q2JknnzB0SN>w57eXT#*CLs{yCh7c(+Cz3ED3ZR^EqR}@4tC-hn-$!GoL6v z5~q84oWj3j#ImP8Wp8Xje8j{@cEH_un27GzC|#pMGR^r3qJUVWd;yU462#0Z=J+Fq zr@w**nCP$|*=_hXmXbu8m92O3vk$>XfbLt;qqOi%(PKx(DS%ONhydt%`;E zAU>%j1a?an3MkY$8XDyfgYgQ4X)d5=ndQ8 z6bl`#A~r>R`38am-TD4c(i#7DYIicWRwMXF{@2#VNlxF|m|jfqpAWT)xwWyQoUyBt zt+l?5f-4o*}B#g~X&7A%zVx?DcxBI#N z&%ytk%)#8w$<~2h(n;US+)&WQ)XJEEfsYT?*v9A|lQic4>7vfe$oU_{KmINEp`9>g z6UcxNbj=eSdrH_(M#zFBBqc#)e-bP84lt;rRAfLS4A<%1)zO&j0pJ~JI>|srSD|d& zLFw}tSgns_Z5kbF-|7jw2h}nyMuk{1KhN}6`#CytZ>Q?mKA^k)GVWOM18r$|Y{tNu zVE`4c;AIH=Cc7(qQCuUmt(*H9ly^mP^bbXlKikFqLhEr!Xxi`d@t{MSjOD&Na<^RE zuppBm#Rok-r)aS46>O2Vq~KBdR3aeDuPV~W!?m-SVem32CCYhOL=M8~=27guY@sct zL^5MOqU$i^@GL}I`O(-)PU7oy<^y2I2rnZt@3Af1yKv^Xqsa{3yI@W)m1+{x;b*Gp zT+&f#pUE-~U#S^R--!1LQeVkK8d^PBw;29MXzyn}75PxvY{T{J;O*}|SU7DbZ{V`k zERFvJqH(s|6TsiaX<0@Z2xl%GY2ODI}_7? zeVN$VwdsZQ9gY7%)Bhi&%^m*NJu%R$*s9u?8`>Hf6Z{vzl>RRy{{_AOY1GHc&io&s z_kVO}7#Z1E{*A*+Wob)fMU<~26Q(kFP31jHWwK(64#~s?lpwmGfY}UiYlZ?8XQp%> zJv@CAB3PmIR)^E!;JtEgK@mH}4d>mG2XrUGFA#MiI*ZSQD9QK_5c$#U>-Vd+<1EhO znCoVktRXld1!rl?VG_v3XFrxQ{>Md!RKc}lqUA{!=hyycs#o+oacAKQFN?8xzcfPK zN@X`6FMgqJK|bY{k11gs$^-5L!;(Tv#!2J|^xr7b>sE4ma;ZHB1#20`MxP(Ncd?VV zZKrNNFk0j?)-KN-!FlR<)5ui3U)$pdCjj{|N{1+`pTTB49<+GTu0kAJXfLqEZ|Ab) zzl~eeih*xQ9#HqKHXowT(=#KXPbX68hKV8`W_7gIo2snnJk_~Ik8$3u2~F7>+#fn< zw7s=g*HB~0Q+#$de$n6hkhZ(F$LWA(F`u7e&xlHmte5`&%1({*kKyR2vj4Vl;sqfK zzyR_!_3^Yb!NCW!F9BmD-P$VyfOgSm>m@w92TTdK1?8CJZp6_G_VCY1@Cvblga@Lj zD^UkTn|!DH3Gw_1fd|GJM)iWO75Y4`=@dQkPNeI$+=W9C>YBaeKpViBb$w7;r|SJ;Sznp%f#w7EekCSRAM*xjY^aB+a? z$=v@1;I$d2|DUq(U!Gjb|AWrO|C`R`?Tl@d-K`C5t^Q5n{~-B)Q#i~2rErG-ZVvvN z!Wr2)e<+-h>4%~@mKO#7<@You&GRaJ)$aqh%D){~S8xvOiWlr1K7A|mlpjd1IWAyt)CX<1sdD&ju)uL(l_djCo>qLoy}RIVR6mAf?jYy@m! zl1^muEUV?mgYfMkA8J%K_6GEcw08eb75gux{{LTk{Rag9qSyb!uKzG3Gb`)A*zi9f z;y*LsKQ;6p8Ia|F>Nzv9{NUt2)ZYK$z@KtfrhnJvLZ^Ec$`B_1Z`Dml-A!Iz@fq)# ztI3OLJmwDQ2Jrz0;#vZV^Lhtr0uZ$uK7iL8L)1X=_gCBihr|%()tt7guhMKL*87NaQ^FFDU$2q$+}F81M>@iz zb78X^vjMLS$B){q0|B$a4Lj^-XaWPg-mzu*-H2!Lpweo;J%l_aAs$Whz9NUDkEdg3 zKlX%zQgQ{)rA>6(t-^L?z|Qamd2bq4rH|I(yAMO&AX~j2>We4TWkl(ent(F$(6No+5ago?t z*Tped@X-|P9PA18OvKDJ?e^}Y{)djnzoH~xip`!C%C4wv7Sc&PYpveLuoBavByknYU8hP6D+n4;8L?3t$FRFGFov3=A)~rV(W>@G}7~dew-^4N_ta}iL zLNX_~4B)~xz_$kq4_Mq`&8$A*x~Q6w*u+SU5?lO9X|z>6kP(VPU+Q-7;RnJ8l(kT} z0{&iGA6Ub2JxKCf79L>T;F^J+-kw4D-I3eG7h4h0EmI5=)_11&mX8il@7=5ZLOWgd zyF36Y@SmZa%zinxdGtH4NF2dhH(Eb#-!LZ8-mhNP`cC@ByT$#U6j6J2Hpp0jGdzIV zuwC6S+L2Frsk>|JvzaH%5AqnKVCQuJhKRlTJfOMZ^Z`0N{yqKPgK!U)1d(HE4q=i^ zwzZ}W@=w+?>vvlBx`)ugQ^8mL*HAUo4^@HhjXh5uhe0fPIAk zvC9fy-mEY8n(_JJ0gqb&U%8`y;&Ru)tMAsm(D2~S^h4h$b;7vrQr{#ql*jH}y21OP z`-XkX{VE`1!zd+5m#!wn9{qW(*C`#t6vmmDKJCP)&VZeEIVpJLm}01Gh05)pJ)|?N zQ!PX#X1(0)({FDext-PB@$QpaGZ{Oo8>gGeCyGK<@*1b!5Dj#x^JA5VphHdng_Er%fDJ1w1^AV~XYt`+!cV zFKcQTgIE*r9yZ=_^w9{-1A{-%hrrNpp3Y>8Aahja2=9rl8M7x;t)CZ>arb(bfdz&g z_;O23TOc3mi1JDHEs~1XQK%^>4a#z*=MTg^+Grn{0&365@s7@h*ad?o=N&Xk2v?{l zAwD$oO>FOL$Ia>=f8PELk>Pmwd~3!vKV3i5jX<(Bv{Ji# zA3Pq}8xdzWUPo=nO1hYRHEP?LJa)M#?!t*bfJGSf#y6@xkAcW;T1?h}9Hf3?Wt)zpK~)v{|RIX+^_hA_H0#YAQT%Aqd;h z4m`_pw&2(f+>kU6RGLFMkba!)Qu3Qe8IJ?m(f`MjF&-)k2E2V60}<|F$B|4v^lpl{ z|I+_TrB+PEfDNu`f)3JqHzImG^89)KYvJ3y{BMD9;wQWqMh?Xcnyt zFanIinY(|YSx=l<4Qi0l;RG$9k?cgJg__5}s09U2&~tW5M0`4Dd6UikZ4~iJueOV5 zF!gC9$E z)27+DabFc(YG;KVNShegl3X?(oJ&jVz-5EDTXUG%Wzs~mq=Nw0qlBmh^awh*OXR8& z6^3je^gVbCCF!A)CKkW3o)P1vq8kl^h^w9rfCm#V%*=v7;w!A#V2f&oQ zt*8Un>Xxj@U*ef({5sh}akWQ$%vLWo3=a1WAPTF^wUyqU;07&mI*hgG%kRURkGKHrL|SwU{%L{K)OyBbPT+@rU|j>vr;p8{bw%M=RcWi zyM$;v`Mp&ju-iz(>@r(K``FVwrf+m`dONVnrM94nCC*DXPqc^}Y~JbsM%8mtV4CK% z0+wbxU~=0~XvDk+TcpH|Qk>&duu|SO@M2P#%{{P%ZM;P*!<5!HWdM=EYe+ZXZ>oV} z){2%Mwf5YN<-aub;&iG4`sG3h>9RwbF9#*&l|&=+{qSvZQhb z77y_gUp$BQ6Ut+#F3eR-s;U+;sj4=mD~OU!U?<@-l|Gs@2;%Q;vT3$xX8DlYb6q24 z%VlCXL@udBHOJD?=OWV5$BGJx{= zIMbw-PpPUDDGkOUyA@687odCIAZoO*L=Cu#6VKOo+>)ULqs`Y(mcu|Al`}Ok$&`fh z5O|(fK|pfk>6T~}6KB!oRRr{tX}8E#C7wO)yaTXu-FBW+EOK>FY+|*EX4cw*aXvVZetIVa)8@)I<*5ssgp-_gM%R%2-XC z5>U*{;B!QpJ8^h^R&Xlq=96%=a^Twb(QwcE^7S)UlV42B@s;~_=(S0%?@TW1QpA_C&d;)i)SFr4$QA6m>3V9N9X%IWVxya z_PgOx`@LfdAMQKz(d_7cm%MU>c^e)bMy*MEu@d{LW$mKbcQN1aF_tPXYZi42s4>^)1zMS? zjjm?QL~(o-mKg3lIP7t?!_&@!v*ZF0zRasjzkSxl;ag0==;=?=Ek{yRxCqUSz)L>g z^&{-4k2kdTa2SO#6t$N>GPULVv?APyR4Ix#OvO4}5&Hbb_Y=W@Q}v9TkHwijD#_mx z<9F$Bh=%>*;ztU-^?}I}J{Do@gR&)8uMyktcts+%o(ae7I!^D`jVrt%#R8OBq*;1K z>E1xAXZOH*XaE5A6fQV28(GD9Q;%x4h-@juA#0VWof z_rP)>nIqx6F$Z@6SpwoKj6#bT+NH;t`(s@&Aoq>(1wACn%9}QYCLe70w3g9sMTQqS zh_Y0vUrlp|c1>^=LTPD}lZLJ8{HaWAGUIihtgdEZP1XnbLB`qdO6zSme?a-FZo{!Z z8$zC5g#O~(HDjELwGS(tusX~XJ9Gx4%Xt(aeiSOwF!3BTL%K@!sY;s~o0cXA&IFBx zUITIxsfG&IV7{Xc2|6q2p1nl@WnqZ|M}gVNh05$ zNC?|)f5rU4ym9=J+K&ESi&oZJvBc^lmf5XOer9am<>l`HplA1%fc#$$m0h zE4ZbXbvAA-Kr#V8;-;7JDyu{+Y-?&kGdn9OV1OF z+VOXt$7W*ewv707`N!hLB7=v$N~E{LqlA6B1Rqp4?kAHQ%bW0xn~1mIYeGcZXZSK#%aRSow2q0K9x>gUR<4`}fx~`*vq;r$-A>imNPc4p+;h zeiPR%rXlix+(p0J2wc?NzVGWBHnz{}Fm|tV54BgnK{6Dpp3nLo*iL^xyMwi?YzjUW zCN?Jek7+kTnL1dFZEN;sTvy?4{>1=nM`Z`@d)508I}X0)_rc5Do2@wE)cm=NpFiT* z4R0|21Rh0_C2fci=1fr_Un+80KOV^|ka1noLLhfDD3DM&_;x4ll8R-BSLkdJ9Q)#< zUSeenDutps zbg6n52!4?|gg-^JQX2AP*x{O@YN%5|##XAUMmS@`Ml|(6D@Bq&)9DAm>qDli!4_tN zrHP;pQi#HEM8`zPrTB~Mq3l^i5mP8-saXxR?O$7XBl&9@IXL7xkHgn>GP)X&2cua^ zEC)8#bG)@8_F+5kFD9?;?J+#l zXoT>bEWb?c?F?7}-dDh1Fj=HDJ)ptSiVzuVK29HE}ce8d3elq+3 z9-!)8>o^KjW}vK4xg_Zy6*6_?Gs2qd${3dP;&R>V;j=vn1vC(In1NX#W6&_DoO;}3 zOsIiuVXC$?Wd{kDF|zOksY`p$O}>`xsq+20`d{ueh=z+`gtEK9azuMY29kG%mJe5*4=VdEfBps z3xi9;H;<7ug?NMUjWD6?{|*T69TCLS#Bog0>Sqj!3h?P?Fc4*pyZ}6_oDkN{C!jwd zea6!pgQL(C49M5qwIJ}0uydOQB=UbzgwQqHXY3Uf+*3_ZCW19~4^)pOS%Cnd1sV`7 zEJ0`--7nr>JPR8JZQ zwy7gEv$-;3vLJC9|`5>f^PLd~56@NQ2}3ffyGMtit~X8*Vl zN?ZP=6c|ri!iwgy9^G@Ka()&GUB7e+^13CcQqO~kiGmU#>Jy-dxkO_`n9Oh8+MRs$ z=8IHI-KVi&9K{e=Cl1XPBGBd>0dE9n!63NUZcdJ-J0(byRSCog@12)c<*Mq{MXdOe zc>j2yp%#MCh&4v&;^^(5C^u7Ii9@ce)I@En@5G0+_zPbTmpUn8DH;F&0a-w%zdZ{x zaAL)OS4Kt z=4$3?=ee1C+z+YA75;5_J7GNjMSg-XONdV^DCFTRHouM7MoBiCQwj;?X@zE~8C9uN zx++v&1jlA%#^zBad|Vb%u0v5SN}@A@(P$_bDr=~rji&6V>TI^AI#iQuP~+YB2nyi2 zveg@8HRWYxg23x_`he@Oge6K>ZYT-{s@GR-sv=d=5Fo2!R!|5_GsW>h3EI+k9IT4} zOuf$kQak-nKa|9U=eJQxQwTYj*rMB`(rUD7Em3<^F2D;~FNFy>nNSGs?za*BB3%0C zEpD-Bj&y-R0^iO~Y^zf=o!!U9;rO z%e!XMdR{SBW-7;+IV;;ol>63=?fa@^`TW#KwK=bG!U1{*QmmPcCwN>B#`h&?mbZ$fuBT({N=1XKK!Nw* zo34JRacGgwwL&4n^`MpDd!CKStI~n2E;hk zu(85lwuQA3so&o1!~^U^#fpOLD4+F@-e4n_CClhAzI5Bi7yjY0%l@$ZqdS!PviEPl zJakm^(4kGup?aHzHu(YqZW9g)M}%Vniv&)P1x6TU76gkqWQ+QXq9w$I zNNAKV6!QB*(YmT)V=Y%pYDcAOYpc?sx=}K1oPW3#w~lIQZIxR>6=}6ER#{Qz^{BBX zQ7`AvD0L#pxPm$zqp7c}i$-mFlR4n9%l>SYeU+WqPsF^wKs-k4u~jh=JJF2NftF?& z&^v10+kBvzX_iJOo+$D2yvT-2m7f?mdT4V2ki(&}+pCr_2wa%`e5?N~MO;tqJ z>7uJ7y8>n9PDyWMRfcFe8~0DV9i4{+$$mr^&ryT{EcR zG(q_J1&fEx2{tSr+EF)Jk$3k@tgSq6*eInqsk$Q7)T%UpLX*)Yi8yWf$Wfz4=FT{$ zZwF;-lbmFE7hF)i<7`xaq=pzGHUlUDxmv6VebEC^qlJUC^J^=2AjX4aD02xXbRxn zV9rz*{I7y0E>g4{g?9*SoS#%_gM<=JjDP&1E8kk4?|b&+n_gE`+R~H$ zQs%z5H{NyV&|P;PV&>e{F=NGn3wPuTPv_N?WB|V%Y))~FF1+=?!S%NuJOC1ELf-+E zD<4KCWZJIjzy>y*M(JMAGQq))TK1D49-zO^cKRi$?*RQN`i1K^gZ3B?v`?U8JA!(H zrN!1$_)bni;T79Q(LctI_>Tnt7X2cwiP+-y5rGNO3Gr!x&gePuMV3X=njBB z%dKL&^(@;3(evWpxm0SG#MxZsT)7Z+t>y0F?r`4jddT(=oEwe_yhRe-7%^J2F>GVip5HivVS_oRZQta_>;2FBiQknHgE85nYuOaTmY6@bKE}kP=ysTC8v!fS;Ai9(CvpnTP|_51WO0_CWRe{}SpO9==&a+d<3bDY0{&9# zrJ)N!P!m`rKjWa9Xco)_F9-zp#W-4*h|+;WG) z$!fX=R9U5Xrya7Prn0iSCX}qP>Wb!pN_AJ!IM89_5@{3fsB&OO8kj@0hFsQ~M1;03 ziO197csvpgCBh+VO-&#i5E7-@YfL5K9AoZBttTrMuBCpo=N z9myrCa{w{T$k*m0%X~+C$Ea^S)26~m#i;_SWvU~pV=A>us!i<1!G2VHw6lx*tg{Og z%Y>3n;pl=5{I`_ox<*^6th}tLbSD2V3oxChFUb7F;r=Rj`q>&S*QllTTUTeWvhNjnB0;h^f4SsynQZpYll z*>notnMAhG+-Wu0H9x%6F9|3&?E&jV1k2BfI_1n9+j85rHpZeePUpCgj%ZcWG1z^A z({?-0hnz^K1q(L7bKE3uFUN3_G}vxc956p^ul}U1dh4l{>NnIK}M9>7BXciq*6IctMY%}?I-$@^A zr=kt0i^O0TId_Xl6P}7utHsx15Fyd(A<@u;r@juaU}({Z1_2I2Vi$Qwvq%#wT^NBg z_Q?Vi^m-!)S3*J8Rn;d%^N}<=}$PaF8a=YB*LH!Q>i~6_qNA;?U z&DWc6H$QBC+3>DG?a*S)Zt^5z@rANY%d#462y>#&#?zQ>Q6(A6d+-A?@5@CZnjFSR zZ462V@dmaBKProNfgVR2DVR8}EJ`Rdy|1?@ zzNsO3n#u-4&+KyR4F;FakMwS1(H{Z!9EG5rxSwTt@$`j?L9U3B1^{sWsxti=F6x^0 za=mEcoTk7(yEZ(jxFGJKvY(lwOvXQqol_eyQSV`J!rF^Snl^qieu3Wldf-_+Krpzq@U89GzH}b){wXWR#vUscd4TtSlM{ zB@Jd#2`*Dkg^{{8pRl$mqrm(%9EtbpIcuIZce z8AUh>prfBDS+?tev(EylQ-|P~a=ekY2-L+Y> zNqo@tWO|3@8S@r#yK9$kzxjBzO^+pdG-wYt*IcXjsEJ_=Ym1uML6#3!)U4T9#r`ia>M9aghysXnpiqyYr> zPv2*X0aOHL%9x6{*@@j)mL2OusgCveADlmS13Q=>x-3EaFw~qOn>^|osS)P zZ|Dt^R;`+|C7|ORdh^_S+wR|51n{|^kA3?5iI-fsWY65o?!Ig3jzQXVU#7o%s{YQ=lE)s7;QS8xtH+Im}kQU{|YEoWpLl zHCt5^bUN!Ma|9s{m__pZem7RZgp`V-I-&14#SurTa#UQXxag4wg_se90f|CvqTnd4 zK?80kosEyhjb=g8{OsIwf2NTHvoZQx8Go}J6FensaPoTN@AEwmK9uiy&8X)kLkN${ zBaAEZC&8(h}03C#Eh72r233jsbKlb+P<@rMXiI7o(-oA#%JopeE^Lyy6(-6w<%+pA^ zd>?)YdRvV)?s!LdCqy(og+D*-%i2SpP{v1B?nEerLy<~e;24W4)giY;Fj$k$9Vnpf zkO34r!xPpT)d!uJj>Wc ztDRxT*W(4}UgZ=7qn=TXtIuz2nE;j#ZYU%e1UA13?6m1J|GfF2`F-w)`LEnD^S?Na z!`g!%+g4@4mLBYqb=s}S@(=C>JE%*$Y%*lbZ&~cv{(*$lOLk!&3I#5B zox$*rLaAy`Zz0{k$UkzHVp`{Dx(;Wexbh~Yp%H+*e;VKf1sZ^5GP>x_DcRdtPaa`j zVBbPo#G_eT%&H!8y{yN2oerq1e^0lYJctbBIoTNC_won$!~9pg3I@uK2zhRs7QY8( z^n*L9v`e)_`wY1Y3FvFwh7=Qxa^82Hy~;2t!F3cO1eqd+K3RZ!jZz0BDWJyZD@CU( zpkm+3yJCKS41ZS4p)c=In+YUI2JM%lvawCIN_DMqb@e9WR^#^Mi^)Sty+aF_=2ePm zGL@(r1E^(pA{43wgwq4IlZ&F9NLdI)JIjM!goJ=pS>aRz+zIvIn`C_*O5uR(fa1Qs zT{fj{vTd2|ppCUj*^74J*9YWFr($}3rby35x^C8YRFR;k0;0~r$cS2?iKN?;@TB}G z=`JrWkgQ()W5iT@s>L}Fv!s~pw$iyIjTJ=Lr#OW6@1*I@$A59jnp&Gv)LQRc@Uu(s zjf$7Z)HnK6C*I0M_u92~L2xjR#Uoc1c|e8s6g~%h_yfgUD2eJ(D;_S7e^tWj5WYZL z+{TbhluY+*Kb{_;Lutd@%}yo2g8L32Rxb$cVgZJ)ua|ydPIOYU z1X4wKJBo|lQ!84rqcPK5hKANPlwr9FO2aFm#A$^xWY!zYXrM(IvJ)sZ)#l3Zka|d3 zs)v=K)(S{?jin5m43Jn=wlaX5kPINFfz@Q7QgIa`3WkiV8}1qEv3ty(#$mbwDEz{g zNQRt)uUI&GYP^kj(#9E#xl|{k5TgBxh53nd$!B*iTy)1Bix%C{xP0>D<@AfsIPslX zW8gI^fzg|_dMNnsT(s~`IO)!&{*##R7jN9Sc=4TgE%kq{wR_=a&nZ<=u zxv@=g*IAiidN`pKzB%}fDexN|NK004^*2p!X3ez0`X+p;rk; zQC!C;4e|g?KRA@8EysKLQqZNsqm84ddds8H@iFgIzl?%ykem@2E924SkoeB@$apFW zOb)@JP}`(&u?aGcgwL2Trfigq$`k!zmZjumxFs%H)|4T)kM@lhM?P0Lf`Y(`UikV- z@plRjqLaQ$dvT%R)YwQRG?Fb!8BOs(HXA!7LQ)BwEQTS)l|N`DIqF#&F})Exc^W9m z*;#eNl%-u8hE?NSL))aSkI>_HFVxtJv63boQQv>V&A(}s6W6~u{)`9NCl^tBeUaK< zCOKWct9&k-l#bQ?{LC{`p`0sx>9h-<;QvX__^1Ayl-1($J?L|rbpGtp>)Ufv-MkcQ zY+SMUF{-_+g-=+AN`<1R7PrY28ZDd9BvIvKk=+q>EF?xU;S?lhCnP4buO?#*K~iI{ zO~q3->W;Q_RDUY`EBued@k$l2O*L4g+vrt2g)g?o?V;U;FHlY4bGZVpQj0sP_f@}L z&7P|@MNrIaj2p^y39V5BB~uIhKKQL?WrVF3Rv<``F zm~r(D=L~T6Q@Z z-V8u3eqiR`a*=jd{1*{hKfF&X!7Tb3i43gw2 z*}lqF3}t#!d<)X)6rU0t6Y1ioywcsL%hcXy;+73*?1JZZ! z!*uvDq{q)7Be?_dWHZv@XSS+$!INwTo`v6(1T<;2vj>N-O9FVpPQ~as+S?2NNILH9 zl{iSKJKap(=~}RLX@P109+%V^#wDwTxyf$erO=7*7+px>4R$gb{~Ms9WX-qb+pJJf z^a9GCC}G5RWNRXXFAx1b^hJnyEQCF&Xhp`^Q#d4>q2LWc;-`s&dUYYU(di1godU@q z6nyjoRuBcEKuU|sH^Dvu1vG#vDR5FyWwJx2_)_*3QDq5Ax(2|d#pMT3`3%_!B4rQW zUovKkc(R!N7*s;8geCR^%gzBaqOo$4+U;www4Ek8PJ&| zsVy_Qwbt!$xHH2qJyVj5`hJ9&YQ_s1#<7gfBnZyh5%cmJ6@+OO6@;1J2nf?E76R(Ew$0k+xnI2B{gn8W>nXQpG#V3&jvXe~rz+|?#>&?0 z*qzn6YJ$gyBjdC>!RxVhjH3(Kp{$ZcikVl*b~>`-b~;RsqQlQEH>oz^5u4=lO%;Jn z5!^8zb&Qca>RUP*n(H%TGUGD>u%fPmZx-al9T`CmWd+$j6bhE?OaTi$1Z4Sy%8qPB zM_sgIY@}mcwz)1dHq$l^kIPuy9pZZDCMWT9w2AA*O(G*UTd8~B06)c9*IPGP8S69T zYjg%+k;#;N$2*f>b}AbqC?Nil3I^rxxI(5cmE!T^C;FR@7XR8&I?eUbGr-<&q!5OF zl(xYQitWSnixi4#Xpo_`zf^DSH|S3mKo0{8XqZ8z5(o%0wM;JA`|wUi?k4)cCA&mJ0{BDQ@Y1JZpW99L;}E8Z5N(LTi`{f!@i~*5F{KCJY)Y02%<}noO%8 z2!UWm3;fa(kfl?iP-EPTd%*jKQGYXjCCPw%fh1HvZv{?-D$G3@PH2-d!5T^Mjbkz#-KW}w6HkVd$ zO1=fUfE4EH;Ce0v7jrUP$?4%T&Ii|UK9jwL3k_VQTxNk!acb`1ohD1Bwx{s+Hv z_~Je>nPUT=3a^Lk!rZXMpgr6rb%nPn}ZT0 ztu&$+(E z*y4k0?5FJ{J7o#A4jM{U%TF%4l1+GZOaw`v|AtPdb@^TSJ&L;||CP^WvBvP~{9_R? zJpG?i@5wyu#@=~!$?{WrG=g8s-=dhd%9gVs`B#b<+ho_^E<8r79HFZEE6f+ww$ZMo z(KCg^?Af5_Jg5vuwwSESA`h1qInFAEOdh+ke^N@@B*|_Id3+iM8v-$7r=bVW-4P4w z0zpiJ?-Gm$Ax5J!c!L)8VKq^^65*-H7_f;n^uZ!76%T_%l*$K(z7(DPNBe_cT3Q-` zZ=JxC(oyHpqKWy_0Whb;yvS1*>2Q^yDl)q4tg?A!kA@zKJdJl5p7HIB?^Es99%4Vz z9#wszqSl?NAnyORNX_$x4Q!O@JM6S?Z;k(R#qi?tW+0c%t9T?!) zRs&ZCM)ww{L0O_=$c*jusHan7Wj4OogVp(e?)YfKpiPC$uRgf#wh!o+ z{Y&55FXz8~abNz}%MT6OM)>OefBMt?G=h)Nw4W%Z@AWcK^q0Tb_u zab6(~r?_2azp)JSZc7}S0+upd3{fivsTH@?;eI4X_w^Km$p*C)=fJ5ZF#KL2 zdux75Q!>@ql&EL~i>_#`qp!hE_Q-m?Rcm11H%R7_#<>!KRb3VRDMS40K{ogH{MAf@ z5|8Ue_sdC+ZV(|H(_v}%EV3-}tgx&?tMDqain-llo~XS=d%t$GXSYY?(Yk4DmZxxh ztIDA5!B6aD*^r?arYoBbYS&aLAXv@z4L$_ZYNpH+!Z1F+(G>7{CjoUO-)_7E{{i(c zigL;lXj)lZpbI@frSJL%9DIoGgXrv1;&lgNAE#9mObh(JvBlhEo(B&Jcfklscy`M*o_y zX@<^Z((6K8ai!95T7Y^un=S^ZH{d|IC&-qUmGL~+fLINu*Xjb&VJCLd&~$?mn!dN{ zKozN?n+}GYzUiPCpRNP|D?6+C|1I$D|67DQ=W?qw>Zn^K`LRpmDLP+^+Yy9;P-1oA z_>O?lFBaubJMN_Oh%W{0{U~1Vw1~_f#qmvP+t4xR#u?{Q?UDbAs+e=Hy=ca;^y0y> z>57a3$@Ih7qetE{sqddBW79h>uLxYy_vLB9F@y2m^>S#h`VYo$jLa!GZ!rGr;1$dV z*l(BtFdxNx6!(=dAHo3mjA&O{jnx48+O7aX1qVaEzN7yGtg zpZI49^ySqG=)>K(y9oM}2ngmql?o^kD3b4Kw;`!wMTB~A#}2(wYznC;c<(DZKis>J z9Uxu`$UDYzx%v9|^<~$WKV0^3`5xo;1OOntJ!@=8u;t-|FDb@-WnrUepz$-NFN9wE zzlAcMkASUYs~@)h}yeyyPL!eoY3osTT&j2*f%gGA@m`?3iNI-R8Z8D6Mm%{}Km{FwFW(>6%dZ-IDM7AP0#2h zVg93oqt$3|&}m_6)`3~uzxFb<7+!m%xFwLi?caMT5>kE9KkEj1&@2Z|?*V5JbovNx zQ9LKbd!OjP_vv?5^~(pib4gGz`%C)|kI?)n)iA1u|7~}a?o7Z4ggaN@jC`%=;li@# zTi5pyRjahdx=LjHZhz#A2$P7+GR?CtiM;Okhj83|ETT;%0D+b1MYC263aLcgqF1p| zR8bL$M#N||5(!75;fTjAdOU8o%k6PRtb%B@3OcPeQd~Q&h(^L56)JOC1zx8zYa>X| zRj?@9!!WYIYlIFkf;5o{?tt(t-Qv`P@0KlE**!rBXy9D-UuAT2d6R6IRJOE?lu4DZ zP_rj;-M|8UFKAJh#PzxWC3W`Fk`qyzwAa~kv}MRZFfRSFYf55=zB@zvqXG*Bq?`*l z;H0w@cNXP$apLf$br!Wo)xX3a?~ik+kCq&q4ty4+H|DK+&dIy-Up>l6yxsQbqc)o$ z@sH;JF7Zx_!OGl%{mP3eK5nObL+#r3&mAT~^8WR&UP&-HK0ilmv+$Cda_)N6$~J+t z_M;BDTI%ro5z>l}a2@O;T1$scr}cbm!Kj?zQ-FBaaaV3Fct@CxM-SI{!?=VvHw4=V0}-zZby z+)~6S{+QfC{sx?Lhl5Sn|VC(*C65(ao;wEANWko4T{|(b(guhb#F9!}y-^&4JD3OmXwRdGO}_;_f_y?bXu8 zyy8Z@DU?h5UsQu!@d{^7pf8m%R|GwJ9o^R-526hrO$19iDX>b9E&3z+V<1jk)rsIL z|9bx>f484K;6LI&=4bp;RsYte!Tb3q^iqcqqT34k8e57n$p7NWZ_BSa(Q~71eBJ#RV zo&hn(Ja=T>p^Y04(Jy)JF3K$@BZ=|9pT>B{&O(0ttp^U=dh5Z1z~jB3$N$dEK@Rj+ zS#PExs90;(lV=OxAyeUdq(>|zhbGNvG%OR++m+Cd5!STDYUL~;yBQOK1WabpWHOtK z#Ek7GV#H=k06EkQ2^fre+{xxF`WF3CN)5^0xs*;2=S71EFrkENj}E+XsU$#X02@dT ztT;AE@%21}dX60x#at|-`TJYVj+TB$iSrbteVPVWwmRb^7~uUXSrg3SU_Z8E-tAjQ z8Wh{T50MM|zAJ`66xPWeFGc~6*XzSX#5DCaAmsDW(F#ujsv%5=OgmQEWCM%EfLYGd(+2CvWOt*`UNWpn`h<1^z+<4?u+ z##M1SUY?1|Le>($C4Mk|Bz_FqddSDJ&FjZAF}VdFzyxE~<6#M5y*=bI*=`LmtjPK% zSr1qbTfefhR%ytKOG_~ybZRSFiu(PO|IRL`f;rRW>dHnB!^Gf`{4@n1(gLb&m`|8pG{{`c+Xg; zyk^3yll)5EQ-?erKk`a+D=XAhb&7TG)jAbMkK5{aP~g1R>5oZ5OmY$u(^_JneYFVt z_(>e*q`*ywPubuwu!ZD*i*Y{9S@xfHL7cM42O9abcTXs`A5qr)*yhv6v8a&=+6J?~ z1I8^3Fyxr*WL>OV<3oO{TkuESS@%fyuB1L8#Cr;Vm${2vKX;M1Hlf|%y4_FuM=n_! zx&J&;)wX15q*BT_g^@2RSRySE5{WorxXPmz5B9jy6)5`%?G*!Gfq{@;g^Vj#K;>nFpcip>fbiUw=y6n9q;fTl9QdG|?Q zApZP`4@cLGZyS16{$JSG`M~(cuF1cHkK|XJI@+(_IQg1rgUdSg%uAc+{)+PJuEJrE z3s0aC=tDWtRC|VdQf+7LCHCv>Yg{+FZyIvfaQ)c8sA1$*|8M+{4*Tu!cO0KNzIABa zVAgE4I_v1dWP4IBZ_2rx7L|x_y}70;%v5HI``KtDLxzvG8rHFu>*AScu$5tfa6z3p zrkzzErLP7fKG!HQDp$wCF?rb1@|EScl;2>qeBh_Xn_^$xrXj4or8 z7PLyQ13URLu+rPW6u(tN(bdt9F$aa*+e9B3^xCX`HCKuTI6Wq$wuaeIW5(N0bhFLMMy7XtE~k6y^|#Ef znNsWLJ>K}*bJy>`v5Fr0+z*Fl-1)1;!_W8GT;VZeuKW3OlrGa@KBQqjWU(N-X8M<^ zSE^w^%}GJ<8bTg_HXQbP7@e~7*5YfSxtyXqea<4ps}o(0q}A$mWhyPz{%TU4%x1ln z@d}jS5+sp~#k>{%9=up?bP*gggd;Im7R91IgbXfX(1v0#6Tb2kJj64Mi6I?s({0in z)E&_s)2VdXSgaCNa22GY2RF-hfLkDEbW^Np;Va=74GX9nx3pi{(Dy%;oMIe(^B{bI zY%l@(N*bh3L9e|?2`3yVl<=fdO(y#f47AhbXP7V9ei(52j49!TZ1Chcr4~GmT(5}i z*@JxO%N1e%Fs^VGCyJ9~^L}L~OMd&wd6Pd(Ti(czAMD@)>*j2rQaez|gW{+LpC>>2 zRAO`TW&KNr_w=e;5^Iz91@4L7pL{Z^z9OgR#sww>$415{uQ#h|EV;nY;LvDGB9|O#8O>>RX(`|dx})xdI~}$plG@9-XCkkp znNfkU(TfAu2i8{I9(X9QBcM%bJ$5OHkk=0IJ&8f~RRzpUxXfG=h;n(xe^9T7O{4rk5yE5@IA6B*p z9HXv)+Hsc+f(ZQ*vTFj3h%M4sd5s!Zd~;Okg+{0Q3#jiuk`hF{!5T|O6JkXLj_Sh| zI3<)QQV)dIE{+CnUptbbVg5+jv9M$&8x%+)?iJ2Nlx-5iAhB!Qm9S1`(AqJ z+RNJ>n%#F(@wJTQGbc5T_~j+}ZTQj2mo~TmYF+-VsYR0Sxcu&!>3h$ex^9l*w5$tz z7S&I>?u32Jq9O97%`gb7fcDdY_Cd5*Zh)zorBgHL_GN(pZkU=MmS$p>&O{P3xas7w z=&;TehB;#uq|if`4SKCA-I7$=lXQ~*5B=D`1H8}w6z><62!4lDDt@wAie0Yk=)#}& zt6w~ky6E%go~D8c;^{jc;CT|L67Q6gbv#hc-JGhg7%hx-osk+<(I&LnXS!yl+A6+J zSdu7_NL6A&Drmg>gR`&okBZWX+ez=u4vX^bEFDLiEk`mw*Pp6)(;UgqeMBaab3iT{c(@GKAKZcC3`JmB@Gd*7*B zv{>vb#mpDiQaTlL07M-%ic5QQe-t<0_1^>M|1V+n^lA;ja{4U>sWPY8x&xu~r@x<+ zVZsb^Mc?~fN;F3PWttAd%N5CoAHjDIQ<`6)X7HvBpZhtEbNmf27=8=(cx_F$eveyQ8lAU4y_(neU58-^@_lX)TP;* zvkxU6t3D8W$NzTVaO|CmuVOqHu+<~|qk@;FuJf-=QPX2{>eaw&!OxN=-yVS7I%N5e z7GLct7GLh9#pe$sl0kK-A{F*mqPmy_rm~Y%AYZzY8gZ4>eygn1I?KbcM1tDR{@vtq zR6#b$CIk=S<7%TG6vMF|+___==N3?mJ-7^xg>YMFQ|MsmScnZ#G-u&u4yUJK+lfo$=<|waF7uaBV`M;Wx4TDyCw)%|$x-b}2UfPhg z`>COp2~?kaVInHM_?9|WehNI5%uc)A(T|}>EFP^LFhHl>qrjt0gY3@}_q})Bz2~gD zS*EpR_ikRA|Mt_LZJYe)W%>O?pC5NB>%4N+IrnFq?^RyngB{Ohr?xF_n7R>U>PoOi z&j4$*0sTMiJ#BCs$8~#mAPIs5!4HTciLV2{DS!kBc70r1ljEm9FFS&SG{N8m^z z25~U%NSK-=a_o9ik1SJ8;&u{w;-sB6AC4@FQXNu?El)aWl1VboOxh%qrlYuZl1Y<{ z^P{QlI+ptO-GTr=aMM=*lVk$lO$h@zs1l}zUN~Z4>tWXY&P3WeXWXp zZI%5FjvcA6;m6Wz#D447D@FPim*dv2{{i%9FDuPF8y399rWvVzlqfb;>pp|-;`7WN=GiMm^w@;6A4+r!+y?)>vg8<_9+;6_b>rJk$z;IDrjo_)AY(@VOz zF3;|+uJ+xIeN~lC!g0Cft+igSN35-=sr7htU*ElNp9vlWZO-c5&g$NP-L?C<-iy8b zQtypkzIT|!@RPj43;b94Yp@VH$o)Y7S{+PSyYYK; z4|%%rvoChA&u{e2jzWFB`Zg^2?)dDnJ3j$vyEQm&`uX4ym20v3O9K%3;DPm(f)coa zNdHy5`?i}Sshtt`7R=_y#AEM%9|!o&pWvK#Hy!wI&i$0_O zYyArjbfLa!WwCjkvDH1g*FTI%0sOfi<6g&`H2(3;FVJ=g7x0Lh4O<;I|Bb4NOWu3} zQ?PuJW0@3Mbqd&~8X zn48BNvN>Cx>v7xp>}>jB0NvBvQMiNO(T1N0IEr^Q>*KJIYiuBmjm^ZdtH`8}-Uj>< zgrlgTirx>_wpG$~u%n?Fo_bZa4Gm?r#irWgBHmGB$gOlcIZlDT;Vs~R=~AbnwY7`ZC}H>;(at2bKIug8;v}hmWi-_AyZE$b!!Fj|25{ z4LaI4O@f7YfBpIR3#SI16$SZDt^?06c=^%MCuVThPe&N;dAH}%Z>PTTF#j_2nAwC& z!Jac;yYDk0#H7B5-UJr`p-@b&agBz`7O_@7Td_I;^x($f7qBdjXu-{01}PfEWf zjGi`?H3L8{dw(OAqwj>uc$FAOHvVyj?~)mEoxBQb#}AW#B(Ia7krO0JULqf%?__~l zGa=8DXUH}3H2F9{=lBnBjodRZ!Q01~$PdXi&O=`3O1SS5nM`q?1UebUIlaF^j*?G8 ziT~jDbKT_M$vN&dsN*-}Dk+0HE|WEwncoi>`u4!n@RVO7qtvS5uZw$4*dzSNu$=X= z?EIX@oHuew?wrYHvgJLMAI*Q#+*|O$f>$h06}A?>Q}lN6;o{Gi>@WF*^xpc|rNH^0kVX?NLXgWKvhlEV^tTb|GK8F z=1}c&-F@}O`hRZt{I0+An7zKnktU1pfbZ+gpKf`YX>0)6l7+JIq>%XNcf^F}Oqb}1 zlQdTtrY~v3{G0j<+pt_I-U(Q$fU`1KAn)fY8Ehb>+=m!UPdE5DgR_a9`x1k5$lr7S z#9$+-<)aMFC3ZeVZ{nT&3k=R9QNwo_oKL2+x)^NEzLNDB1{aWt{3GkOa611526H6O z+{j>_cb;Bdf$<=p(DbVCW<3w-^k4WWB*)=p*Z!42C}1QNm#8qaAAu zhCbSnqK7`(@eYHbkIKp!41HAgID?^&%6`RQ=p)y22%BiTTzc6&+7>Yf;e3i0cOqPX za23Mzz>EUXhj0nN#iHJJE7FW0yaV~1K)4j~4_Yf+2v;Nh z1%!7Yd=247IxUNOC=rG92$Y!t88j?)1o){C5@Z45Fv>6wcM-&iWEj#UQq2H93UVd3NWS+r zrS>Av1n^I_q!lEgl8K}qxI{qS1mvg?rh!|-ZTK9!4VR77I_+W54Smb)hCFvA>YZve z4EJ-`iU$El>;2PvL7zo!y0+K2f?A1VoYqMKIV)%*D$1^*EU8gOWmcG-rsUO7ce8Mp z)=U_vLQrZB@?yv%Wv5NpT3Yj7@E$(kN3W&~9qH0GVO!^bg3`|cS0BV0&`8LY-l?$M z32m^B7`C%%z0Ch@T}iYQomS4MWf&G-V_;7M5PHEZC1R$nYieSXQ^Alsvj!%^|%m2_5ZGvUUd_eV00fe4c?r9{HD2|$qpf?QNQJ;&<7GvlYVsMwPmp%={sA=6_=>8;;F+cFGQztU) zR&Ss72Q@{dn`3@3?K9K-QvOZd;I+~oGEJ$lrw;X@Q^;4v+V%G6a%EaKeZo^~(Dkp6 zsyMTcl$CC_q4#Q0+CC0o+qd={)iCA%5a4CxooY?`Ig&uXo!JM8P5MrArtMSkSrBH= ziUst$$qYYmC%vEIr;kZGRu@=rq{mo_-`0NAwWYT}Lm!}RJC0L5CT-I7^dBW{TFYB& z2(dZF6uZlmC^hfV;MtSna1{JMokuhhI@j!iIdCV;FGYx3;Euj`dN+h-LRUyfVNRt} zHbZU;zF%I4J4qV^TB?Jz!<>@_%KeXf*8S;E##EkfllIo1hhvLzd0Gm|;upoSSy?=! z#1susVy}{jD+x)9C^0b}4K;~DfxKD&6Yl9Uo*aY)v*sGR7N)yPZ?ic6{}iIg;%hyX(obv>;l!~;Et zykbiJB#z{942BaCDJBjiK$A1HlwLp_m7{V9LJ9_<%{gGc)N5A_d^nN4QX*la|_reSAL7;GV)P~y0WGJ1T1%6 zm1z$xD)Y2tNLfHDnU94*N0blfYEGq0BrzHZ$uS_8W)iYYmC+=QL$O(DK^B!MYEo3& z8-$@&4R$1pas-$q#Bd}59Ufg2rxVItS}y1WO_`CABng!1a$&IBL}Y4S1J)oW?fQ%c z)u}0=z;@PEv0gYrjdeka&P!8K5L;DcEwgl!cr+H3RTUeLt$;SMK>|i2iE3O9MW(?z zx3pXYEylD+YzE6p;c$f71=vXf{ehRF6R2CLPunb1G%^>_bjw6KYHzA;T4<1nRAA`D z=cl3(b(YoxymWQXNsA)L4_y^sq>4*xXk$gFj|0=`#!0b7@uBR!gPSG6p0HWfUAAp@ z**3at+qUg4*D2e!ZQHhOSC_GMXTO=bvvX%>|A2i?WIiV{@|?`bjLd_08qQM#BNuwHAK=^bh4) z=Nz1hdgQtEoG4lcv_0Vf9;Su|`t2d0NkNk_oFv51AtEv`@wo5i!0ORmC4$7!B=v+ zIkpOqxtieX<(r8##{wF;7CqGnW{XtQuk`T zJ3L_{YzOoRt!HUt;+Sg-<J9yBRKLqckjstfB&9|zPo#{ zqt-aE(W}6?xeO|d(F1`<_qEu;B7mxG50}zKYRi|V2Wz#h+Xupa%=5`F@kz8khaKi1|Di=WGx7A{XNs*puy>RNMH;+ z@OQ=uaDTKJh`~esbn^wedL!sWzcT0i1yk|Rn)b)OB|DHC?=1hBHzpU}=83kQ-`%UqZ$VxGJ5N03ihFj~-$m4qze(;Ffp0P2!E89B=+Ed;`F_*y}5> zN}F(|Ez3@hr#RS*{DNv@Z4v7NVH|LQmMa$Cp?dEy+dT#@H@* z#iM7TUz;bP6nxF2XUi|8ABp*kK9Ce*SzU(|%aIhzl=P+y#M~R<4Y7{@0*O-fM)kr} zrKK}TkNm_NNuikH>3tO}e(ztwWM_nFq39QUwht zS_by9wM1$o*drhej$eOnK>^4Z;PhLVB`NgXy!xL1#%eFIT;=NH`^2%3v_V3P8Gp2+zn3Bg^bOmuz%?mEmz@~f7|NL zP+sh(L5Gjct<9=Cl$oBfn5rZm*Urj4+~_Bd(%ow*9@@1@{Tf$}0^sT%+Q={LHy!Tj zc%Ts+d%ssi7VhsiOx#L>U0tl&E41y<-J@?SHkjtvrk{{RFv(=mjB^JkN$jb8mgmmy zkLx-B=$aLFk_^2dnAs?0aCGBw!gj6wr*0SeTdcj5>HNuq+u4M>N5w(>` zzEIc`M{*q(Yno-a@+;QUNC&eXW>tcJ6n(X@yej5Pb{4-LmVL%6e=r8dkDH>Ij3?oz zA`P<4jeq!=e0N-5j+V|)OOv`Mz6m65G;e4wlIw7~Sy+DFQKWg-lwBinEb_U$&z1UZ zG&|7S)pSdoVRv)?x@@b-Z6jA$)LtXC?w87&aG7lbqqBY zAzNn$b7O~Z7ml3be=*?vTNwO**C(+2hdzPnzvvSf83<@tzZD9sOl<#<+%WuyJ%OEx z@t^Do-$mc{k^k@h8|Hu5cmB_wOBvgkI++o$d>eHB7k$FQWK=pm3g`}xY_CHbhJQ7z z6bTANJU&IlXGtnA5HR^~3n0Y0k@e+cyynEVl-XV_-L8!RqxJnO_6NyFYrOS%-8o4< zr~2;b=+?idtGaK53~?^r?E_RF+goWAqkUWR_g&BH_ATF?T1MOboo|eM^U2a|Ss%qD zZ=9|^S0R?KcZQx&%f-XYA9rkg)aF?g%jU;)xzoocuX7t-MeRD#+AW)O)5|r7y1m1W zccmwdgoU_VzS(I@)01|8#WRo38+dV+!tmR^t`eziICR&tCvhaCe@))sdE?fZRZ-U+ zdiYXww-jb!vH`3(DU7cA9nCi{(E56{@L%W3W%T_ujjH%>#PMpTcgx;W@e!^HcQ7@3r1)XQNX!U#Tji@0WQWxF#RCCWhy9 zcb@c4>26kOKHwai9=K9yK4iWNxR-zPS>SxYd4Erib`R*!Q8*uRu?VSD^=bEAwH{!oW^8Bld;h(io|BKD%-!7bg(oL{( z{JYJE^&du_|9|>_9)o}KZ~PCpm4E5r__xdaUpqMdy8w%wftmeZ+yVYW!NI`J#Qa}9 zM4p~rnuCp3X{(yDnz8SI?Aat^4jCiOs7vk)_S$zT9P(P^@i0^*YV{;T#B~Ld)uG+E zf)0DPmIHnY9Gm{!!l0WN z@71-5?c{~+QiXcGNhOP=t@Ze>UN`#QE)ay?(?i?OQQ-oh8!Di<6HEw6NFn5DVqVak zcV|^S)oZua9xxzhknu8Y9wF`XDn9$4e!Fxlx*M0yuAi4dd}qK%rnvy)O))nM1Enx) z@FvH0&;I1~(Qzm%-6(78=sV-=RY_bZ4o7})Pl-_XY}mtoXFR~>I|RTumc)_n&VV+emEd@{|KoW zhAxLBI4W~OQs5CE$CVl{&zPJX@v@UPxLOy zED&iosxKs%T_37&2yq=D1blyYU-d5YD4~o4j|6ky(+!-e4c=9t zVSyAoO&bcr2k9J?9wf*P)CTr)4~-q$JIt^aCW{CkII7XkSyNBs4Ms-bcC12OKtepB z#_y+@<{*LHf*YL%$T@p5=mqjnfLDZ-%c(xu~JCLE!fP#4C15QSPCx}I}LbqCl4y?=akjxp- z!l9p6LgOT&2Y4qb^7!gm)06N&@GnE*|K(h0~#`VWI=*&IQIP^S&)7~3_h}W;K6N6*c|68lFlb3GCF@?dO+fy`tIN1f6OO$ zZ)5)9t$; z0tjgqr2tI<1X?c{HKIhILau}wRR#Lm%>~jU)LWot(0bs0-}YdoLGcMHd{_vBM^u!rTwQFmPMSM)1-UA?u9g_%5je&=(k7TQ*LC^TE$u z_d8~b3X%zwu$q9RA2aBq>`M9Q-83B{+eFuf1HK_> zf?YX7DQeIxB(NmA?5m+$Y=#5CEQB8r?qK;he0sQ}e#CtuH$5$S!!P*cs{ElkP+xiE zYw)?5?@3xT>ti|#n?GR53 z+H6S602A<%fBr;wmEkV`g>I979dj+%`n<*Wd5J#_%`v-)Y*FHmqed@2I&`8#SdoG< zrk8rs`{7M0rRVboT6M_;sQpMWBGWNpv(+H0lagRFu>;v^ojh^wV*c zQw-umpsVVsn5X9Vii&aZNXRg+?rqQPl_Hf2l*0Zv+Y7|9sECeImn*4^tn0BM zuhc7Mu!m4hn0TOAnhuBB> zeU$z)-{=#o4>(h|*&!i7BShmj<3yu#tdk>+A|a95*+ypU(WJF=V=7sEeGS34OZq4Z zBBh+zgUZw&i&t7$9a;X?oCIGJ4ktkbS_WpD*~AYnnWbxf<8*5l4RJ$;RWtzgxH58L z`%Pv|3OR^;sX?2solOy=O7dR3f`i+u6NRIr)!L;xY*B`MtfzKC;To%IxYX7_MXElR zNXok@VI{BQh*QK~o9s99k%i;ajWMm0*pMAa6HIE9iP1{5sH}iUCi8_EQ#w&%s!4G> zt0gmLa5D_9WV7U{qbC%!2rMLnWz^LCIDrluwp;NV``!f%i%lvkxjt4ZHa!ecoT#Am z+C)nXYQ%I@Tx3%;nL%Z>psII7;E* z4F&y3fFWm3jW3jF#nQ;$AT_NL%Zb>6J*+Jq4K)Tv{!_|NFi1KxUNf71{i#IOhSt%6 z4iCEtINX&`oRtkUOUap7ca#g(awb9TisJz#SqZ9h4*EqVo($xk00%C8PFEPVI@P6-xI6O#C9CqG8IqI&D5H zU+2BX4=TdFVYb%WO^r*{dDbARga*S11H?RsRZ7oIEHpRItC1#>4F%1>ZE}bM745yD z$ve)RkzIY`H_Vc%w60|M9W{j(*tl#gJ1t?*Ft#D@lxl z!IPYF0WqR5u;ozD6QO>@6w?6wf*P|ptrlPEek#UEvST&oGlSC^<6aagZL~0F_;!Re zVwwnb+pL7Jx0S@>0sSBQn?}Z(40&}6Ov?7VW(pwUic2$0ne$ea*3~9y8D>pT2G1i+ zS0y^@7A(2Xp@s}h8_*O7thh!AY0a!?*TQZN0NDDBaQvEoGVctt-neT7B!B#dGGAmf{tlksv&t z31#l8NA_Gjz*|%fKWsgRAnejS!bN(RL=2gK7VpZ3!aGrS?l7_KG^?WeQS>J0^@jUF z=!*4)(Op12gNyA!KH}~EInfOFy4Cm6QCfpH#&|efRnU5QoLJhBT-H)=a{X2)k>7K* zUMu-RWt&JRc&k*_^9zL2I8!JUDHSCtFA6D+shG){%lAonAj#JFrq zV+jWf^U=CPMs!1slxBK(%w#&=5n)0qYoBA{3awJo`%YA19eka1)sy981_!5U>FXs*KKAqee+_JuR*${WScn1*D z*3Pw`g!irAZN$>YImqtfHtCYRPdqE^ zrUyY9-wskNQV8TjpruEau-tkG^JYk_0Ho)o(sXP z?t8?yv<=Io1+6=ZFjsI5?&TmdUE*T0e#qbi(6HbG&^~ZT$WiDk*yX5-iBefHXKHOH z&A*S{Eg|BZSG#x*-jMDO?7#ByamT5CjblZ0*+vtwr^vurgfS&Im>`kI(5x0H3uz=8 zjYtJUsAspcJ@sno^Y}n}AOr?K_6Q%uZNEbBUv{KbSLOIR%F(x!npOX-Ml>0dP($jBuOstbOruV{qQ12%1m z0OVd7I0UGIRJpv#nyx}Z=`>9ir8yMKlA$KYO;g$vUz$5iZFaG65yp+0~8Ks z>%44cQYHg3Op-EJiMt#kpHuNFTQ0m$ z4tP(xasP1Y<$gdA}_FU;*q#QK%3>V%d^@t9}8(~7vNRwbj_%*9w zQV~RQlNgdxlhKW+fj-4|kEy}BKV%zK_*1_Y02-ZuKQaOPIil~vL$@=9cB5*=UQ)M? znA3l7Z#|1E>-j!i8OaceEfX0)3#Uj8fybP*8PN#kU6TQ*2-TiqLlR=Mk)vv$G;Q&W zDnfJI;zL*|Y}HCb8hP2Or2Fh@MYdU=k^-floS5fV6L#Y-R&Qx}g(l}FVA zI||66P&2mZ3`M%r3`y&K=YBGZq?7YZ)rQaeelPS*He|^sw=@Dg9H2z8Omw?pN9#Y> zjyYfw`;LiV=KCsUFb>sHOpjrFq~BEmX1ghn8AZN|XcHJ-+5Q%M=S%U&F+R9-=?oui zJ#xSEr`w6&MLd*-57kZpLz?<9aXQ0>FpBhj_5$*B3nOYle{<~NTk1Y()p4~zuX)0# zV?u3f4~Zcnv7%!RR=XG5@s!ao*{FC79@8#BY?k3Jd+I7>vHXx(cE(BvMaCBtCetT2 zhs@!FsPV)J-!6ORxVz?%)YLZnl+pVU2_|v(>VQ4#K3jDn!(F;2JFCNM4giy<=~0XJ zIE2x}!GNJYW&Z1zp~x50a1b@}!I?3&j6O^A*Y`L9EgN4JT~(V%0H!xn|1HC(04CFG zBaUPD0bVS_E9n!3T@M0C5483U7`zUt8&Jg0HjXU_pOb#1q*js%eIE!SlSb@Q-YG)D zLhxa9!m>lBr>|U+_3xWSgPtt>gY11^%#z>oikD;#&a}a=1bN6}mEBOh4x3(vcDWFG z{6^5jpc)W;pmUijA-*QB46a$y0q2w zJ*xWx>tY+(iszN9*cK!mS1GMV0hs8qA@s8RC4hAc(llPq@w&|O-*_uqK z=fkw{a26xHy|spvGSF@p>rzz>l4^6gUC<`$gC`Q84ISSE#cJAYa9T0UE&oOO#RRF_ik+(SN~Ys)Zz=m#CAL z3}`F*9f^xM`N1`GR;r$EPvEkhO;hrQ?*#o!Yy3L0LyGQ)f-bi(uU`%}Hm3854D+6guW(dkt17rk5taI4=*8 zIE(5Rl&rG185P~RlcGDk;Gc~q1GurgGp=?qEkcJqV?1xQ9`DA_@9qH%#Kv+-?o}_G zhhF1*HGi&4QtT#Hr_7T19|u)bXc-v!L%^6(abMB&Z6J)EFx(z_%xkH4C=`eQsu{_9yXPQ zJSSbD955Yaq#o?);%M4qQU=sxcqZ$~rUH_MD9~6fFsTR0@uJnXiR9v%(`sU@O_EA#Nop>0=P*@>;~!MgwXxiy95>pOD{E0Nyu2Ug!TdYXyU z^RNPsVyV%g2OFlgR3dyVqVPSES9S*f&NT=J07)p2ji06F66) zh%NiZKJ1c*P?xqh`vz{@29hBz%&T0^z~%NKyaenbHgrMhA`Fq{43#t3<{xi`;TWYw z%!k&uf>#0!S9EMtY=TBN&kj7LUgBKwRH8Vg!GbsLE4=uXYL*bBfgf?r&E3Pek5eie+xTz~A+2Nr zbXZh4lreo*a0fzvKg<-#*e?D$J=uTz*Rno!Y;{xC8%nrv;3^tc!N9>IJdEd2-QPQ# zuIy~1E7>M|-Yw2y8$Atmk8a2<(Sid3JlLpSp{B`s_$^9uk3`0VM2k@C`A!^pCU7ap&d=W?Ak#TP3gKJY_ zo=;#r(hu)UGh#Au>qTq>!3`vl>}L4Y&GrBtIwq0Wg8}``E8yybYdylj3La5Rw0#Uw zozZh4eSy+>bm5RE7;$X@-)n%*Nnnj{a&kQk(eXr!3}8K{I-d`aCog^Ust&Dzk){A; zXu5_n|HqqJ4Sa*=<0=YIi3{Z}*fg3pPUFp^BNsuJTNkuSe2WBw*@ogf=#G~*My6?{ zmLSz*khgdHvzn`Ear7YQka)~-=noM%hxI+LU8cmd+rO`UwIc^!)LVkJGkd_JVLlt2 z{-05Jx+Uq8f9ed5uAJe;OZeI-=?7rg40BtN?LC>DCaI@3*+?!=pMsy6y`n+h4p2di zJ2@On*0;Ck!`cmcLd3%cdsfFyy-vid;qUb(3<0(tktJv{2riy|OuQ!0j^i4xnVQt9 z?jC;NOd=t!5(wiwyNQ6@#zG0oggey)8eI|^-MYM*mWmd&d~<7IgWEV#V`DWsI&E4S z-KGXF^Hv$pEbXVl^w>tLIu9@Wz2!2WmqHlNcb;v_j8?67cT2jA&p8IiR+s6xn?qs) z?nv|=z6eovYHm_)5**|x==|gT?0(1F!QDah5OiG}9WQ&g|1tCY^$^i-`(SPJ$VS5# z9qQXDAMVORfJAjil1pVs5n|y9rm5Czz=J53Le0;Fh1edHV2d-+cxg-_EIv!PLR~icZgV7CJp-JR$>oTfdT*gT!!qN@h;*Y{>=9#4iw zNFM>#ZBNaothVzkR`bd>^OY+_nr+gmb<(OW(yEF483nM{>eII#Jk`lJoFU61b1q8Y zX$%hcvyCAD*?8QVBs~2ui}5tsIO7`$oj@V4s0JTPk{ip~ zq!d3i0twITA{INXF<~g&?(Qk9Uqu!>AY$gERQx+h6MI-`mL?W;X(+VlC9X+c(8k_? zO3)UAc*hKzSYD)!HU1;w#QtHi&(e0vXxUcVvwj}3^k<@ZVwfe;*tzAjeCxp)r(=P- zDSqUn(6nD{QNA_c0HPYdp|}iyn0QN=Xdge;B4eyo$(U3M7%WrI*RWfFz-Q?bjl7X3 zMqC^bDvOmai*+uGT`Y@bTpYQOH(!nA!qQwLf;ZtJCWR5M&y0+9)hNJiscLK}ta@Y@ z!PUvI9yaHxs9f(m{o5#GO57HAKf8pHEp#-$W=^%AU#anC#jED`u(q#ffIbBd-O>SS zdSk2zzCUi9jG@bVJf8HjkYU@fs-((g!Dyd_;%727pYbC@H1sybNu}u4*Wf##dxDsPzWzqJl=&NDHj4jysN*oPI=L&V3aUyi?FMv(CEnY%Su2(G zHl^ZG{DG)LGVmxQ`>+)A!i$Arwpm?tZW1{jBHE+pYQ zCq^dbf5AHN@;W*>80%ZZLb+vV%L!QY(<5}8qjDC75UkqRh{!4Y;3c7Pegjh1Xb;dp zvV7Uub|o#Qc_3iupMt5Un%Z~XS@}uC*2)_mt}>y=^235;6En!d1ikacw-r&OX(%2O;y@~ z`*#cNGYON8ix(xPtFz4b`Dep{$?t;TL$X2*+C%R5ESlgH?L+UM>k1Aq|LUBx{payg z{NGTXf3%N(LV1|}2a@NX2$ui%M)R*hmVcbVe+jbu6M`q?U~4C6>!wNny)GLEBLOP| zqc)wKzQez@w10cOkgbi=cg~UEANZRBor0~CzLPNlJ)Nk7t+U-X#3xSRLR2aFAy-ilo)%=`);@FK2zN5C{s?$XEr}%ai{nFSFM@_D^1n8?E=Z zBO;0h2BJC-D_Q+ICLzMGJ+ipCUw?(V-=CVlJml%NQN1G5{ikzo@27n`-p99fKJU|g zX6ep`iyqK)KHr~gIXjqH$-K*bdPVf|Wm5+0lrOZs8H$zPGak zqE&Y@H|^{BQY`xvr{nW^TQ;#i{q_8Kd%wOt3?=fDTN@O9|Knx2S`~G>uYAe&Q+c)d z`c|1|t4Z~6hcJ;perUJ#o+ii(FpI5{2h6 zH!GdzqO`L>DKn9_Ut@BD$06T?7H8GmjKuX zE0faGB0ni6Y(SQ>&1VvD>P^*o4GVSu?1O0P7!u_YdpA6grpb_9;?9RinkqrUcU{TO zn8zdm%@7|^iSZ^v!`!euN%fnlsq(PtUFIdY;MbyLTAKDON}q>G-p@J@l5&yN69he` zACb9W)(KcQXoE&Je*7Tj18W;7Jis1!aRxSG#Lac#2HaT`(m4Xe!kEd~wuik{_u(29 z8Y|C~rfrGb=z&7{!uk=Ig1Np&60TVL> zXzOL1unl6MAMCjUP#{R*RN;r^AUWb;MI5i%2v^#@_knvZN#0<+;{F^8kBL6&Ji*Sr4;ZnZ%{g>Ess<>I$NhI z=W=@SWLcmuY7-n>q8XuGSXtNMD#xZ2D|zS>zY}1E_v!vRPtu;jh zuxlKZ3uWy6As3-bDY&TSR?!h3`VlCDKrjm*oQfLx1tG|@_?!rSC0u1HHU0M?KjnRu z#6D9yI%8yI0}Hu(=iW|fC$CUnNrxGNxPxnPMB>^?F2dr#vr|=FZ_F&+bY&YOfno5Y zoVDtrSp5dR7^}N(bvLh4%VJQ+J1Bj4ohv<3!4Zwr&L1{VG!)(c=P4+Jjn%E2 z5hV;PV!#bsMB}6|pTnuMlHL%t{6Lp(vvn{gB8%Pl-^4Cplxq+QO6Ug)rB8g z7eS|iLzV@)XhTyoL=>zS0Ar!fqwBDA_KQ_zacDa1U8Qk2bE|FJOB&dBuW_b_s#1>+ z2)k1lt1%bB^9ZUa@YRfQcdl+w8~zOryw;51n$=4+)2jG`~gHi_8^@ z61hqY{BfAEd%?&VRO7~Se~T&yuNi%(}_o zJ}2DOw>PSwuE5qFaWP>4F&0ZZlip*o_VWGyP@dgyzQ|hcGx3bL_8&p4Ch{kh+iMd7 z20ft|2k~LrKcEM7HffCR+=PYez0%6`t45DMKd(oQOYznFuvx6u>MSh#_V_ndDx9C} zhtxFCO%JdPFR#zF9rO5L$$$`h;wct6&?D8ZiiB=^BF1N)i7G48GzswJ;a%w<$`rdI z@?E!_<*1{zrcMaAcavU@0*NO~!aFZr<0YsRw0@u7d-c{2i~7?D!9%eCQPVR~r03Rb zHt5&o2cyv>p6_!EkZ2OL4dhS0C5j^V^^;j($Nai2DK-cwRkUf3#w@SH{UNC=Yb4tc zUU5fxP)(2T#o7RNk#iUmUY=fDsb&&TChRgICre`je3h>q`fJR8jpXYfkk|mWTc_U_ zrN6PrdH!6u8E(OZ?g-1+YuS$Ji>3EZ@YJFnzEId;1#NfXj8Nf1p6zTwz2(8Pn!%pI zVqk4o=~=mAoO4@gewLqi)M5c7-o^R3MV)(h+y>@0$hyY&xc#q1{*LWK`uN7y}MM$d_$++Q5 zK=;Y#wTbeWGZ<*2!Nm2}vxAU#B&u#<8oSvg8vzrV0C@CjtT{mR$47Xym=2Mw&4li7 zzU^N9ZkSPAzrKX|c{&d}F(tJ#}%Vmsj-i+r28?O&Bzv;gqMi zjxj=%Azu-1<8Fn>pq`q5fy6a$w1cU|Y?sRQ^i(aa({iPFe6y-%oiF&-(d~d8HF4ND zmX6ld*7H(IV+HUSL$lekby4?fcBiO}z^nVvFi~8_ZvL|@A>%eK+>p22-rIg;QEBtJ zRj>IsyC`u-lFBgBFGafsXo`uZnl3NSIZcN;FG^;iw+-q_nGs9%z>%uO^w)4!S9<;= zBb@Wh%3D}yeIhZLEx zt{p3p53AaJ06K#)vy%SSjqv!YZA=U1-xW=z>!{QLtKQS#Cd&A-OxD)tG^edBLcI9i zf`C5P?&u+I%yRwZyIQDC(*NA)QU6j7Yh1(1sk&<b@;P3xZF zB(+xC{Nak?U~2Pjv7lsX`#!++Z;(qKO`mw#18SCkx!a?nn_4}U$c+qOC|T|XCfMz7 zOXVEyKfhAn5<~ob2G+ZhJjRH*dDF8P?b4sVk~b(wRt=bsjF=%+I~wMG>o22L`&H=G zPg*(^~^P?<7eISihpdPS@gkTdb>+Seh ze9}9sa#%B>vn8JE4GAQoj)$NiL!GQv0K3tZH{)!fx*El(NYoB^7+fh){fP*@3)X`f zDN?Vx;;mtVhKYAI+4L89mh^T&Kvx7_(_)k24#~pyI$7{(wD17QCd&KB`>FBs*IT&3 zDxp(NvGo2_258RN{f!W!^Eq4Aza2ko zBx2xWfuLN!qQ)t+3u2mmvNusBX25VtTc^%Pu>aD|_N$9C{eG#5?6t^W1Tm|BLVTHy z{V84RJihhB{zb`gwA3wwfD{SZqKgX4R8s6zkr4x9!dWA{@rE9wzgY*{EeqCd_GW2e z4!nGZOZe&|TB*UHue#rxE6JIqyDv0M;T^vM?cxHR7zKnj9KPoPQ68i@E< zZ>`C?cB`d#Dz$7pSLZBs#SUqR(!PoFP=`nCac1RqL}Q?UAz6rO?mDmNw3!KKaQ$LM zOv?%#f?X(Lts(CLE_xt;Y$x=Lh0`Ak@kI%~gmV+&1NT8TOOci%n%Us5($@P>vx^4m zJpA1H>Svm*M`rnq?~LZtj1upH!Qj7*_X&HZE$Tg&%SQSd+rE%KK#)@mls=Wo4^i{W zo6Bb4wRRaO(l5GdyKzwj9AgAF1nj3D;dzJ(rQm5u_^aY(FJR&3-3Erc9UFu3Gr+a> z9K@G=GkwK1YQ>>t1m19Dl7D}Sy~mZ^jCNnHSfBYWj5VT{KACb3+Ax#>Il?ri9V!tK z-O}PHhCnQx8QPak#nB#cvBiI!Ybz;;A{U5(^t^GSnY3a@ zBsbLtYW2(}R>o&J5(wH+=M?Ai9sf$DEmsHBDB@9|szjv5)=Xh+aU*zxPx+^k1_|8> zAv~k|Lh zMXa1F$f#lopdw4)s_lX;rV~dYe`ejKUnR0py=*o(Z|xJaFz;HRgV!K4tqLcN3k)eJ zPuldsYLNn&Wv~FmJ2sAznBHvcyi}2V(jtK}7*-{opZpCDj76rCyhr_U!~? zZ{IGJKb=xs&U2f(@#Kn$?SXnL87Y#+o)KR~LT1lnAUgj@aT**fjU1T}J=_XDEapQQ z(o{f!9sgzT6SkB!nRfp%MZof9d%rrfON+Q_*X)EH`gXYr$-? zq!%L$ou$WTIxjBA(VZaRym0)^s~#`8{*n{kDkp?V_5gS}s|CoGptd%k zHw2g%(N!YzOavY5`S&75g3hpX;f-2OW4v?55=)*@xNt-rB7i3fHA4PKk31)RhUM(2 zgO_m8RZ*jR$shq$;6_6$v1RcRikhusT)uiwlk~}*tx1!%ZLz0*(?wiTY_}&J}^K!A*Wan z7X*bJ>JH&#zU)WJ)!c18%%hSq_&ev(u?T|GAAnHKwz)ePj{do@Ob#&2IT9I#WoJqk zExdk(5_pZl=Dt5b8WpVWEI$}@WH?8;Sco-kN%&Vu7>9U4FLe?L97;EX!ZY8SkNHMX za0IEU)&35W@l)IqNkd8$m76|=pY=%E-#@*-xNN<_6tf88wCS*{4JZ?8%n^Couv#6! zunL3lNaH*o;BhOp=!ShPJDkP8M7XKv8e>g!Bj;>U4{TTIX0MH_>lb!{PidC;7emK@ z*HDXO)qCpy^l9?+bNtdH#sTV^8rG{m=|vZ3@syUvaiq@{?GDf;BbYkyfi-|+3`$a$ zj%>g|W}yVo^8!OWKNtpOnO*-id`f)G29#6zaXg`@$rxNKI9*~jXXC@w!S#5<^Q)WM|+@4;M#6yx5) zrbSkI>*ETU_DN<@*VxdOSg9EmV?))xb;veqsk)e#SGXUo)pr#-1_jcPSD!MDZ3|Sz z2)f|l-v1MbwCC^mZ5Y==KyD<;{4)SWWrDfhF?cE1M8qkXX>#bSnAws_t6+6wm}2rs zCo6CD2L(S;Jkkw$c?FVtuSXZHTkS~1CY1xWr;fzj^@5Um2@t0(Lua^hP4))%SXFJj8aXM$-^l zWUH#6wDA{gptRF=-`T9)EClw$U;1{N^DbTQP4YVb^=abcCS zD9!U4b4|kN%0+v;&;CpWscG@#{6>r(EjkcSNYCS9Y%3aAVTv1m$vtkf<=4ra;#sAC zFydBvQ_B9PYj?J!0!(o58vKh}T2Fr__qx+a&wsjbdLcVGA{^?sjsh-Db~$IO+=MDC=4eHS zGz{=(co*!QF2VhWhS(y>;~ei%s&;!ctS!Gl@+69K#NtrW(;DZe)3A;}elC;ssy9DP z?S`o~iOg&l=ya@gZe22ogiAY65t-C(y1nZ(g$L52MS+Y8G3gkusi?ci*}ugB0FJHOPr^=e>}ixmoUa@^WCin<3@ z(u4o2y(^Du;_Bk9N-Zegr>H1uWsIc)l4Y`z2(fG`gfw9(2ujnMl}bwN@zz zQbAD^sO=|K7Lh7cM4&FM8xW8R3Q8A5s0xZwM5Xk569f|NDgDDgopX{ixpUvW_xasN20ry7cOYbzu++~An5b;kxMsEm&_Sv)rJLXn2FjivD{V^GAE2v$=H$Xkb3ZFT3<)kKJ$dUmfTwfY4t03r)Px!w>ABw z^0;ro&2Rhf1n&Byp5OS*PrB^rl?PMNvvrf*d%s#`m2+UBkhdS6rK-6e?)Gj(j;6g` z|K@SVgDJ1iZHc;?%yBPKKKPwB_vXz&gsF+j+IuX2PvK+BwuQYZ@in>7jN7+t!aSi> zU6sYYP)W@v@9<)ep_a>LbG)uE^n9FOX;D>iEN?Qilv}Q%&9d>ezJGgoIFt3MdixcQ z^N-h0chIW2Y|h-a%8o_4Mox843HPrsP5sWjM;6^Fq(v-BH-h55UHP6`d59I~%{z34g==ZK(35`U(L*fsd-SnOot$66${!W=V zk2&kZw8xXI9ygy^{q#zlC=Zr?Q@O9?1hx!3wD{NZr3L(;(MUz()x?bQi&KkQKr#vOi=t-E{A)?#(}z*;Cc=JNf7 zb(FI5tUsr>e8ByVUwYu|e6@5N@8{TkpWfcr8**+a{aVx=%c*9=xur zHf3IJ@LDv-CHqo(cFJpJ5!xDaF|Vm;>Y?t#_{ihO><6|lE|s2BJ-rfWNlFYIcKM8y z!;TuhO7KW5oM&51z8leVTiEh+<25V&Q4vMbPdeXLHtF!RZG-G4cf|*xA*rR|7aYIe z^j6-J0%k-YYEjH9sn2Ps`lvIm{@|f?#PVg7{;wI{<-QH@S}{bo$gS)M!XlbU93BPrM{fgkVppn8ayPvY^nf(Kd9+oF4g7g^| zdXDLB+R}18eZ4b2JSe}D(v_Rea%Cj2KmK^dDN)U&Els_8ytb4QY7)-Ghr<;05!CAq@4sZ?80%R}1tbIPqNt7PKW2^v9$&&jna`7fd!7LzXx zQg@eThkZUZ>)LVrU?MRCq(Z=(pRYO1tk`CqaBwld)k7{{`E}6pGo5jcgv>Xye#O6T z<;3#&y6MzK{E4@-4yA`6VdX(qoX$x5jNBq;MrHS*@^brzH*BWZ+LXlj|akM;fftz`M__L+{vfJ`?PF`-#P)sIFb^ZbyPdrTAoZaWejpms|huYP$Q1KEJjb zC!7ki>zq7mIUj->zDoV(x|>~&>xXi$jtoCQ&SXNoxU zmy7H#T11$mW$wGQ)@H?K-xeKpit5>p6l?v2iPOD8a9L;MwHuLQ#7{ciNs3Qt{TvOE zhV(z0%iZwHPrBCoJ00`nJM}@AhC@>~&RpNdEKTHQ>wlfnaevy+b^|{>=&Nc^_sf>8 zUlMq}F{;G6U||N_-OLINBrf3or7Bn*-zJ3qE+Iq(F z>CWUo>o|W+UY!2pn}3s3H98&v90>xF-{g@bHkKpQ5IGW&X00=pIfSr`rhnLY+R*5q z`lHF%HC*3kf6Ip`bSiyB5EnrOA^|EGPhz{Q(5VDMDS|@bNQ6Y;in~`?gM%a@S6ncS zOX8|LkVwhuI5iRw_gI5+G8w1&%vJd|n#q7q1WAqA#GR4Rc?qcF*^6BM9TKs+G|kzj~SBGDn3!GftQ z3KPe+fFQ_4EfTZ*Jy$-{1%A2WB2iSuA`)X_VhAx5f>Iqpgqchxkwhkv$#}ql*TgDN zfex?GEEy3pDhI18P)k&(M5%xvp@15m^heAu8L&Ug|T4^sm{Z%8y2nT{tpvq&Ttthq7gxaM&gN_C)8 zDPsq#B`BgcYVnNzTobNRBoW7&s-*?O6c!mMG*N5B`Miv0L*rjbG3I?H$AyTYX@su9 zbr>+u(I-M(Bpd@>rB%xez7+|HhzyZqd3gXlYzn+c$Pz2naskQ~s8pb=M1c90s1k`? zh~tlpdJBj`%uh=7sEH$fHy9d#M4M7admxjrS0P}WNg#JbrbO0{^cPUaC1b1x6ikH} z%y?0w3$O!~pfcn+2^d^%GSrkhZUr_8STcbk!WE~(ix9CuD?@Q?t~Wn`LWaB*pg2V< zGz{(lFsJ>r5}_3GN5lwLnSlJ0&88|1Hk(-Y()B z5jC5qLKHl)7*t(gqXHrmC@z?v#~EJsSOhbb%l&(6N7n$k+|*!06PBk^sKth>w*lYL_#r{3{ywt8nyjLoJKqi)?2X76UP?% z7slhrqfBn z2_Z6O_$Rn5#zRF=Gwq6t0fFG(#IQ!S;D%@j?8UK=!rqgGMMU6uN-E4C(G0=RQW9yY z1JO;3Mk>|zCYx&qqMKY#L+#V{fm1*2O@}SOm)y;3fb8Z`HbiY#P-?t|8A4) zFdkOx)QH&90-QDozQ!(yPNPw15cU9EFqPp<0dYHaL5fitC{G6=xe1L%1O0x1Mka$g vYEwRECe4TsRSP6C1e`32ogXTRLm)aZ&jM@$)#!+IFbu4-v-9Guw6yp?(xYpC literal 0 HcmV?d00001 diff --git a/src/DisplayDecoder.v b/src/DisplayDecoder.v new file mode 100644 index 0000000..697c456 --- /dev/null +++ b/src/DisplayDecoder.v @@ -0,0 +1,43 @@ +module SevenSegmentDisplayDecoder(i_Clk, ssOut, nIn); + output reg [6:0] ssOut; + input [3:0] nIn; + input i_Clk; + + // ssOut format {g, f, e, d, c, b, a} + + always @(posedge i_Clk) + case (nIn) + 4'h0: ssOut = 7'b1000000; + 4'h1: ssOut = 7'b1111001; + 4'h2: ssOut = 7'b0100100; + 4'h3: ssOut = 7'b0110000; + 4'h4: ssOut = 7'b0011001; + 4'h5: ssOut = 7'b0010010; + 4'h6: ssOut = 7'b0000010; + 4'h7: ssOut = 7'b1111000; + 4'h8: ssOut = 7'b0000000; + 4'h9: ssOut = 7'b0011000; + 4'hA: ssOut = 7'b0001000; + 4'hB: ssOut = 7'b0000011; + 4'hC: ssOut = 7'b1000110; + 4'hD: ssOut = 7'b0100001; + 4'hE: ssOut = 7'b0000110; + 4'hF: ssOut = 7'b0001110; + endcase +endmodule + +module SevenSegmentPFD(i_Clk, ssOut, nIn); //1=P,2=F,3=D + output reg [6:0] ssOut; + input [1:0] nIn; + input i_Clk; + + // ssOut format {g, f, e, d, c, b, a + + always @(posedge i_Clk) + case (nIn) + 2'h0: ssOut = 7'b1111111; + 2'h1: ssOut = 7'b0001100; + 2'h2: ssOut = 7'b0001110; + 2'h3: ssOut = 7'b0100001; + endcase +endmodule diff --git a/src/alu.v b/src/alu.v new file mode 100644 index 0000000..1cb4bd8 --- /dev/null +++ b/src/alu.v @@ -0,0 +1,278 @@ +/* ALU.v +* Author: Pravin P. Prabhu +* Last Revision: 1/5/11 +* Abstract: +* Provides functions of the arithmetic logic unit, including calculations and +* branch resolution. +*/ +module alu #( + parameter DATA_WIDTH = 32, + parameter CTLCODE_WIDTH = 8 + ) + ( // Inputs + input i_Valid, // Whether input to ALU is valid + input [CTLCODE_WIDTH-1:0] i_ALUCTL, + input signed [DATA_WIDTH-1:0] i_Operand1, + input signed [DATA_WIDTH-1:0] i_Operand2, + + // Outputs + output reg o_Valid, + output reg [DATA_WIDTH-1:0] o_Result, // The computational result + output reg o_Branch_Valid, + output reg o_Branch_Outcome, // The branch result + output reg [15:0] o_Pass_Done_Value, // reports the value of a PASS/FAIL/DONE instruction + output reg [1:0] o_Pass_Done_Change // indicates the above signal is meaningful + // 1 = pass, 2 = fail, 3 = done + ); + +// Constants +localparam ALUCTL_NOP = 0; // No Operation (noop) +localparam ALUCTL_ADD = 1; // Add (signed) +localparam ALUCTL_ADDU = 2; // Add (unsigned) +localparam ALUCTL_SUB = 3; // Subtract (signed) +localparam ALUCTL_SUBU = 4; // Subtract (unsigned) +localparam ALUCTL_AND = 5; // AND +localparam ALUCTL_OR = 6; // OR +localparam ALUCTL_XOR = 7; // XOR +localparam ALUCTL_SLT = 8; // Set on Less Than +localparam ALUCTL_SLTU = 9; // Set on Less Than (unsigned) +localparam ALUCTL_SLL = 10; // Shift Left Logical +localparam ALUCTL_SRL = 11; // Shift Right Logical +localparam ALUCTL_SRA = 12; // Shift Right Arithmetic +localparam ALUCTL_SLLV = 13; // Shift Left Logical Variable +localparam ALUCTL_SRLV = 14; // Shift Right Logical Variable +localparam ALUCTL_SRAV = 15; // Shift Right Arithmetic Variable +localparam ALUCTL_NOR = 16; // NOR +localparam ALUCTL_LUI = 17; // Load Upper Immediate +localparam ALUCTL_MTCO_PASS = 18; // Move to Coprocessor (PASS) +localparam ALUCTL_MTCO_FAIL = 19; // Move to Coprocessor (FAIL) +localparam ALUCTL_MTCO_DONE = 20; // Move to Coprocessor (DONE) + +localparam ALUCTL_BA = 32; // Unconditional branch +localparam ALUCTL_BEQ = 33; +localparam ALUCTL_BNE = 34; +localparam ALUCTL_BLEZ = 35; +localparam ALUCTL_BGTZ = 36; +localparam ALUCTL_BGEZ = 37; +localparam ALUCTL_BLTZ = 38; + +localparam ALUCTL_J = 64; +localparam ALUCTL_JAL = 65; +localparam ALUCTL_JR = 66; +localparam ALUCTL_JALR = 67; + + // MTC0 codes - Did we pass/fail a test or reach the done state? +localparam MTC0_NOOP = 2'd0; // No significance +localparam MTC0_PASS = 2'd1; // Passed a test +localparam MTC0_FAIL = 2'd2; // Failed a test +localparam MTC0_DONE = 2'd3; // Have completed execution + + // Combinatorial logic - Compute ALU results asynchronously +always @(*) +begin + // Default outputs to 0, assign them in case if need be + o_Valid <= 0; + o_Result <= {DATA_WIDTH{1'b0}}; + o_Branch_Valid <= 1'b0; + o_Branch_Outcome <= 1'b0; + o_Pass_Done_Value <= 16'b0; + o_Pass_Done_Change <= MTC0_NOOP; + + // Only act upon input if it's valid + if( i_Valid ) + begin + o_Valid <= 1'b1; + + // Case: Which opcode are we looking at? What operands do we use? + // Produce o_Result. Also, resolve branches. + case ( i_ALUCTL ) + ALUCTL_ADD: + begin + o_Result <= i_Operand1 + i_Operand2; // add + end + + ALUCTL_ADDU: + begin + o_Result <= i_Operand1 + i_Operand2; // add unsigned, ignoring overflow + end + + ALUCTL_SUB: + begin + o_Result <= i_Operand1 - i_Operand2; // sub + end + + ALUCTL_SUBU: + begin + o_Result <= i_Operand1 - i_Operand2; // sub unsigned, ignoring overflow + end + + ALUCTL_AND: + begin + o_Result <= i_Operand1 & i_Operand2; // and + end + + ALUCTL_OR: + begin + o_Result <= i_Operand1 | i_Operand2; // or + end + + ALUCTL_XOR: + begin + o_Result <= i_Operand1 ^ i_Operand2; // xor + end + + ALUCTL_SLT: + begin + o_Result <= $signed(i_Operand1) < $signed(i_Operand2); + //(i_Operand1 < i_Operand2); //slt + end + + ALUCTL_SLTU: + begin + o_Result <= {1'b0,i_Operand1} < {1'b0,i_Operand2}; // sltu + end + + ALUCTL_SLL: + begin + o_Result <= i_Operand1 << $unsigned(i_Operand2); // sll + end + + ALUCTL_SRL: + begin + o_Result <= i_Operand1 >> $unsigned(i_Operand2); // srl + end + + ALUCTL_SRA: + begin + o_Result <= i_Operand1 >>> $unsigned(i_Operand2); // sra + end + + ALUCTL_SLLV: + begin + o_Result <= i_Operand2 << i_Operand1[4:0]; // sllv + end + + ALUCTL_SRLV: + begin + o_Result <= i_Operand2 >> i_Operand1[4:0]; // srlv + end + + ALUCTL_SRAV: + begin + o_Result <= i_Operand2 >>> i_Operand1[4:0]; // srav + end + + ALUCTL_NOR: + begin + o_Result <= ~(i_Operand1 | i_Operand2); // nor + end + + ALUCTL_LUI: + begin + o_Result <= {i_Operand2[15:0],16'h0000}; //lui + end + + ALUCTL_MTCO_PASS: // MTC0 -- redefined for our purposes. + begin + $display("PASS test %x\n", i_Operand2); + o_Pass_Done_Change <= MTC0_PASS; + o_Pass_Done_Value <= i_Operand2[15:0]; + end + + ALUCTL_MTCO_FAIL: + begin + $display("FAIL test %x\n", i_Operand2); + o_Pass_Done_Change <= MTC0_FAIL; + o_Pass_Done_Value <= i_Operand2[15:0]; + end + + ALUCTL_MTCO_DONE: + begin + $display("DONE test %x\n", i_Operand2); + o_Pass_Done_Change <= MTC0_DONE; + o_Pass_Done_Value <= i_Operand2[15:0]; + end + + //========================= + // Branches + ALUCTL_BA: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= 1; + end + + ALUCTL_BEQ: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= (i_Operand1 == i_Operand2); + end + + ALUCTL_BNE: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= (i_Operand1 != i_Operand2); + end + + ALUCTL_BLEZ: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= (i_Operand1[DATA_WIDTH-1] || (i_Operand1==0)); + end + + ALUCTL_BGTZ: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= (!i_Operand1[DATA_WIDTH-1] && (i_Operand1!=0)); + end + + ALUCTL_BGEZ: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= (!i_Operand1[DATA_WIDTH-1]); + end + + ALUCTL_BLTZ: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= (i_Operand1[DATA_WIDTH-1]); + end + + //=========== + // Jumps + ALUCTL_J: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= 1; + end + + ALUCTL_JR: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= 1; + end + + ALUCTL_JAL: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= 1; + o_Result <= i_Operand2; + end + + ALUCTL_JALR: + begin + o_Branch_Valid <= 1; + o_Branch_Outcome <= 1; + o_Result <= i_Operand2; + end + + default: + begin + // synthesis translate_off + $display("%x:illegal ALU ctl code %b\n", 0, i_ALUCTL); + // synthesis translate_on + end + endcase + end +end + +endmodule diff --git a/src/d_cache.v b/src/d_cache.v new file mode 100644 index 0000000..01ce658 --- /dev/null +++ b/src/d_cache.v @@ -0,0 +1,393 @@ +/* d_cache.v +* Sam Chan, Tony Medeiros, Dean Tullsen, Todor Mollov, and Pravin Prabhu +* Abstract: +* This is code for a direct-mapped cache, with 16-byte lines and 512 lines, for +* an 8 KB DM cache. 16-byte cache lines are formed via four one-word (four byte) +* banks. This is done so that we can do a MIPS sw in a single access, rather than 2 +* accesses (read whole line, modify the correct word, write back the line). This +* was done so that Quartus would map the cache data to RAM blocks. Thus, changing +* the size of this cache is pretty easy, changing the associativity is a bit more +* challenging, since the DM cache doesn't need any code for associativity, and +* changing the line size is very messy since it changes the number of banks. +* If someone wants to clean this up (e.g., paramterize the number of banks), please +* let me know. +*/ + +module d_cache #( + parameter DATA_WIDTH = 32, + parameter TAG_WIDTH = 10, + parameter INDEX_WIDTH = 9, + parameter BLOCK_OFFSET_WIDTH = 2, + parameter MEM_MASK_WIDTH = 3 + ) + ( // Inputs + input i_Clk, + input i_Reset_n, + input i_Valid, + input [MEM_MASK_WIDTH-1:0] i_Mem_Mask, + input [(TAG_WIDTH+INDEX_WIDTH+BLOCK_OFFSET_WIDTH)-1:0] i_Address, // 32-bit aligned address + input i_Read_Write_n, + input [DATA_WIDTH-1:0] i_Write_Data, + + // Outputs + output o_Ready, + output reg o_Valid, // If done reading out a value. + output [DATA_WIDTH-1:0] o_Data, + + // Mem Transaction + output reg o_MEM_Valid, + output reg o_MEM_Read_Write_n, + output reg [(TAG_WIDTH+INDEX_WIDTH+BLOCK_OFFSET_WIDTH):0] o_MEM_Address, // output 2-byte aligned addresses + output reg [DATA_WIDTH-1:0] o_MEM_Data, + input i_MEM_Valid, + input i_MEM_Data_Read, + input i_MEM_Last, + input [DATA_WIDTH-1:0] i_MEM_Data + ); + + // consts + localparam DEBUG = 1'b1; + localparam FALSE = 1'b0; + localparam TRUE = 1'b1; + localparam UNKNOWN = 1'bx; + + localparam READ = 1'b1; + localparam WRITE = 1'b0; + + localparam ADDRESS_WIDTH = TAG_WIDTH+INDEX_WIDTH+BLOCK_OFFSET_WIDTH; + + wire debug; + // Internal + // Reg'd inputs + reg [(TAG_WIDTH+INDEX_WIDTH+BLOCK_OFFSET_WIDTH)-1:0] r_i_Address; + reg [BLOCK_OFFSET_WIDTH:0] r_i_BlockOffset; + reg [INDEX_WIDTH-1:0] r_i_Index; + reg [TAG_WIDTH-1:0] r_i_Tag; + reg [DATA_WIDTH-1:0] r_i_Write_Data, r_o_Data; + reg r_i_Read_Write_n; + + // Parsing + wire [BLOCK_OFFSET_WIDTH-1:0] i_BlockOffset = i_Address[BLOCK_OFFSET_WIDTH-1:0]; + wire [INDEX_WIDTH-1:0] i_Index = i_Address[INDEX_WIDTH+BLOCK_OFFSET_WIDTH-1:BLOCK_OFFSET_WIDTH]; + wire [TAG_WIDTH-1:0] i_Tag = i_Address[TAG_WIDTH+INDEX_WIDTH+BLOCK_OFFSET_WIDTH-1:INDEX_WIDTH+BLOCK_OFFSET_WIDTH]; + + // Tags + reg [TAG_WIDTH-1:0] Tag_Array [0:(1< bgez : 0 <=> bltz + o_Branch_Target <= i_PC + 22'd1 + {{(ADDRESS_WIDTH-16){i_Instruction[15]}},i_Instruction[15:0]}; + end + + 6'h07: //bgtz + begin + o_Is_Branch <= TRUE; + o_Uses_ALU <= TRUE; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Uses_RT <= TRUE; + o_RT_Addr <= i_Instruction[20:16]; + o_ALUCTL <= ALUCTL_BGTZ; + o_Branch_Target <= i_PC + 22'd1 + {{(ADDRESS_WIDTH-16){i_Instruction[15]}},i_Instruction[15:0]}; + if( !i_Stall && DEBUG ) + $display("%x: %x (Branch on Greater)",i_PC,i_Instruction); + end + + 6'h02: // j + begin + o_Is_Branch <= TRUE; + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_J; + //o_Branch_Target <= {i_PC[31:26],i_Instruction[25:0]}; + o_Branch_Target <= i_Instruction[21:0]; + if( !i_Stall && DEBUG ) + $display("%x: %x (Jump)",i_PC,i_Instruction); + end + + 6'h03: // jal + begin + o_Is_Branch <= TRUE; + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_JAL; + //o_Branch_Target <= {i_PC[31:26],i_Instruction[25:0]}; + o_Branch_Target <= i_Instruction[21:0]; + o_Uses_Immediate <= TRUE; + o_Immediate <= (i_PC + 2); + o_Writes_Back <= TRUE; + o_Write_Addr <= 31; // Jump And Link always stores the PC into reg 31. + if( !i_Stall && DEBUG ) + $display("%x: %x (Jump and Link)",i_PC,i_Instruction); + end + + 6'h20: //lb + begin + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_ADD; + o_Mem_Valid <= TRUE; + o_Mem_Read_Write_n <= READ; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Uses_Immediate <= TRUE; + o_Immediate <= {{16{i_Instruction[15]}},i_Instruction[15:0]}; + o_Writes_Back <= TRUE; + o_Write_Addr <= i_Instruction[20:16]; + o_Mem_Mask <= 0; + end + + 6'h24: //lbu + begin + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_ADD; + o_Mem_Valid <= TRUE; + o_Mem_Read_Write_n <= READ; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Uses_Immediate <= TRUE; + o_Immediate <= {{16{i_Instruction[15]}},i_Instruction[15:0]}; + o_Writes_Back <= TRUE; + o_Write_Addr <= i_Instruction[20:16]; + o_Mem_Mask <= 1; + end + + 6'h21: //lh + begin + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_ADD; + o_Mem_Valid <= TRUE; + o_Mem_Read_Write_n <= READ; + o_Uses_Immediate <= TRUE; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Immediate <= {{16{i_Instruction[15]}},i_Instruction[15:0]}; + o_Writes_Back <= TRUE; + o_Write_Addr <= i_Instruction[20:16]; + o_Mem_Mask <= 2; + end + + 6'h25: //lhu + begin + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_ADD; + o_Mem_Valid <= TRUE; + o_Mem_Read_Write_n <= READ; + o_Uses_Immediate <= TRUE; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Uses_Immediate <= TRUE; + o_Immediate <= {{16{i_Instruction[15]}},i_Instruction[15:0]}; + o_Writes_Back <= TRUE; + o_Write_Addr <= i_Instruction[20:16]; + o_Mem_Mask <= 3; + end + + 6'h23: //lw + begin + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_ADD; + o_Mem_Valid <= TRUE; + o_Mem_Read_Write_n <= READ; + o_Uses_Immediate <= TRUE; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Uses_Immediate <= TRUE; + o_Immediate <= {{16{i_Instruction[15]}},i_Instruction[15:0]}; + o_Writes_Back <= TRUE; + o_Write_Addr <= i_Instruction[20:16]; + o_Mem_Mask <= 4; + end + + 6'h28: //sb + begin + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_ADD; + o_Mem_Valid <= TRUE; + o_Mem_Read_Write_n <= WRITE; + o_Uses_Immediate <= TRUE; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Uses_RT <= TRUE; + o_RT_Addr <= i_Instruction[20:16]; + o_Uses_Immediate <= TRUE; + o_Immediate <= {{16{i_Instruction[15]}},i_Instruction[15:0]}; + o_Mem_Mask <= 0; + end + + 6'h29: //sh + begin + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_ADD; + o_Mem_Valid <= TRUE; + o_Mem_Read_Write_n <= WRITE; + o_Uses_Immediate <= TRUE; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Uses_RT <= TRUE; + o_RT_Addr <= i_Instruction[20:16]; + o_Uses_Immediate <= TRUE; + o_Immediate <= {{16{i_Instruction[15]}},i_Instruction[15:0]}; + o_Mem_Mask <= 2; + end + + 6'h2b: //sw + begin + o_Uses_ALU <= TRUE; + o_ALUCTL <= ALUCTL_ADD; + o_Mem_Valid <= TRUE; + o_Mem_Read_Write_n <= WRITE; + o_Uses_Immediate <= TRUE; + o_Uses_RS <= TRUE; + o_RS_Addr <= i_Instruction[25:21]; + o_Uses_RT <= TRUE; + o_RT_Addr <= i_Instruction[20:16]; + o_Uses_Immediate <= TRUE; + o_Immediate <= {{16{i_Instruction[15]}},i_Instruction[15:0]}; + o_Mem_Mask <= 4; + end + + 6'h10: //mtc0 + begin + + o_Uses_ALU <= TRUE; + o_Uses_RT <= TRUE; + o_RT_Addr <= i_Instruction[20:16]; + casex(i_Instruction[15:11]) + 5'h17: + begin + o_ALUCTL <= ALUCTL_MTCO_PASS; + if( !i_Stall && DEBUG ) + $display("%x: %x (MTC0 Pass)",i_PC,i_Instruction); + end + + 5'h18: + begin + o_ALUCTL <= ALUCTL_MTCO_FAIL; + if( !i_Stall && DEBUG ) + $display("%x: %x (MTC0 Fail)",i_PC,i_Instruction); + end + + 5'h19: + begin + o_ALUCTL <= ALUCTL_MTCO_DONE; + if( !i_Stall && DEBUG ) + $display("%x: %x (MTC0 Done)",i_PC,i_Instruction); + end + + default: + begin + o_ALUCTL <= ALUCTL_NOP; + $display("%t Invalid MTC0 value %X",$realtime, i_Instruction[15:11]); + end + endcase + end + + default: + begin + // synthesis translate_off + if( !i_Stall && DEBUG ) + $display("illegal i_Instruction[31:26] %b\n", i_Instruction[31:26]); + // synthesis translate_on + end + endcase +end + +endmodule diff --git a/src/fetch_unit.v b/src/fetch_unit.v new file mode 100644 index 0000000..b6b5c10 --- /dev/null +++ b/src/fetch_unit.v @@ -0,0 +1,48 @@ +// Fetch Unit +// Author: Pravin P. Prabhu +// Version: 1.0 +// Last Revision: 7/10/10 +// Abstract: +// This module provides instructions to the rest of the pipeline. + +module fetch_unit #( + parameter ADDRESS_WIDTH = 32, + parameter DATA_WIDTH = 32 + ) + ( // Inputs + input i_Clk, + input i_Reset_n, + input i_Stall, + + input i_Load, + input [ADDRESS_WIDTH-1:0] i_Load_Address, + + // Outputs + output reg [ADDRESS_WIDTH-1:0] o_PC + ); + + // PC incrementing state machine +always @(posedge i_Clk or negedge i_Reset_n) +begin + if( !i_Reset_n ) + begin + o_PC <= 0; + end + else + begin + if( !i_Stall ) + begin + // If not stalled, we can change the PC + if( i_Load ) + begin + o_PC <= i_Load_Address; + end + else + begin + o_PC <= o_PC + 1'b1; + end + end + end +end + +endmodule diff --git a/src/flashreader.v b/src/flashreader.v new file mode 100644 index 0000000..a6600f0 --- /dev/null +++ b/src/flashreader.v @@ -0,0 +1,163 @@ +/* flashreader.v +* Author: Todor Mollov +* Last Revision: 1/11/11 +* Abstract: +* After being reset, will automatically copy a given number of words (user +* specified) from flash to main memory. It is possible to specify an offset +* from which to begin the block copy from flash, but typically this should be +* left at 0. Note that it is unadvised to change the period/timing values!! +*/ +module flashreader +#( + // Params for overall access + parameter WORDS_TO_LOAD = 32'h100000, // the ultimate loader + + // Params for clock + parameter CLOCK_PERIOD_PS = 10_000, // Clock period in ps + + // Parameterizations for dram + + parameter DRAM_ADDR_WIDTH = 22, // Bits in the whole address + parameter DRAM_BASE_ADDR = {DRAM_ADDR_WIDTH{1'b0}}, // Base address to start dumping + parameter DRAM_DATA_WIDTH = 32, // Bits per data burst to the dram + parameter DRAM_DATA_BURST_COUNT = 4, // How many widths of data the dram expects per write + + // Parameterizations for flash + parameter FLASH_BASE_ADDR = 22'd0, // Base addr to load from flash + parameter FLASH_ADDR_WIDTH = 22, // How many bits in the addr. of flash + parameter FLASH_DATA_WIDTH = 8, // Bits per data line + parameter FLASH_READ_WAIT_TIME_PS = 90000 // How many ps it takes after a read request to provide data +) +( + // User interface + // Inputs + input i_Clk, // Mem clk + input i_Reset_n, // Resets the internal state machine, initiates another copy + + // Outputs + output reg o_Done, // When finished w/ block copy, done is raised + + // SDRAM Interface + // General interface + output reg [(DRAM_ADDR_WIDTH-1):0] o_SDRAM_Addr, // Addr we want to write to + output reg o_SDRAM_Req_Valid, // Whether the request is valid or not + output o_SDRAM_Read_Write_n, // Whether we're doing a write or not (ALWAYS WRITE) + + // Write input data interface + output reg [(DRAM_DATA_WIDTH-1):0] o_SDRAM_Data, // The data we are going to write + input i_SDRAM_Data_Read, // Feedback from ram - was the data read? + input i_SDRAM_Last, // Indicates that the ram is on the last word of the transaction + + // Flash interface + output reg [(FLASH_ADDR_WIDTH-1):0] o_FL_Addr, // Flash address + input [(FLASH_DATA_WIDTH-1):0] i_FL_Data, // Input data from the flash + output o_FL_Chip_En_n, // Chip enable + output o_FL_Output_En_n, // Output enable + output o_FL_Write_En_n, // Write enable + output o_FL_Reset_n // Reset +); + +// Constants +localparam FLASH_READ_WAIT_CYCLES = ((FLASH_READ_WAIT_TIME_PS/CLOCK_PERIOD_PS) + 4'd1); // How many cycles that must be waited for after issuing a read req. +localparam FLASH_READS_PER_LINE = ((DRAM_DATA_WIDTH)/(FLASH_DATA_WIDTH))*DRAM_DATA_BURST_COUNT; // # of flash reads per line for dmem + +// Hardwired assignments + // For dmem +assign o_SDRAM_Read_Write_n = 0; // Only do writes + + // For flash +assign o_FL_Chip_En_n = 0; // Flash always on +assign o_FL_Output_En_n = 0; // Always output (only reading) +assign o_FL_Write_En_n = 1; // Never write +assign o_FL_Reset_n = 1; // Do not request resets + +// Internal registers + // Write buffer; data is stored here temporarily before being written out to the main memory +reg [(FLASH_DATA_WIDTH-1):0] dmem_write_buf[(DRAM_DATA_WIDTH/FLASH_DATA_WIDTH)*DRAM_DATA_BURST_COUNT-1:0]; + +reg [(FLASH_DATA_WIDTH-1):0] FL_Data_Reg; + +reg [3:0] FlashReadCount; +reg [3:0] FlashWaitCount; +reg [1:0] DRAMWriteCount; + +// States +reg [1:0] State; + +localparam FS_LOAD_LINE = 2'd0; +localparam FS_DMEM_REQ = 2'd1; +localparam FS_DMEM_WRITE = 2'd2; +localparam FS_DONE = 2'd3; + +always @(posedge i_Clk or negedge i_Reset_n) +begin + if( ~i_Reset_n ) + begin + FL_Data_Reg <= 0; + State <= FS_LOAD_LINE; + FlashReadCount <= 0; + FlashWaitCount <= FLASH_READ_WAIT_CYCLES; + DRAMWriteCount <= 0; + o_SDRAM_Addr <= DRAM_BASE_ADDR; + + o_Done <= 0; + + o_FL_Addr <= FLASH_BASE_ADDR; + o_SDRAM_Req_Valid <= 1'b0; + end + else + begin + FL_Data_Reg <= i_FL_Data; + case(State) + FS_LOAD_LINE: + begin + if (FlashWaitCount == 0) + begin + o_FL_Addr <= o_FL_Addr+22'd1; + dmem_write_buf[FlashReadCount] <= FL_Data_Reg; + FlashReadCount <= FlashReadCount+4'd1; + FlashWaitCount <= FLASH_READ_WAIT_CYCLES; + + if (FlashReadCount == (FLASH_READS_PER_LINE-1)) + begin + State <= FS_DMEM_REQ; + end + end + else + FlashWaitCount <= FlashWaitCount-4'd1; + end + FS_DMEM_REQ: + begin + DRAMWriteCount <= DRAMWriteCount+2'd1; + o_SDRAM_Data <= {dmem_write_buf[DRAMWriteCount*4],dmem_write_buf[DRAMWriteCount*4+1],dmem_write_buf[DRAMWriteCount*4+2],dmem_write_buf[DRAMWriteCount*4+3]}; + o_SDRAM_Req_Valid <= 1'b1; + State <= FS_DMEM_WRITE; + end + FS_DMEM_WRITE: + begin + if (i_SDRAM_Data_Read & !i_SDRAM_Last) + begin + DRAMWriteCount <= DRAMWriteCount+2'd1; + o_SDRAM_Addr <= o_SDRAM_Addr + 22'd2; + o_SDRAM_Data <= {dmem_write_buf[DRAMWriteCount*4],dmem_write_buf[DRAMWriteCount*4+1],dmem_write_buf[DRAMWriteCount*4+2],dmem_write_buf[DRAMWriteCount*4+3]}; + end + else if (i_SDRAM_Last) + begin + o_SDRAM_Req_Valid <= 1'b0; + o_SDRAM_Addr <= o_SDRAM_Addr + 22'd2; + if (o_FL_Addr[21:0] == {WORDS_TO_LOAD[19:0],2'b0}) + State <= FS_DONE; + else + State <= FS_LOAD_LINE; + end + end + FS_DONE: + begin + o_Done <= 1'b1; + end + endcase + end +end + +endmodule + diff --git a/src/forwarding_unit.v b/src/forwarding_unit.v new file mode 100644 index 0000000..0ee2bf3 --- /dev/null +++ b/src/forwarding_unit.v @@ -0,0 +1,108 @@ +/* forwarding_unit.v +* Author: Pravin P. Prabhu +* Last Revision: 1/5/11 +* Abstract: +* Provides forwarding support to the pipeline (i.e. instructions that have +* completed in later stages can have their results forwarded to newer +* instructions that require them -- this improves performance by resolving +* data dependencies without requiring a stall). +*/ +module forwarding_unit #( parameter DATA_WIDTH=32, + parameter REG_ADDR_WIDTH=5 + ) + ( + //============================================== + // Hazard in DECODE? + input i_DEC_Uses_RS, // DEC wants to use RS + input [REG_ADDR_WIDTH-1:0] i_DEC_RS_Addr, // RS request addr. + input i_DEC_Uses_RT, // DEC wants to use RT + input [REG_ADDR_WIDTH-1:0] i_DEC_RT_Addr, // RT request addr. + input [DATA_WIDTH-1:0] i_DEC_RS_Data, + input [DATA_WIDTH-1:0] i_DEC_RT_Data, + + // Feedback from EX + input i_EX_Writes_Back, // EX is valid for analysis + input i_EX_Valid, // If it's a valid ALU op or not + input [REG_ADDR_WIDTH-1:0] i_EX_Write_Addr, // What EX will write to + input [DATA_WIDTH-1:0] i_EX_Write_Data, + + // Feedback from MEM + input i_MEM_Writes_Back, // MEM is valid for analysis + input [REG_ADDR_WIDTH-1:0] i_MEM_Write_Addr, // What MEM will write to + input [DATA_WIDTH-1:0] i_MEM_Write_Data, + + // Feedback from WB + input i_WB_Writes_Back, // WB is valid for analysis + input [REG_ADDR_WIDTH-1:0] i_WB_Write_Addr, // What WB will write to + input [DATA_WIDTH-1:0] i_WB_Write_Data, + + //=============================================== + // IFetch forwarding + + // None + + // DEC forwarding + output reg [DATA_WIDTH-1:0] o_DEC_RS_Override_Data, + output reg [DATA_WIDTH-1:0] o_DEC_RT_Override_Data + + // EX forwarding + //output reg [DATA_WIDTH-1:0] , + + // MEM forwarding + ); + + // Forwarding to DECODE + always @(*) + begin + o_DEC_RS_Override_Data <= i_DEC_RS_Data; + o_DEC_RT_Override_Data <= i_DEC_RT_Data; + + // Do we need to forward from EX back to DECODE? - RS FORWARDING + if( i_DEC_Uses_RS && + i_EX_Writes_Back && + i_EX_Valid && // Is it a valid ALU op? + (i_DEC_RS_Addr == i_EX_Write_Addr) + ) + begin + o_DEC_RS_Override_Data <= i_EX_Write_Data; + end + else if( i_DEC_Uses_RS && // Forward from MEM? + i_MEM_Writes_Back && + (i_DEC_RS_Addr == i_MEM_Write_Addr) + ) + begin + o_DEC_RS_Override_Data <= i_MEM_Write_Data; + end + else if( i_DEC_Uses_RS && + i_WB_Writes_Back && + (i_DEC_RS_Addr == i_WB_Write_Addr) ) + begin + o_DEC_RS_Override_Data <= i_WB_Write_Data; + end + + // Do we need to forward from EX back to DECODE? - RT FORWARDING + if( i_DEC_Uses_RT && + i_EX_Writes_Back && + i_EX_Valid && + (i_DEC_RT_Addr == i_EX_Write_Addr) + ) + begin + o_DEC_RT_Override_Data <= i_EX_Write_Data; + end + else if( i_DEC_Uses_RT && // Forward from MEM? + i_MEM_Writes_Back && + (i_DEC_RT_Addr == i_MEM_Write_Addr) + ) + begin + o_DEC_RT_Override_Data <= i_MEM_Write_Data; + end + else if( i_DEC_Uses_RT && + i_WB_Writes_Back && + (i_DEC_RT_Addr == i_WB_Write_Addr) ) + begin + o_DEC_RT_Override_Data <= i_WB_Write_Data; + end + end + +endmodule + \ No newline at end of file diff --git a/src/hazard_detection_unit.v b/src/hazard_detection_unit.v new file mode 100644 index 0000000..3806638 --- /dev/null +++ b/src/hazard_detection_unit.v @@ -0,0 +1,283 @@ +/* hazard_detection_unit.v +* Author: Pravin P. Prabhu +* Last Revision: 1/5/11 +* Abstract: +* Contains all of the nightmarish logic for determining when the processor +* should stall, and how it should stall. You are not expected to understand this. +*/ +module hazard_detection_unit #( parameter DATA_WIDTH=32, + parameter ADDRESS_WIDTH=32, + parameter REG_ADDR_WIDTH=5 + ) + ( + input i_Clk, + input i_Reset_n, + + //============================================== + // Info about processor's overall state + input i_FlashLoader_Done, // Whether the flashloader has completed operation yet or not + input i_Done, // Whether we have observed the 'done' signal or not + + //============================================== + // Hazard in DECODE? + input i_DEC_Uses_RS, // DEC wants to use RS + input [REG_ADDR_WIDTH-1:0] i_DEC_RS_Addr, // RS request addr. + input i_DEC_Uses_RT, // DEC wants to use RT + input [REG_ADDR_WIDTH-1:0] i_DEC_RT_Addr, // RT request addr. + input i_DEC_Branch_Instruction, // There is a branch inst. in DEC. + + //=============================================== + // Feedback from IF + input i_IF_Done, // If IF's value has reached steady state + + // Feedback from EX + input i_EX_Writes_Back, // EX is valid for analysis + input i_EX_Uses_Mem, + input [REG_ADDR_WIDTH-1:0] i_EX_Write_Addr, // What EX will write to + input i_EX_Branch, // If EX says we are branching + input [ADDRESS_WIDTH-1:0] i_EX_Branch_Target, + + // Feedback from MEM + input i_MEM_Uses_Mem, // If it's a memop + input i_MEM_Writes_Back, // MEM is valid for analysis + input [REG_ADDR_WIDTH-1:0] i_MEM_Write_Addr, // What MEM will write to + input i_MEM_Done, // If MEM's value has reached steady state + + + // Feedback from WB + input i_WB_Writes_Back, // WB is valid for analysis + input [REG_ADDR_WIDTH-1:0] i_WB_Write_Addr, // What WB will write to + + //=============================================== + // Branch hazard handling + output o_IF_Branch, + output [ADDRESS_WIDTH-1:0] o_IF_Branch_Target, + + //=============================================== + // IFetch validation + output reg o_IF_Stall, + output o_IF_Smash, + + // DECODE validation + output reg o_DEC_Stall, // Causes decode stage to stall + output reg o_DEC_Smash, // Smashes out contents of decode stage + + // EX validation + output reg o_EX_Stall, + output reg o_EX_Smash, + + // MEM validation + output reg o_MEM_Stall, + output reg o_MEM_Smash, + + output reg o_WB_Stall, + output reg o_WB_Smash + ); + // Consts + localparam FALSE = 1'b0; + localparam TRUE = 1'b1; + + // Internal wiring + wire Executing = i_FlashLoader_Done && !i_Done; // If 1, then we are currently executing code. + + // Registers for latching branches during stall periods + reg r_Branch_IF_Hazard_Smash; // If 1, then we must smash the next inst coming out of IF -- there was a branch while it was busy. + reg r_IF_Smash_Transient; + reg r_IF_Load; + reg [ADDRESS_WIDTH-1:0] r_IF_Load_Address; + + // Branch handling + assign o_IF_Smash = (r_Branch_IF_Hazard_Smash || r_IF_Smash_Transient); + assign o_IF_Branch = i_EX_Branch || r_IF_Load; + assign o_IF_Branch_Target = i_EX_Branch ? i_EX_Branch_Target : r_IF_Load_Address; + + // Hazard prevention: Smash IF instructions that are partway + // fetched as we recognize a branch. Stop the instruction that + // will emerge from imem from propogating through the pipeline + // erroneously. + always @(posedge i_Clk or negedge i_Reset_n) + begin + if( !i_Reset_n ) + begin + r_Branch_IF_Hazard_Smash <= FALSE; + end + else + begin + if( i_EX_Branch && !i_IF_Done ) // Hazard - if we had to branch during IMEM's busy period (likely), then record the smash request in this register. Smash inst upon it being ready. + begin + r_Branch_IF_Hazard_Smash <= TRUE; + end + else if( i_IF_Done && r_Branch_IF_Hazard_Smash ) // Inst from IMEM is now valid - we must smash it. Account for the smash on this cycle by pulling down reg'd smash signal. + begin + r_Branch_IF_Hazard_Smash <= FALSE; + end + end + end + + // Hazard prevention: If we recognize a branch, but IF is stalling, + // then we should record the branch and apply it as soon as IF is + // done stalling. + always @(posedge i_Clk or negedge i_Reset_n) + begin + if( !i_Reset_n ) + begin + r_IF_Load <= FALSE; + r_IF_Load_Address <= {ADDRESS_WIDTH{1'bx}}; + end + else + begin + if( o_IF_Stall && + i_EX_Branch ) + begin + // Branch during a stalling period. Hold on to the branch. + r_IF_Load <= TRUE; + r_IF_Load_Address <= i_EX_Branch_Target; + end + else if( r_IF_Load && + !o_IF_Stall ) + begin + // Came out of the stall and we had a reg'd branch request. Clear it. + r_IF_Load <= FALSE; + end + end + end + + + //============================================= + // Validation + + // IF validation + always @(*) + begin + o_IF_Stall <= FALSE; + r_IF_Smash_Transient <= FALSE; + + // If flashloader is done, check for other conditions + if( Executing ) + begin + // If next stage is stalling, so is this stage + if( o_DEC_Stall || !i_IF_Done ) // Also stall on IMEM's output being invalid + begin + o_IF_Stall <= TRUE; + end + + // If branching, smash. If output of imem is invalid, smash. + if( i_EX_Branch || !i_IF_Done ) + begin + r_IF_Smash_Transient <= TRUE; + end + end + else // Flashloader not done + begin + o_IF_Stall <= TRUE; + r_IF_Smash_Transient <= TRUE; + end + end + + // DEC validation + always @(*) + begin + o_DEC_Stall <= FALSE; + o_DEC_Smash <= FALSE; + + // If flash is done, check for other conditions + if( Executing ) + begin + + // If we have a branch operation in DEC, then we have to keep waiting + // until the delay slot inst has been successfully read from imem + if( i_DEC_Branch_Instruction && + !i_IF_Done ) + begin + o_DEC_Smash <= TRUE; // Do not let the branch go to EX stage + o_DEC_Stall <= TRUE; // Hold inst. until IF is ready + end + + // If we have to wait on MEM for decoding, then do so. + // RS/RT requires waiting on DMEM op in EX + if( (i_DEC_Uses_RS && + i_EX_Writes_Back && + i_EX_Uses_Mem && + (i_EX_Write_Addr == i_DEC_RS_Addr)) || + ( i_DEC_Uses_RT && + i_EX_Writes_Back && + i_EX_Uses_Mem && + (i_EX_Write_Addr == i_DEC_RT_Addr) ) + ) + begin + o_DEC_Smash <= TRUE; + o_DEC_Stall <= TRUE; + end + + // Stall if next stage is stalling + if( o_EX_Stall ) + o_DEC_Stall <= TRUE; + end + else + begin + o_DEC_Stall <= TRUE; + o_DEC_Smash <= TRUE; + end + end + + // EX validation + always @(*) + begin + o_EX_Stall <= FALSE; + o_EX_Smash <= FALSE; + + if( Executing ) + begin + if( o_MEM_Stall ) + o_EX_Stall <= TRUE; + end + else + begin + o_EX_Stall <= TRUE; + o_EX_Smash <= TRUE; + end + + end + + // MEM validation + always @(*) + begin + o_MEM_Stall <= FALSE; + o_MEM_Smash <= FALSE; + + if( Executing ) + begin + if( !i_MEM_Done ) + begin + o_MEM_Stall <= TRUE; + o_MEM_Smash <= TRUE; // Waiting on mem. Don't write back. + end + + + if( o_WB_Stall ) + o_MEM_Stall <= o_WB_Stall; + end + else + begin + o_MEM_Stall <= TRUE; + o_MEM_Smash <= TRUE; + end + end + + // WB validation - never stall or flush wb. + always @(*) + begin + o_WB_Stall <= FALSE; + o_WB_Smash <= FALSE; + + if( Executing ) + begin + end + else + begin + o_WB_Stall <= TRUE; + o_WB_Smash <= TRUE; + end + end + +endmodule diff --git a/src/hexfiles/coin.c b/src/hexfiles/coin.c new file mode 100644 index 0000000..af962c5 --- /dev/null +++ b/src/hexfiles/coin.c @@ -0,0 +1,122 @@ +/***********************************************************************/ +/* */ +/* This program is free to copy ! */ +/* */ +/* Program: coin.c */ +/* */ +/* Description: */ +/* */ +/* Take a pile of n coins, all with the same side upp. Then turn the */ +/* uppermost coin, the 2 uppermost coins, the 3 uppermost coins and */ +/* so on. When you have turned all n coins you start to turn the */ +/* uppermost coin again and so on. */ +/* */ +/* The question is: How many turns are nedded before all coins have */ +/* the same side upp again ? */ +/* */ +/* Answer: Run the program ! */ +/* */ +/* Algorithm and program by: Stefan Spaennare, Lund October 1994 */ +/* Email: stefans@astro.lu.se */ +/* Latest update: 98-06-22 */ +/* */ +/* Benchmark: */ +/* */ +/* nmin=1, nmax=200 */ +/* */ +/* Processor: CPU-time (s): Compilation: */ +/* */ +/* Pentium 180 MHz 10.66 gcc -O3 */ +/* */ +/***********************************************************************/ + +/*****************************************************************************/ +/* */ +/* Notice: */ +/* ======= */ +/* */ +/* I make no warranties that this program is (1) free of error, (2) con- */ +/* sistent with any standard merchantability, or (3) meeting the require- */ +/* ments of a particular application. This software shall not, partly or */ +/* as a whole, participate in a process, whose outcome can result in injury */ +/* to a person or loss of property. It is solely designed for analytical */ +/* work. Permission to use, copy, and distribute is hereby granted without */ +/* fee, providing that the header above including this notice appears in */ +/* all copies. */ +/* */ +/* Stefan Spaennare */ +/* */ +/*****************************************************************************/ + +#include "cse148.h" + + + int correctvalues[11] = {8099, 5460, 1655, 3720, 1692, + 9025, 4607, 1164, 9603, 9801, + 3299}; + + +int begin(argc,argv) +int argc; +char *argv[]; +{ + + int i,j,k,sum,n,m,s,t,nmin,nmax,m2,temp; + + int v[1021]; + + int found = 0; + + nmin = 90; + nmax = 100; + + for (i=1; i<=nmax; i++) { + v[i]=1; + } /* for i */ + + for (k=nmin; k<=nmax; k++) { + + s=0; + m=1; + + do { + + m2=m>>1; + + for (i=1; i<=m2; i++) { + temp=v[i]; + v[i]=-v[m-i+1]; + v[m-i+1]=-temp; + } /* for i */ + + if ((m % 2) == 1) { + v[m2+1]=-v[m2+1]; + } /* if */ + + sum=0; + + for (i=1; i<=k; i++) { + sum=sum+v[i]; + } /* for i */ + + m++; + s++; + + if (m > k) { + m=1; + } /* if */ + + } while (sum != k); + + // printf("%d\n", s); + + if (correctvalues[found++] == s) + PASS(found); + else + FAIL(found); + + } /* for k */ + + DONE(found); + +} /* end */ diff --git a/src/hexfiles/coin.dis b/src/hexfiles/coin.dis new file mode 100644 index 0000000..4eb6356 --- /dev/null +++ b/src/hexfiles/coin.dis @@ -0,0 +1,145 @@ +/home/tullsen/classes/148.09/sp10/mips-testing/tools/local/bin/mips-elf-objdump -d mips.elf.hw + +mips.elf.hw: file format elf32-bigmips + +Disassembly of section .text: + +00000000 <_ftext>: + 0: 24000000 li zero,0 + 4: 24010000 li at,0 + 8: 24020000 li v0,0 + c: 24030000 li v1,0 + 10: 24040000 li a0,0 + 14: 24050000 li a1,0 + 18: 24060000 li a2,0 + 1c: 24070000 li a3,0 + 20: 24080000 li t0,0 + 24: 24090000 li t1,0 + 28: 240a0000 li t2,0 + 2c: 240b0000 li t3,0 + 30: 240c0000 li t4,0 + 34: 240d0000 li t5,0 + 38: 240e0000 li t6,0 + 3c: 240f0000 li t7,0 + 40: 24100000 li s0,0 + 44: 24110000 li s1,0 + 48: 24120000 li s2,0 + 4c: 24130000 li s3,0 + 50: 24140000 li s4,0 + 54: 24150000 li s5,0 + 58: 24160000 li s6,0 + 5c: 24170000 li s7,0 + 60: 24180000 li t8,0 + 64: 24190000 li t9,0 + 68: 241a0000 li k0,0 + 6c: 241b0000 li k1,0 + 70: 241c0000 li gp,0 + 74: 241d0000 li sp,0 + 78: 241e0000 li s8,0 + 7c: 241f0000 li ra,0 + 80: 241d4000 li sp,16384 + 84: 0c000029 jal a4 + 88: 0380e021 move gp,gp + 8c: 409cb800 mtc0 gp,$23 + 90: 00000000 nop + 94: 409cc800 mtc0 gp,$25 + 98: 08000026 j 98 <_ftext+0x98> + 9c: 00000000 nop + a0: 00000000 nop + +000000a4 : + a4: 27bdf008 addiu sp,sp,-4088 + a8: 27ac0004 addiu t4,sp,4 + ac: 01801021 move v0,t4 + b0: 27a40194 addiu a0,sp,404 + b4: 24030001 li v1,1 + b8: ac430000 sw v1,0(v0) + bc: 24420004 addiu v0,v0,4 + c0: 1444fffd bne v0,a0,b8 + c4: 24090001 li t1,1 + c8: 3c028000 lui v0,0x8000 + cc: 3c030000 lui v1,0x0 + d0: 344f0001 ori t7,v0,0x1 + d4: 246d023c addiu t5,v1,572 + d8: 00005821 move t3,zero + dc: 2408005a li t0,90 + e0: 00005021 move t2,zero + e4: 2418fffe li t8,-2 + e8: 240e0001 li t6,1 + ec: 00093843 sra a3,t1,0x1 + f0: 18e0000e blez a3,12c + f4: 00091080 sll v0,t1,0x2 + f8: 03a22021 addu a0,sp,v0 + fc: 00003021 move a2,zero + 100: 01802821 move a1,t4 + 104: 8ca30000 lw v1,0(a1) + 108: 8c820000 lw v0,0(a0) + 10c: 00031823 negu v1,v1 + 110: 00021023 negu v0,v0 + 114: 24c60001 addiu a2,a2,1 + 118: aca20000 sw v0,0(a1) + 11c: ac830000 sw v1,0(a0) + 120: 24a50004 addiu a1,a1,4 + 124: 14c7fff7 bne a2,a3,104 + 128: 2484fffc addiu a0,a0,-4 + 12c: 012f1024 and v0,t1,t7 + 130: 0440002c bltz v0,1e4 + 134: 00000000 nop + 138: 104e0021 beq v0,t6,1c0 + 13c: 24e30001 addiu v1,a3,1 + 140: 19000009 blez t0,168 + 144: 00002821 move a1,zero + 148: 00002821 move a1,zero + 14c: 00002021 move a0,zero + 150: 01801821 move v1,t4 + 154: 8c620000 lw v0,0(v1) + 158: 24840001 addiu a0,a0,1 + 15c: 00a22821 addu a1,a1,v0 + 160: 1488fffc bne a0,t0,154 + 164: 24630004 addiu v1,v1,4 + 168: 25290001 addiu t1,t1,1 + 16c: 0109102a slt v0,t0,t1 + 170: 10400002 beqz v0,17c + 174: 256b0001 addiu t3,t3,1 + 178: 24090001 li t1,1 + 17c: 1505ffdb bne t0,a1,ec + 180: 00000000 nop + 184: 8da20000 lw v0,0(t5) + 188: 254a0001 addiu t2,t2,1 + 18c: 1162001c beq t3,v0,200 + 190: 25ad0004 addiu t5,t5,4 + 194: 408ac000 mtc0 t2,$24 + 198: 25080001 addiu t0,t0,1 + 19c: 29020065 slti v0,t0,101 + 1a0: 1040001c beqz v0,214 + 1a4: 24090001 li t1,1 + 1a8: 012f1024 and v0,t1,t7 + 1ac: 00005821 move t3,zero + 1b0: 0441ffe1 bgez v0,138 + 1b4: 00003821 move a3,zero + 1b8: 0800007a j 1e8 + 1bc: 2442ffff addiu v0,v0,-1 + 1c0: 00031880 sll v1,v1,0x2 + 1c4: 007d1821 addu v1,v1,sp + 1c8: 8c620000 lw v0,0(v1) + 1cc: 00000000 nop + 1d0: 00021023 negu v0,v0 + 1d4: 1d00ffdc bgtz t0,148 + 1d8: ac620000 sw v0,0(v1) + 1dc: 0800005a j 168 + 1e0: 00002821 move a1,zero + 1e4: 2442ffff addiu v0,v0,-1 + 1e8: 00581025 or v0,v0,t8 + 1ec: 24420001 addiu v0,v0,1 + 1f0: 144effd3 bne v0,t6,140 + 1f4: 24e30001 addiu v1,a3,1 + 1f8: 08000071 j 1c4 + 1fc: 00031880 sll v1,v1,0x2 + 200: 408ab800 mtc0 t2,$23 + 204: 25080001 addiu t0,t0,1 + 208: 29020065 slti v0,t0,101 + 20c: 1440ffe6 bnez v0,1a8 + 210: 24090001 li t1,1 + 214: 408ac800 mtc0 t2,$25 + 218: 03e00008 jr ra + 21c: 27bd0ff8 addiu sp,sp,4088 diff --git a/src/hexfiles/coin.hex b/src/hexfiles/coin.hex new file mode 100644 index 0000000..78396eb --- /dev/null +++ b/src/hexfiles/coin.hex @@ -0,0 +1,32768 @@ +24000000 +24010000 +24020000 +24030000 +24040000 +24050000 +24060000 +24070000 +24080000 +24090000 +240a0000 +240b0000 +240c0000 +240d0000 +240e0000 +240f0000 +24100000 +24110000 +24120000 +24130000 +24140000 +24150000 +24160000 +24170000 +24180000 +24190000 +241a0000 +241b0000 +241c0000 +241d0000 +241e0000 +241f0000 +241d4000 +0c000029 +0380e021 +409cb800 +00000000 +409cc800 +08000026 +00000000 +00000000 +27bdf008 +27ac0004 +01801021 +27a40194 +24030001 +ac430000 +24420004 +1444fffd +24090001 +3c028000 +3c030000 +344f0001 +246d023c +00005821 +2408005a +00005021 +2418fffe +240e0001 +00093843 +18e0000e +00091080 +03a22021 +00003021 +01802821 +8ca30000 +8c820000 +00031823 +00021023 +24c60001 +aca20000 +ac830000 +24a50004 +14c7fff7 +2484fffc +012f1024 +0440002c +00000000 +104e0021 +24e30001 +19000009 +00002821 +00002821 +00002021 +01801821 +8c620000 +24840001 +00a22821 +1488fffc +24630004 +25290001 +0109102a +10400002 +256b0001 +24090001 +1505ffdb +00000000 +8da20000 +254a0001 +1162001c +25ad0004 +408ac000 +25080001 +29020065 +1040001c +24090001 +012f1024 +00005821 +0441ffe1 +00003821 +0800007a +2442ffff +00031880 +007d1821 +8c620000 +00000000 +00021023 +1d00ffdc +ac620000 +0800005a +00002821 +2442ffff +00581025 +24420001 +144effd3 +24e30001 +08000071 +00031880 +408ab800 +25080001 +29020065 +1440ffe6 +24090001 +408ac800 +03e00008 +27bd0ff8 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001fa3 +00001554 +00000677 +00000e88 +0000069c +00002341 +000011ff +0000048c +00002583 +00002649 +00000ce3 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/src/hexfiles/esift.dis b/src/hexfiles/esift.dis new file mode 100644 index 0000000..85aed5e --- /dev/null +++ b/src/hexfiles/esift.dis @@ -0,0 +1,119 @@ +/home/tullsen/classes/148.09/sp10/mips-testing/tools/local/bin/mips-elf-objdump -d mips.elf.hw + +mips.elf.hw: file format elf32-bigmips + +Disassembly of section .text: + +00000000 <_ftext>: + 0: 24000000 li zero,0 + 4: 24010000 li at,0 + 8: 24020000 li v0,0 + c: 24030000 li v1,0 + 10: 24040000 li a0,0 + 14: 24050000 li a1,0 + 18: 24060000 li a2,0 + 1c: 24070000 li a3,0 + 20: 24080000 li t0,0 + 24: 24090000 li t1,0 + 28: 240a0000 li t2,0 + 2c: 240b0000 li t3,0 + 30: 240c0000 li t4,0 + 34: 240d0000 li t5,0 + 38: 240e0000 li t6,0 + 3c: 240f0000 li t7,0 + 40: 24100000 li s0,0 + 44: 24110000 li s1,0 + 48: 24120000 li s2,0 + 4c: 24130000 li s3,0 + 50: 24140000 li s4,0 + 54: 24150000 li s5,0 + 58: 24160000 li s6,0 + 5c: 24170000 li s7,0 + 60: 24180000 li t8,0 + 64: 24190000 li t9,0 + 68: 241a0000 li k0,0 + 6c: 241b0000 li k1,0 + 70: 241c0000 li gp,0 + 74: 241d0000 li sp,0 + 78: 241e0000 li s8,0 + 7c: 241f0000 li ra,0 + 80: 241d4000 li sp,16384 + 84: 0c000029 jal a4 + 88: 0380e021 move gp,gp + 8c: 409cb800 mtc0 gp,$23 + 90: 00000000 nop + 94: 409cc800 mtc0 gp,$25 + 98: 08000026 j 98 <_ftext+0x98> + 9c: 00000000 nop + a0: 00000000 nop + +000000a4 : + a4: 27bdcf20 addiu sp,sp,-12512 + a8: 03a01021 move v0,sp + ac: 27a330e0 addiu v1,sp,12512 + b0: ac400000 sw zero,0(v0) + b4: 24420004 addiu v0,v0,4 + b8: 1443fffd bne v0,v1,b0 + bc: 24080006 li t0,6 + c0: 24090003 li t1,3 + c4: 01093821 addu a3,t0,t1 + c8: 00e03021 move a2,a3 + cc: 00081182 srl v0,t0,0x6 + d0: 24420001 addiu v0,v0,1 + d4: 00021080 sll v0,v0,0x2 + d8: 00e93821 addu a3,a3,t1 + dc: 005d5021 addu t2,v0,sp + e0: 3c020003 lui v0,0x3 + e4: 34420d41 ori v0,v0,0xd41 + e8: 00e92023 subu a0,a3,t1 + ec: 00082842 srl a1,t0,0x1 + f0: 0082202b sltu a0,a0,v0 + f4: 24030001 li v1,1 + f8: 31020001 andi v0,t0,0x1 + fc: 00a31804 sllv v1,v1,a1 + 100: 00c04021 move t0,a2 + 104: 10400005 beqz v0,11c + 108: 00c93021 addu a2,a2,t1 + 10c: 8d420000 lw v0,0(t2) + 110: 00000000 nop + 114: 00621025 or v0,v1,v0 + 118: ad420000 sw v0,0(t2) + 11c: 1480ffeb bnez a0,cc + 120: 00000000 nop + 124: 25290002 addiu t1,t1,2 + 128: 3c020003 lui v0,0x3 + 12c: 00092840 sll a1,t1,0x1 + 130: 34420d40 ori v0,v0,0xd40 + 134: 2d2301c2 sltiu v1,t1,450 + 138: 10600005 beqz v1,150 + 13c: 0045102b sltu v0,v0,a1 + 140: 1440fff8 bnez v0,124 + 144: 00000000 nop + 148: 08000031 j c4 + 14c: 00a04021 move t0,a1 + 150: 3c020003 lui v0,0x3 + 154: 34480d41 ori t0,v0,0xd41 + 158: 24060001 li a2,1 + 15c: 24050003 li a1,3 + 160: 24070001 li a3,1 + 164: 00051182 srl v0,a1,0x6 + 168: 00021080 sll v0,v0,0x2 + 16c: 005d1021 addu v0,v0,sp + 170: 00051842 srl v1,a1,0x1 + 174: 8c440004 lw a0,4(v0) + 178: 00671804 sllv v1,a3,v1 + 17c: 00641824 and v1,v1,a0 + 180: 14600002 bnez v1,18c + 184: 24a50002 addiu a1,a1,2 + 188: 24c60001 addiu a2,a2,1 + 18c: 14a8fff6 bne a1,t0,168 + 190: 00051182 srl v0,a1,0x6 + 194: 24024640 li v0,17984 + 198: 10c20004 beq a2,v0,1ac + 19c: 24020001 li v0,1 + 1a0: 4082c000 mtc0 v0,$24 + 1a4: 03e00008 jr ra + 1a8: 27bd30e0 addiu sp,sp,12512 + 1ac: 4082c800 mtc0 v0,$25 + 1b0: 03e00008 jr ra + 1b4: 27bd30e0 addiu sp,sp,12512 diff --git a/src/hexfiles/esift.hex b/src/hexfiles/esift.hex new file mode 100644 index 0000000..cf77d0b --- /dev/null +++ b/src/hexfiles/esift.hex @@ -0,0 +1,32768 @@ +24000000 +24010000 +24020000 +24030000 +24040000 +24050000 +24060000 +24070000 +24080000 +24090000 +240a0000 +240b0000 +240c0000 +240d0000 +240e0000 +240f0000 +24100000 +24110000 +24120000 +24130000 +24140000 +24150000 +24160000 +24170000 +24180000 +24190000 +241a0000 +241b0000 +241c0000 +241d0000 +241e0000 +241f0000 +241d4000 +0c000029 +0380e021 +409cb800 +00000000 +409cc800 +08000026 +00000000 +00000000 +27bdcf20 +03a01021 +27a330e0 +ac400000 +24420004 +1443fffd +24080006 +24090003 +01093821 +00e03021 +00081182 +24420001 +00021080 +00e93821 +005d5021 +3c020003 +34420d41 +00e92023 +00082842 +0082202b +24030001 +31020001 +00a31804 +00c04021 +10400005 +00c93021 +8d420000 +00000000 +00621025 +ad420000 +1480ffeb +00000000 +25290002 +3c020003 +00092840 +34420d40 +2d2301c2 +10600005 +0045102b +1440fff8 +00000000 +08000031 +00a04021 +3c020003 +34480d41 +24060001 +24050003 +24070001 +00051182 +00021080 +005d1021 +00051842 +8c440004 +00671804 +00641824 +14600002 +24a50002 +24c60001 +14a8fff6 +00051182 +24024640 +10c20004 +24020001 +4082c000 +03e00008 +27bd30e0 +4082c800 +03e00008 +27bd30e0 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/src/hexfiles/esift2.c b/src/hexfiles/esift2.c new file mode 100644 index 0000000..92e76bd --- /dev/null +++ b/src/hexfiles/esift2.c @@ -0,0 +1,83 @@ +/******************************************************/ +/* */ +/* This program is free to copy ! */ +/* */ +/* Program: esift2.c */ +/* */ +/* This program calculates the number of prime */ +/* numbers below n using Erathostenes sifter. */ +/* */ +/* Author: Stefan Spaennare, July 1996 */ +/* Email: stefans@astro.lu.se */ +/* */ +/* Memory requirement: 0.065*n byte. */ +/* */ +/* Benchmarking: */ +/* */ +/* n = 10000000 = 10^7 */ +/* */ +/* Computer: CPU-time (s): Compilation: */ +/* */ +/* HP 735 99 MHz 7.41 cc +O3 */ +/* Pentium 150 MHz 9.69 gcc -O4 */ +/* */ +/******************************************************/ + +#include "cse148.h" + +int begin(argc,argv) +int argc; +char *argv[]; +{ + unsigned long i,j,k,n,ns,m,i2,j2; + unsigned long hh,ll,n8,sum; + unsigned long bit,temp; + + unsigned long p[3128]; //n8+1 + + n= 200000; + + n8= 3127; // n8 = n/(2*32)+2; + + //p=(unsigned long *)calloc(n8+1,sizeof(unsigned long)); + + for (i=0; i<=n8; i++) { + p[i]=0; + } /* for i */ + + ns = 449; //ns=(int)(sqrt((double)(n)))+1; + + + for (i=3; i<=ns; i=i+2) { + i2=i<<1; + for (j=i2; j<=n; j=j+i) { + if ((j % 2) != 0) { + j2=j>>1; + hh=(j2>>5) + 1; + ll=j2 % 32; + bit= 1 << ll; + p[hh]=p[hh] | bit; + } /* if */ + } /* for j */ + } /* for i */ + + sum=1; + + for (i=3; i<=n; i=i+2) { + i2=i>>1; + hh=(i2>>5) + 1; + ll=i2 % 32; + bit=1 << ll; + temp=p[hh] & bit; + if (temp == 0) { + sum++; + } /* if */ + } /* for i */ + + //printf("%12d\n\n",sum); + if (sum == 17984) + DONE(1); + else + FAIL(1); + +} /* End */ diff --git a/src/hexfiles/esift2.hex b/src/hexfiles/esift2.hex new file mode 100644 index 0000000..fa1780f --- /dev/null +++ b/src/hexfiles/esift2.hex @@ -0,0 +1,65536 @@ +24000000 +24010000 +24020000 +24030000 +24040000 +24050000 +24060000 +24070000 +24080000 +24090000 +240a0000 +240b0000 +240c0000 +240d0000 +240e0000 +240f0000 +24100000 +24110000 +24120000 +24130000 +24140000 +24150000 +24160000 +24170000 +24180000 +24190000 +241a0000 +241b0000 +241c0000 +241d0000 +241e0000 +241f0000 +3c1d0004 +0c000029 +0380e021 +409cb800 +00000000 +409cc800 +08000026 +00000000 +00000000 +27bdcf20 +03a01021 +27a330e0 +ac400000 +24420004 +1443fffd +24080006 +24090003 +01093821 +00e03021 +00081182 +24420001 +00021080 +00e93821 +005d5021 +3c020001 +34423881 +00e92023 +00082842 +0082202b +24030001 +31020001 +00a31804 +00c04021 +10400005 +00c93021 +8d420000 +00000000 +00621025 +ad420000 +1480ffeb +00000000 +25290002 +3c020001 +00092840 +34423880 +2d23011c +10600005 +0045102b +1440fff8 +00000000 +08000031 +00a04021 +3c020001 +34483881 +24060001 +24050003 +24070001 +00051182 +00021080 +005d1021 +00051842 +8c440004 +00671804 +00641824 +14600002 +24a50002 +24c60001 +14a8fff6 +00051182 +4086c800 +03e00008 +27bd30e0 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/src/hexfiles/nqueens.asm b/src/hexfiles/nqueens.asm new file mode 100644 index 0000000000000000000000000000000000000000..33505ca728aaeccf43cee771d9f0e06a5dc79284 GIT binary patch literal 16384 zcmeH}L1+|L9LE1M^O8(9lV)Nkb*#3Xmz^})mnxPiRhFYNv)CM_FJM1N=pB6@lS4*il@?`uaTc>7iZ9L+9=kPZ;LpVA)jPL{q4CeL(ENoCvHxED`uy!iyP(3Vung5rB!id&lNFKjfo%u+2Kxd zyg{`b{h(CSB3m?f7&@B`Ta_Z3m*8&D#Cd7P6-n*x9367y_+GHvN1`bp8BHl=^t!!XOm9=G zTcU%pG=e~a!P(GJ$}5r|*=pq+)Hv@>0LI_O4b)7`=XP*LL-&I!Cs#Z`IQ{j6W5xn0p(% z+a#U??@9Q68LWCIbH(^em`Cb=9$fc9vm`nn(~jyxcpt!+1Admw9OeffJwNTW3v)Xi zWKrJ$Oa-<3bJo>@v?lX1+KcCo`qH|dlg45oLtClwNfaI*aB2^)si)(&pS6(+@LYP< zMrw4;$d0DSsE(k{$I(8cRQSDm>YY`;hrf~2bXi@}^@uObQyJ@}jP+8+dMWGmG9za6 z`s%qQ=6l{HBfc<8Uk`)-W7KL1td;_q)Zj(_>APv}X$@ZBui4|-r!;re_~+GG&&x*h z=r`AJfdO$IWxLgc%h zt<;P<+@@d2r(zz3aW|m5oyLM}nk{5$5B8!~z0P%xrZtyJAbYMMLxY)owS-z@6_}Rfot!-*&Y1I0Nkv?S8aPoh!8a zbVeZ;@}U6AL3yYE4MB1EnR7EdfNKZl(WY}(N^*z&&_1_7;@myd?hoX2aR8-@>lJ3dS!wf@zt43k%DCs~OekUe!*Ga_T^ zM-uRRuh#Fa<9E2$?@$KM%KYb9+f#3xWi5&KZ4#+Jy!SNl##<%tQqQ}v#=E(WcYg!# zxYl3KduWY!QyuSo1Mj|9cpqHjE$VoO4ZL%&@P2=dw^hg6Z{WS<72e0zc$;;+od(|c zuVmd?j;7xFns}#c@L{qB_1Ah$ueSz8tsk}Yu?BIj3?hTrZ^s9zl=Kzp<@G&>{r8uD z{?`5R1or;gevkc6TC02hY`u&|Cy=Z4a+>TTFXuGLCvw{LiQ1@hy4A&5GBHc#Zk%~- z)M9ocpUIh+dwzD;&c)>1#@UMfZ3H6B`9Aj9QJc)8dhYyT0!)AjFaajO1egF5U;<2l z2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l X2`~XBzyz286JP>NfC(^x|A)Z8rfV1i literal 0 HcmV?d00001 diff --git a/src/hexfiles/nqueens.dis b/src/hexfiles/nqueens.dis new file mode 100644 index 0000000..ffd15ea --- /dev/null +++ b/src/hexfiles/nqueens.dis @@ -0,0 +1,673 @@ +/home/tullsen/classes/148.09/sp10/mips-testing/tools/local/bin/mips-elf-objdump -d mips.elf.hw + +mips.elf.hw: file format elf32-bigmips + +Disassembly of section .text: + +00000000 <_ftext>: + 0: 24000000 li zero,0 + 4: 24010000 li at,0 + 8: 24020000 li v0,0 + c: 24030000 li v1,0 + 10: 24040000 li a0,0 + 14: 24050000 li a1,0 + 18: 24060000 li a2,0 + 1c: 24070000 li a3,0 + 20: 24080000 li t0,0 + 24: 24090000 li t1,0 + 28: 240a0000 li t2,0 + 2c: 240b0000 li t3,0 + 30: 240c0000 li t4,0 + 34: 240d0000 li t5,0 + 38: 240e0000 li t6,0 + 3c: 240f0000 li t7,0 + 40: 24100000 li s0,0 + 44: 24110000 li s1,0 + 48: 24120000 li s2,0 + 4c: 24130000 li s3,0 + 50: 24140000 li s4,0 + 54: 24150000 li s5,0 + 58: 24160000 li s6,0 + 5c: 24170000 li s7,0 + 60: 24180000 li t8,0 + 64: 24190000 li t9,0 + 68: 241a0000 li k0,0 + 6c: 241b0000 li k1,0 + 70: 241c0000 li gp,0 + 74: 241d0000 li sp,0 + 78: 241e0000 li s8,0 + 7c: 241f0000 li ra,0 + 80: 241d4000 li sp,16384 + 84: 0c000125 jal 494 + 88: 0380e021 move gp,gp + 8c: 409cb800 mtc0 gp,$23 + 90: 00000000 nop + 94: 409cc800 mtc0 gp,$25 + 98: 08000026 j 98 <_ftext+0x98> + 9c: 00000000 nop + a0: 00000000 nop + +000000a4 : + a4: 90830000 lbu v1,0(a0) + a8: 00000000 nop + ac: 1060000c beqz v1,e0 + b0: 00000000 nop + b4: 8c023ffc lw v0,16380(zero) + b8: 00000000 nop + bc: 30420100 andi v0,v0,0x100 + c0: 1440fffc bnez v0,b4 + c4: 00000000 nop + c8: a0033ffc sb v1,16380(zero) + cc: 24840001 addiu a0,a0,1 + d0: 90830000 lbu v1,0(a0) + d4: 00000000 nop + d8: 1460fff6 bnez v1,b4 + dc: 00000000 nop + e0: 03e00008 jr ra + e4: 00000000 nop + +000000e8 : + e8: 308400ff andi a0,a0,0xff + ec: 8c023ffc lw v0,16380(zero) + f0: 00000000 nop + f4: 30420100 andi v0,v0,0x100 + f8: 1440fffc bnez v0,ec + fc: 00000000 nop + 100: a0043ffc sb a0,16380(zero) + 104: 03e00008 jr ra + 108: 00000000 nop + +0000010c : + 10c: 08000047 j 11c + 110: 00000000 nop + 114: a0023ff0 sb v0,16368(zero) + 118: 24840001 addiu a0,a0,1 + 11c: 90820000 lbu v0,0(a0) + 120: 00000000 nop + 124: 1440fffb bnez v0,114 + 128: 00000000 nop + 12c: a0003ff0 sb zero,16368(zero) + 130: 03e00008 jr ra + 134: 00000000 nop + +00000138 : + 138: 308400ff andi a0,a0,0xff + 13c: a0043ff0 sb a0,16368(zero) + 140: 03e00008 jr ra + 144: 00000000 nop + +00000148 : + 148: 27bdfb38 addiu sp,sp,-1224 + 14c: afb404c0 sw s4,1216(sp) + 150: afb304bc sw s3,1212(sp) + 154: afb204b8 sw s2,1208(sp) + 158: afb104b4 sw s1,1204(sp) + 15c: afb004b0 sw s0,1200(sp) + 160: 00807021 move t6,a0 + 164: 03a01021 move v0,sp + 168: 27a304b0 addiu v1,sp,1200 + 16c: ac400000 sw zero,0(v0) + 170: ac400004 sw zero,4(v0) + 174: ac400008 sw zero,8(v0) + 178: 2442000c addiu v0,v0,12 + 17c: 1443fffb bne v0,v1,16c + 180: 25cfffff addiu t7,t6,-1 + 184: 05e0009d bltz t7,3fc + 188: 3c020004 lui v0,0x4 + 18c: 24420a38 addiu v0,v0,2616 + 190: 01e01821 move v1,t7 + 194: 2404ffff li a0,-1 + 198: ac430000 sw v1,0(v0) + 19c: 2463ffff addiu v1,v1,-1 + 1a0: ac40fffc sw zero,-4(v0) + 1a4: 1464fffc bne v1,a0,198 + 1a8: 24420008 addiu v0,v0,8 + 1ac: 05e00093 bltz t7,3fc + 1b0: 01e06821 move t5,t7 + 1b4: 3c020004 lui v0,0x4 + 1b8: 3c030004 lui v1,0x4 + 1bc: 24520a34 addiu s2,v0,2612 + 1c0: 000f2080 sll a0,t7,0x2 + 1c4: 24700cbc addiu s0,v1,3260 + 1c8: 000f1100 sll v0,t7,0x4 + 1cc: 00448823 subu s1,v0,a0 + 1d0: 2409ffff li t1,-1 + 1d4: 0000c821 move t9,zero + 1d8: 24180001 li t8,1 + 1dc: 00909821 addu s3,a0,s0 + 1e0: 0240a021 move s4,s2 + 1e4: 000d10c0 sll v0,t5,0x3 + 1e8: 00521021 addu v0,v0,s2 + 1ec: 8c4a0000 lw t2,0(v0) + 1f0: 8c4b0004 lw t3,4(v0) + 1f4: 05200021 bltz t1,27c + 1f8: 25adffff addiu t5,t5,-1 + 1fc: 012a102a slt v0,t1,t2 + 200: 1440001e bnez v0,27c + 204: 00091080 sll v0,t1,0x2 + 208: 00504021 addu t0,v0,s0 + 20c: 01202021 move a0,t1 + 210: 08000088 j 220 + 214: 240cffff li t4,-1 + 218: 14600018 bnez v1,27c + 21c: 2508fffc addiu t0,t0,-4 + 220: 8d020000 lw v0,0(t0) + 224: 2529ffff addiu t1,t1,-1 + 228: 00821823 subu v1,a0,v0 + 22c: 01c31821 addu v1,t6,v1 + 230: 00442021 addu a0,v0,a0 + 234: 00042900 sll a1,a0,0x4 + 238: 00033100 sll a2,v1,0x4 + 23c: 00023880 sll a3,v0,0x2 + 240: 00042080 sll a0,a0,0x2 + 244: 00031880 sll v1,v1,0x2 + 248: 00021100 sll v0,v0,0x4 + 24c: 00a42823 subu a1,a1,a0 + 250: 00c33023 subu a2,a2,v1 + 254: 00471023 subu v0,v0,a3 + 258: 005d1021 addu v0,v0,sp + 25c: 00bd2821 addu a1,a1,sp + 260: 00dd3021 addu a2,a2,sp + 264: ac400000 sw zero,0(v0) + 268: 01202021 move a0,t1 + 26c: aca00004 sw zero,4(a1) + 270: 012a182a slt v1,t1,t2 + 274: 152cffe8 bne t1,t4,218 + 278: acc00008 sw zero,8(a2) + 27c: 014b1823 subu v1,t2,t3 + 280: 01c31821 addu v1,t6,v1 + 284: 016a1021 addu v0,t3,t2 + 288: 00022900 sll a1,v0,0x4 + 28c: 00033100 sll a2,v1,0x4 + 290: 00021080 sll v0,v0,0x2 + 294: 25290001 addiu t1,t1,1 + 298: 000b3880 sll a3,t3,0x2 + 29c: 000b2100 sll a0,t3,0x4 + 2a0: 00031880 sll v1,v1,0x2 + 2a4: 00a22823 subu a1,a1,v0 + 2a8: 00872023 subu a0,a0,a3 + 2ac: 00c33023 subu a2,a2,v1 + 2b0: 00091080 sll v0,t1,0x2 + 2b4: 00501021 addu v0,v0,s0 + 2b8: 009d2021 addu a0,a0,sp + 2bc: 00bd2821 addu a1,a1,sp + 2c0: 00dd3021 addu a2,a2,sp + 2c4: ac980000 sw t8,0(a0) + 2c8: ac4b0000 sw t3,0(v0) + 2cc: acb80004 sw t8,4(a1) + 2d0: 11e90031 beq t7,t1,398 + 2d4: acd80008 sw t8,8(a2) + 2d8: 014e1021 addu v0,t2,t6 + 2dc: 004e1823 subu v1,v0,t6 + 2e0: 00022880 sll a1,v0,0x2 + 2e4: 00032100 sll a0,v1,0x4 + 2e8: 00021100 sll v0,v0,0x4 + 2ec: 00031880 sll v1,v1,0x2 + 2f0: 00451023 subu v0,v0,a1 + 2f4: 00832023 subu a0,a0,v1 + 2f8: 24840020 addiu a0,a0,32 + 2fc: 24420004 addiu v0,v0,4 + 300: 000d18c0 sll v1,t5,0x3 + 304: 03a43821 addu a3,sp,a0 + 308: 03a23021 addu a2,sp,v0 + 30c: 254a0001 addiu t2,t2,1 + 310: 00741821 addu v1,v1,s4 + 314: 03b12821 addu a1,sp,s1 + 318: 01e02021 move a0,t7 + 31c: 2408ffff li t0,-1 + 320: 8ca20000 lw v0,0(a1) + 324: 00000000 nop + 328: 1440000d bnez v0,360 + 32c: 24a5fff4 addiu a1,a1,-12 + 330: 8cc20000 lw v0,0(a2) + 334: 00000000 nop + 338: 14400009 bnez v0,360 + 33c: 00000000 nop + 340: 8ce20000 lw v0,0(a3) + 344: 00000000 nop + 348: 14400005 bnez v0,360 + 34c: 00000000 nop + 350: 24630008 addiu v1,v1,8 + 354: 25ad0001 addiu t5,t5,1 + 358: ac640004 sw a0,4(v1) + 35c: ac6a0000 sw t2,0(v1) + 360: 2484ffff addiu a0,a0,-1 + 364: 24c6fff4 addiu a2,a2,-12 + 368: 1488ffed bne a0,t0,320 + 36c: 24e7000c addiu a3,a3,12 + 370: 05a1ff9d bgez t5,1e8 + 374: 000d10c0 sll v0,t5,0x3 + 378: 4099c800 mtc0 t9,$25 + 37c: 8fb404c0 lw s4,1216(sp) + 380: 8fb304bc lw s3,1212(sp) + 384: 8fb204b8 lw s2,1208(sp) + 388: 8fb104b4 lw s1,1204(sp) + 38c: 8fb004b0 lw s0,1200(sp) + 390: 03e00008 jr ra + 394: 27bd04c8 addiu sp,sp,1224 + 398: 27390001 addiu t9,t9,1 + 39c: 4099b800 mtc0 t9,$23 + 3a0: 8e620000 lw v0,0(s3) + 3a4: 25e9ffff addiu t1,t7,-1 + 3a8: 01e21823 subu v1,t7,v0 + 3ac: 01e22021 addu a0,t7,v0 + 3b0: 01c31821 addu v1,t6,v1 + 3b4: 00042900 sll a1,a0,0x4 + 3b8: 00033100 sll a2,v1,0x4 + 3bc: 00023880 sll a3,v0,0x2 + 3c0: 00042080 sll a0,a0,0x2 + 3c4: 00021100 sll v0,v0,0x4 + 3c8: 00031880 sll v1,v1,0x2 + 3cc: 00471023 subu v0,v0,a3 + 3d0: 00a42823 subu a1,a1,a0 + 3d4: 00c33023 subu a2,a2,v1 + 3d8: 005d1021 addu v0,v0,sp + 3dc: 00bd2821 addu a1,a1,sp + 3e0: 00dd3021 addu a2,a2,sp + 3e4: ac400000 sw zero,0(v0) + 3e8: aca00004 sw zero,4(a1) + 3ec: 05a1ff7d bgez t5,1e4 + 3f0: acc00008 sw zero,8(a2) + 3f4: 080000de j 378 + 3f8: 00000000 nop + 3fc: 0000c821 move t9,zero + 400: 4099c800 mtc0 t9,$25 + 404: 8fb404c0 lw s4,1216(sp) + 408: 8fb304bc lw s3,1212(sp) + 40c: 8fb204b8 lw s2,1208(sp) + 410: 8fb104b4 lw s1,1204(sp) + 414: 8fb004b0 lw s0,1200(sp) + 418: 03e00008 jr ra + 41c: 27bd04c8 addiu sp,sp,1224 + +00000420 : + 420: 3c02cccc lui v0,0xcccc + 424: 344acccd ori t2,v0,0xcccd + 428: 240603e8 li a2,1000 + 42c: 00004021 move t0,zero + 430: 24090004 li t1,4 + 434: 14c00002 bnez a2,440 + 438: 0086001b divu zero,a0,a2 + 43c: 0007000d break 0x7 + 440: 00003812 mflo a3 + 444: 24e20030 addiu v0,a3,48 + 448: 304500ff andi a1,v0,0xff + 44c: 8c023ffc lw v0,16380(zero) + 450: 00000000 nop + 454: 30420100 andi v0,v0,0x100 + 458: 1440fffc bnez v0,44c + 45c: 00ca0019 multu a2,t2 + 460: 30a200ff andi v0,a1,0xff + 464: 25080001 addiu t0,t0,1 + 468: a0023ffc sb v0,16380(zero) + 46c: 00001810 mfhi v1 + 470: 11090006 beq t0,t1,48c + 474: 000318c2 srl v1,v1,0x3 + 478: 00e60018 mult a3,a2 + 47c: 00603021 move a2,v1 + 480: 00001012 mflo v0 + 484: 0800010d j 434 + 488: 00822023 subu a0,a0,v0 + 48c: 03e00008 jr ra + 490: 00000000 nop + +00000494 : + 494: 27bdfb48 addiu sp,sp,-1208 + 498: 24030009 li v1,9 + 49c: 3c020004 lui v0,0x4 + 4a0: afb104b4 sw s1,1204(sp) + 4a4: afb004b0 sw s0,1200(sp) + 4a8: 03a02021 move a0,sp + 4ac: 27a504b0 addiu a1,sp,1200 + 4b0: ac430a30 sw v1,2608(v0) + 4b4: ac800000 sw zero,0(a0) + 4b8: ac800004 sw zero,4(a0) + 4bc: ac800008 sw zero,8(a0) + 4c0: 2484000c addiu a0,a0,12 + 4c4: 1485fffb bne a0,a1,4b4 + 4c8: 24030008 li v1,8 + 4cc: 3c040004 lui a0,0x4 + 4d0: 24820a34 addiu v0,a0,2612 + 4d4: ac430004 sw v1,4(v0) + 4d8: 24030007 li v1,7 + 4dc: ac43000c sw v1,12(v0) + 4e0: 24030005 li v1,5 + 4e4: ac800a34 sw zero,2612(a0) + 4e8: ac43001c sw v1,28(v0) + 4ec: 24040006 li a0,6 + 4f0: 24030003 li v1,3 + 4f4: ac440014 sw a0,20(v0) + 4f8: ac43002c sw v1,44(v0) + 4fc: 24040004 li a0,4 + 500: 24030001 li v1,1 + 504: ac440024 sw a0,36(v0) + 508: ac43003c sw v1,60(v0) + 50c: 24040002 li a0,2 + 510: 3c030004 lui v1,0x4 + 514: ac440034 sw a0,52(v0) + 518: ac400008 sw zero,8(v0) + 51c: ac400010 sw zero,16(v0) + 520: ac400018 sw zero,24(v0) + 524: ac400020 sw zero,32(v0) + 528: ac400028 sw zero,40(v0) + 52c: ac400030 sw zero,48(v0) + 530: ac400038 sw zero,56(v0) + 534: ac400044 sw zero,68(v0) + 538: ac400040 sw zero,64(v0) + 53c: 24790cbc addiu t9,v1,3260 + 540: 244e0040 addiu t6,v0,64 + 544: 240f0008 li t7,8 + 548: 240bffff li t3,-1 + 54c: 00008021 move s0,zero + 550: 24180001 li t8,1 + 554: 24110008 li s1,8 + 558: 8dcc0004 lw t4,4(t6) + 55c: 8dca0000 lw t2,0(t6) + 560: 25efffff addiu t7,t7,-1 + 564: 05600109 bltz t3,98c + 568: 25cefff8 addiu t6,t6,-8 + 56c: 016a102a slt v0,t3,t2 + 570: 14400106 bnez v0,98c + 574: 000b1080 sll v0,t3,0x2 + 578: 00594021 addu t0,v0,t9 + 57c: 01604821 move t1,t3 + 580: 08000165 j 594 + 584: 240dffff li t5,-1 + 588: 01604821 move t1,t3 + 58c: 146000ff bnez v1,98c + 590: 2508fffc addiu t0,t0,-4 + 594: 8d020000 lw v0,0(t0) + 598: 256bffff addiu t3,t3,-1 + 59c: 01222023 subu a0,t1,v0 + 5a0: 01221821 addu v1,t1,v0 + 5a4: 00032900 sll a1,v1,0x4 + 5a8: 00043100 sll a2,a0,0x4 + 5ac: 00023880 sll a3,v0,0x2 + 5b0: 00031880 sll v1,v1,0x2 + 5b4: 00021100 sll v0,v0,0x4 + 5b8: 00042080 sll a0,a0,0x2 + 5bc: 00a32823 subu a1,a1,v1 + 5c0: 00471023 subu v0,v0,a3 + 5c4: 00c43023 subu a2,a2,a0 + 5c8: 005d1021 addu v0,v0,sp + 5cc: 00bd2821 addu a1,a1,sp + 5d0: 00dd3021 addu a2,a2,sp + 5d4: ac400000 sw zero,0(v0) + 5d8: 016a182a slt v1,t3,t2 + 5dc: aca00004 sw zero,4(a1) + 5e0: 156dffe9 bne t3,t5,588 + 5e4: acc00074 sw zero,116(a2) + 5e8: 014c2023 subu a0,t2,t4 + 5ec: 018a1021 addu v0,t4,t2 + 5f0: 00022900 sll a1,v0,0x4 + 5f4: 00043100 sll a2,a0,0x4 + 5f8: 00021080 sll v0,v0,0x2 + 5fc: 000c3880 sll a3,t4,0x2 + 600: 000c1900 sll v1,t4,0x4 + 604: 00042080 sll a0,a0,0x2 + 608: 00a22823 subu a1,a1,v0 + 60c: 00671823 subu v1,v1,a3 + 610: 00c43023 subu a2,a2,a0 + 614: 00091080 sll v0,t1,0x2 + 618: 00591021 addu v0,v0,t9 + 61c: 007d1821 addu v1,v1,sp + 620: 00bd2821 addu a1,a1,sp + 624: 00dd3021 addu a2,a2,sp + 628: ac780000 sw t8,0(v1) + 62c: 01205821 move t3,t1 + 630: acb80004 sw t8,4(a1) + 634: ac4c0000 sw t4,0(v0) + 638: acd80074 sw t8,116(a2) + 63c: 8fa20060 lw v0,96(sp) + 640: 00000000 nop + 644: 14400011 bnez v0,68c + 648: 25440001 addiu a0,t2,1 + 64c: 00041080 sll v0,a0,0x2 + 650: 00041900 sll v1,a0,0x4 + 654: 00621823 subu v1,v1,v0 + 658: 007d1821 addu v1,v1,sp + 65c: 8c620064 lw v0,100(v1) + 660: 00000000 nop + 664: 14400009 bnez v0,68c + 668: 00000000 nop + 66c: 8c620014 lw v0,20(v1) + 670: 00000000 nop + 674: 14400005 bnez v0,68c + 678: 00000000 nop + 67c: 25ce0008 addiu t6,t6,8 + 680: adc40000 sw a0,0(t6) + 684: 25ef0001 addiu t7,t7,1 + 688: add10004 sw s1,4(t6) + 68c: 8fa20054 lw v0,84(sp) + 690: 00000000 nop + 694: 14400014 bnez v0,6e8 + 698: 25440001 addiu a0,t2,1 + 69c: 00041080 sll v0,a0,0x2 + 6a0: 00041900 sll v1,a0,0x4 + 6a4: 00621823 subu v1,v1,v0 + 6a8: 007d1821 addu v1,v1,sp + 6ac: 8c620058 lw v0,88(v1) + 6b0: 00000000 nop + 6b4: 1440000c bnez v0,6e8 + 6b8: 000a1080 sll v0,t2,0x2 + 6bc: 000a1900 sll v1,t2,0x4 + 6c0: 00621823 subu v1,v1,v0 + 6c4: 007d1821 addu v1,v1,sp + 6c8: 8c62002c lw v0,44(v1) + 6cc: 00000000 nop + 6d0: 14400005 bnez v0,6e8 + 6d4: 24020007 li v0,7 + 6d8: 25ce0008 addiu t6,t6,8 + 6dc: adc20004 sw v0,4(t6) + 6e0: adc40000 sw a0,0(t6) + 6e4: 25ef0001 addiu t7,t7,1 + 6e8: 8fa20048 lw v0,72(sp) + 6ec: 00000000 nop + 6f0: 14400014 bnez v0,744 + 6f4: 25440001 addiu a0,t2,1 + 6f8: 00041080 sll v0,a0,0x2 + 6fc: 00041900 sll v1,a0,0x4 + 700: 00621823 subu v1,v1,v0 + 704: 007d1821 addu v1,v1,sp + 708: 8c62004c lw v0,76(v1) + 70c: 00000000 nop + 710: 1440000c bnez v0,744 + 714: 000a1080 sll v0,t2,0x2 + 718: 000a1900 sll v1,t2,0x4 + 71c: 00621823 subu v1,v1,v0 + 720: 007d1821 addu v1,v1,sp + 724: 8c620038 lw v0,56(v1) + 728: 00000000 nop + 72c: 14400005 bnez v0,744 + 730: 24020006 li v0,6 + 734: 25ce0008 addiu t6,t6,8 + 738: adc20004 sw v0,4(t6) + 73c: adc40000 sw a0,0(t6) + 740: 25ef0001 addiu t7,t7,1 + 744: 8fa2003c lw v0,60(sp) + 748: 00000000 nop + 74c: 14400014 bnez v0,7a0 + 750: 25440001 addiu a0,t2,1 + 754: 00041080 sll v0,a0,0x2 + 758: 00041900 sll v1,a0,0x4 + 75c: 00621823 subu v1,v1,v0 + 760: 007d1821 addu v1,v1,sp + 764: 8c620040 lw v0,64(v1) + 768: 00000000 nop + 76c: 1440000c bnez v0,7a0 + 770: 000a1080 sll v0,t2,0x2 + 774: 000a1900 sll v1,t2,0x4 + 778: 00621823 subu v1,v1,v0 + 77c: 007d1821 addu v1,v1,sp + 780: 8c620044 lw v0,68(v1) + 784: 00000000 nop + 788: 14400005 bnez v0,7a0 + 78c: 24020005 li v0,5 + 790: 25ce0008 addiu t6,t6,8 + 794: adc20004 sw v0,4(t6) + 798: adc40000 sw a0,0(t6) + 79c: 25ef0001 addiu t7,t7,1 + 7a0: 8fa20030 lw v0,48(sp) + 7a4: 00000000 nop + 7a8: 14400014 bnez v0,7fc + 7ac: 25440001 addiu a0,t2,1 + 7b0: 00041080 sll v0,a0,0x2 + 7b4: 00041900 sll v1,a0,0x4 + 7b8: 00621823 subu v1,v1,v0 + 7bc: 007d1821 addu v1,v1,sp + 7c0: 8c620034 lw v0,52(v1) + 7c4: 00000000 nop + 7c8: 1440000c bnez v0,7fc + 7cc: 000a1080 sll v0,t2,0x2 + 7d0: 000a1900 sll v1,t2,0x4 + 7d4: 00621823 subu v1,v1,v0 + 7d8: 007d1821 addu v1,v1,sp + 7dc: 8c620050 lw v0,80(v1) + 7e0: 00000000 nop + 7e4: 14400005 bnez v0,7fc + 7e8: 24020004 li v0,4 + 7ec: 25ce0008 addiu t6,t6,8 + 7f0: adc20004 sw v0,4(t6) + 7f4: adc40000 sw a0,0(t6) + 7f8: 25ef0001 addiu t7,t7,1 + 7fc: 8fa20024 lw v0,36(sp) + 800: 00000000 nop + 804: 14400014 bnez v0,858 + 808: 25440001 addiu a0,t2,1 + 80c: 00041080 sll v0,a0,0x2 + 810: 00041900 sll v1,a0,0x4 + 814: 00621823 subu v1,v1,v0 + 818: 007d1821 addu v1,v1,sp + 81c: 8c620028 lw v0,40(v1) + 820: 00000000 nop + 824: 1440000c bnez v0,858 + 828: 000a1080 sll v0,t2,0x2 + 82c: 000a1900 sll v1,t2,0x4 + 830: 00621823 subu v1,v1,v0 + 834: 007d1821 addu v1,v1,sp + 838: 8c62005c lw v0,92(v1) + 83c: 00000000 nop + 840: 14400005 bnez v0,858 + 844: 24020003 li v0,3 + 848: 25ce0008 addiu t6,t6,8 + 84c: adc20004 sw v0,4(t6) + 850: adc40000 sw a0,0(t6) + 854: 25ef0001 addiu t7,t7,1 + 858: 8fa20018 lw v0,24(sp) + 85c: 00000000 nop + 860: 14400014 bnez v0,8b4 + 864: 25440001 addiu a0,t2,1 + 868: 00041080 sll v0,a0,0x2 + 86c: 00041900 sll v1,a0,0x4 + 870: 00621823 subu v1,v1,v0 + 874: 007d1821 addu v1,v1,sp + 878: 8c62001c lw v0,28(v1) + 87c: 00000000 nop + 880: 1440000c bnez v0,8b4 + 884: 000a1080 sll v0,t2,0x2 + 888: 000a1900 sll v1,t2,0x4 + 88c: 00621823 subu v1,v1,v0 + 890: 007d1821 addu v1,v1,sp + 894: 8c620068 lw v0,104(v1) + 898: 00000000 nop + 89c: 14400005 bnez v0,8b4 + 8a0: 24020002 li v0,2 + 8a4: 25ce0008 addiu t6,t6,8 + 8a8: adc20004 sw v0,4(t6) + 8ac: adc40000 sw a0,0(t6) + 8b0: 25ef0001 addiu t7,t7,1 + 8b4: 8fa2000c lw v0,12(sp) + 8b8: 00000000 nop + 8bc: 14400014 bnez v0,910 + 8c0: 25440001 addiu a0,t2,1 + 8c4: 00041080 sll v0,a0,0x2 + 8c8: 00041900 sll v1,a0,0x4 + 8cc: 00621823 subu v1,v1,v0 + 8d0: 007d1821 addu v1,v1,sp + 8d4: 8c620010 lw v0,16(v1) + 8d8: 00000000 nop + 8dc: 1440000c bnez v0,910 + 8e0: 000a1080 sll v0,t2,0x2 + 8e4: 000a1900 sll v1,t2,0x4 + 8e8: 00621823 subu v1,v1,v0 + 8ec: 007d1821 addu v1,v1,sp + 8f0: 8c620074 lw v0,116(v1) + 8f4: 00000000 nop + 8f8: 14400005 bnez v0,910 + 8fc: 00000000 nop + 900: 25ce0008 addiu t6,t6,8 + 904: adc40000 sw a0,0(t6) + 908: 25ef0001 addiu t7,t7,1 + 90c: add80004 sw t8,4(t6) + 910: 8fa20000 lw v0,0(sp) + 914: 00000000 nop + 918: 14400014 bnez v0,96c + 91c: 25440001 addiu a0,t2,1 + 920: 00041080 sll v0,a0,0x2 + 924: 00041900 sll v1,a0,0x4 + 928: 00621823 subu v1,v1,v0 + 92c: 007d1821 addu v1,v1,sp + 930: 8c620004 lw v0,4(v1) + 934: 00000000 nop + 938: 1440000c bnez v0,96c + 93c: 000a1880 sll v1,t2,0x2 + 940: 000a1100 sll v0,t2,0x4 + 944: 00431023 subu v0,v0,v1 + 948: 005d1021 addu v0,v0,sp + 94c: 8c430080 lw v1,128(v0) + 950: 00000000 nop + 954: 14600005 bnez v1,96c + 958: 00000000 nop + 95c: 25ce0008 addiu t6,t6,8 + 960: adc40000 sw a0,0(t6) + 964: 25ef0001 addiu t7,t7,1 + 968: adc00004 sw zero,4(t6) + 96c: 05e1fefa bgez t7,558 + 970: 00000000 nop + 974: 4090c800 mtc0 s0,$25 + 978: 8fb104b4 lw s1,1204(sp) + 97c: 8fb004b0 lw s0,1200(sp) + 980: 00001021 move v0,zero + 984: 03e00008 jr ra + 988: 27bd04b8 addiu sp,sp,1208 + 98c: 014c2023 subu a0,t2,t4 + 990: 018a1021 addu v0,t4,t2 + 994: 00022900 sll a1,v0,0x4 + 998: 00043100 sll a2,a0,0x4 + 99c: 00021080 sll v0,v0,0x2 + 9a0: 256b0001 addiu t3,t3,1 + 9a4: 000c3880 sll a3,t4,0x2 + 9a8: 000c1900 sll v1,t4,0x4 + 9ac: 00042080 sll a0,a0,0x2 + 9b0: 00a22823 subu a1,a1,v0 + 9b4: 00671823 subu v1,v1,a3 + 9b8: 00c43023 subu a2,a2,a0 + 9bc: 000b1080 sll v0,t3,0x2 + 9c0: 00591021 addu v0,v0,t9 + 9c4: 007d1821 addu v1,v1,sp + 9c8: 00bd2821 addu a1,a1,sp + 9cc: 00dd3021 addu a2,a2,sp + 9d0: ac780000 sw t8,0(v1) + 9d4: ac4c0000 sw t4,0(v0) + 9d8: acb80004 sw t8,4(a1) + 9dc: 1571ff17 bne t3,s1,63c + 9e0: acd80074 sw t8,116(a2) + 9e4: 26100001 addiu s0,s0,1 + 9e8: 4090b800 mtc0 s0,$23 + 9ec: 8f220020 lw v0,32(t9) + 9f0: 240b0007 li t3,7 + 9f4: 02221823 subu v1,s1,v0 + 9f8: 00032100 sll a0,v1,0x4 + 9fc: 00022880 sll a1,v0,0x2 + a00: 00031880 sll v1,v1,0x2 + a04: 00021100 sll v0,v0,0x4 + a08: 00451023 subu v0,v0,a1 + a0c: 00832023 subu a0,a0,v1 + a10: 005d1021 addu v0,v0,sp + a14: 009d2021 addu a0,a0,sp + a18: ac400064 sw zero,100(v0) + a1c: ac400000 sw zero,0(v0) + a20: 05e1fecd bgez t7,558 + a24: ac800074 sw zero,116(a0) + a28: 0800025d j 974 + a2c: 00000000 nop diff --git a/src/hexfiles/nqueens.hex b/src/hexfiles/nqueens.hex new file mode 100644 index 0000000..0cac562 --- /dev/null +++ b/src/hexfiles/nqueens.hex @@ -0,0 +1,4096 @@ +24000000 +24010000 +24020000 +24030000 +24040000 +24050000 +24060000 +24070000 +24080000 +24090000 +240a0000 +240b0000 +240c0000 +240d0000 +240e0000 +240f0000 +24100000 +24110000 +24120000 +24130000 +24140000 +24150000 +24160000 +24170000 +24180000 +24190000 +241a0000 +241b0000 +241c0000 +241d0000 +241e0000 +241f0000 +241d4000 +0c000125 +0380e021 +409cb800 +00000000 +409cc800 +08000026 +00000000 +00000000 +90830000 +00000000 +1060000c +00000000 +8c023ffc +00000000 +30420100 +1440fffc +00000000 +a0033ffc +24840001 +90830000 +00000000 +1460fff6 +00000000 +03e00008 +00000000 +308400ff +8c023ffc +00000000 +30420100 +1440fffc +00000000 +a0043ffc +03e00008 +00000000 +08000047 +00000000 +a0023ff0 +24840001 +90820000 +00000000 +1440fffb +00000000 +a0003ff0 +03e00008 +00000000 +308400ff +a0043ff0 +03e00008 +00000000 +27bdfb38 +afb404c0 +afb304bc +afb204b8 +afb104b4 +8fa104b0 +00807021 +03a01021 +27a304b0 +ac400000 +ac400004 +ac400008 +2442000c +1443fffb +25cfffff +05e0009d +3c020004 +24420a38 +01e01821 +2404ffff +ac430000 +2463ffff +ac40fffc +1464fffc +24420008 +05e00093 +01e06821 +3c020004 +3c030004 +24520a34 +000f2080 +24700cbc +000f1100 +00448823 +2409ffff +0000c821 +24180001 +00909821 +0240a021 +000d10c0 +00521021 +8c4a0000 +8c4b0004 +05200021 +25adffff +012a102a +1440001e +00091080 +00504021 +01202021 +08000088 +240cffff +14600018 +2508fffc +8d020000 +2529ffff +00821823 +01c31821 +00442021 +00042900 +00033100 +00023880 +00042080 +00031880 +00021100 +00a42823 +00c33023 +00471023 +005d1021 +00bd2821 +00dd3021 +ac400000 +01202021 +aca00004 +012a182a +152cffe8 +acc00008 +014b1823 +01c31821 +016a1021 +00022900 +00033100 +00021080 +25290001 +000b3880 +000b2100 +00031880 +00a22823 +00872023 +00c33023 +00091080 +00501021 +009d2021 +00bd2821 +00dd3021 +ac980000 +ac4b0000 +acb80004 +11e90031 +acd80008 +014e1021 +004e1823 +00022880 +00032100 +00021100 +00031880 +00451023 +00832023 +24840020 +24420004 +000d18c0 +03a43821 +03a23021 +254a0001 +00741821 +03b12821 +01e02021 +2408ffff +8ca20000 +00000000 +1440000d +24a5fff4 +8cc20000 +00000000 +14400009 +00000000 +8ce20000 +00000000 +14400005 +00000000 +24630008 +25ad0001 +ac640004 +ac6a0000 +2484ffff +24c6fff4 +1488ffed +24e7000c +05a1ff9d +000d10c0 +4099c800 +8fb404c0 +8fb304bc +8fb204b8 +8fb104b4 +8fb004b0 +03e00008 +27bd04c8 +27390001 +4099b800 +8e620000 +25e9ffff +01e21823 +01e22021 +01c31821 +00042900 +00033100 +00023880 +00042080 +00021100 +00031880 +00471023 +00a42823 +00c33023 +005d1021 +00bd2821 +00dd3021 +ac400000 +aca00004 +05a1ff7d +acc00008 +080000de +00000000 +0000c821 +4099c800 +8fb404c0 +8fb304bc +8fb204b8 +8fb104b4 +8fb004b0 +03e00008 +27bd04c8 +3c02cccc +344acccd +240603e8 +00004021 +24090004 +14c00002 +0086001b +0007000d +00003812 +24e20030 +304500ff +8c023ffc +00000000 +30420100 +1440fffc +00ca0019 +30a200ff +25080001 +a0023ffc +00001810 +11090006 +000318c2 +00e60018 +00603021 +00001012 +0800010d +00822023 +03e00008 +00000000 +27bdfb48 +24030009 +3c020004 +afb104b4 +afb004b0 +03a02021 +27a504b0 +ac430a30 +ac800000 +ac800004 +ac800008 +2484000c +1485fffb +24030008 +3c040004 +24820a34 +ac430004 +24030007 +ac43000c +24030005 +ac800a34 +ac43001c +24040006 +24030003 +ac440014 +ac43002c +24040004 +24030001 +ac440024 +ac43003c +24040002 +3c030004 +ac440034 +ac400008 +ac400010 +ac400018 +ac400020 +ac400028 +ac400030 +ac400038 +ac400044 +ac400040 +24790cbc +244e0040 +240f0008 +240bffff +00008021 +24180001 +24110008 +8dcc0004 +8dca0000 +25efffff +05600109 +25cefff8 +016a102a +14400106 +000b1080 +00594021 +01604821 +08000165 +240dffff +01604821 +146000ff +2508fffc +8d020000 +256bffff +01222023 +01221821 +00032900 +00043100 +00023880 +00031880 +00021100 +00042080 +00a32823 +00471023 +00c43023 +005d1021 +00bd2821 +00dd3021 +ac400000 +016a182a +aca00004 +156dffe9 +acc00074 +014c2023 +018a1021 +00022900 +00043100 +00021080 +000c3880 +000c1900 +00042080 +00a22823 +00671823 +00c43023 +00091080 +00591021 +007d1821 +00bd2821 +00dd3021 +ac780000 +01205821 +acb80004 +ac4c0000 +acd80074 +8fa20060 +00000000 +14400011 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c620064 +00000000 +14400009 +00000000 +8c620014 +00000000 +14400005 +00000000 +25ce0008 +adc40000 +25ef0001 +add10004 +8fa20054 +00000000 +14400014 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c620058 +00000000 +1440000c +000a1080 +000a1900 +00621823 +007d1821 +8c62002c +00000000 +14400005 +24020007 +25ce0008 +adc20004 +adc40000 +25ef0001 +8fa20048 +00000000 +14400014 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c62004c +00000000 +1440000c +000a1080 +000a1900 +00621823 +007d1821 +8c620038 +00000000 +14400005 +24020006 +25ce0008 +adc20004 +adc40000 +25ef0001 +8fa2003c +00000000 +14400014 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c620040 +00000000 +1440000c +000a1080 +000a1900 +00621823 +007d1821 +8c620044 +00000000 +14400005 +24020005 +25ce0008 +adc20004 +adc40000 +25ef0001 +8fa20030 +00000000 +14400014 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c620034 +00000000 +1440000c +000a1080 +000a1900 +00621823 +007d1821 +8c620050 +00000000 +14400005 +24020004 +25ce0008 +adc20004 +adc40000 +25ef0001 +8fa20024 +00000000 +14400014 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c620028 +00000000 +1440000c +000a1080 +000a1900 +00621823 +007d1821 +8c62005c +00000000 +14400005 +24020003 +25ce0008 +adc20004 +adc40000 +25ef0001 +8fa20018 +00000000 +14400014 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c62001c +00000000 +1440000c +000a1080 +000a1900 +00621823 +007d1821 +8c620068 +00000000 +14400005 +24020002 +25ce0008 +adc20004 +adc40000 +25ef0001 +8fa2000c +00000000 +14400014 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c620010 +00000000 +1440000c +000a1080 +000a1900 +00621823 +007d1821 +8c620074 +00000000 +14400005 +00000000 +25ce0008 +adc40000 +25ef0001 +add80004 +8fa20000 +00000000 +14400014 +25440001 +00041080 +00041900 +00621823 +007d1821 +8c620004 +00000000 +1440000c +000a1880 +000a1100 +00431023 +005d1021 +8c430080 +00000000 +14600005 +00000000 +25ce0008 +adc40000 +25ef0001 +adc00004 +05e1fefa +00000000 +4090c800 +8fb104b4 +8fb004b0 +00001021 +03e00008 +27bd04b8 +014c2023 +018a1021 +00022900 +00043100 +00021080 +256b0001 +000c3880 +000c1900 +00042080 +00a22823 +00671823 +00c43023 +000b1080 +00591021 +007d1821 +00bd2821 +00dd3021 +ac780000 +ac4c0000 +acb80004 +1571ff17 +acd80074 +26100001 +4090b800 +8f220020 +240b0007 +02221823 +00032100 +00022880 +00031880 +00021100 +00451023 +00832023 +005d1021 +009d2021 +ac400064 +ac400000 +05e1fecd +ac800074 +0800025d +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/src/hexfiles/qsort.dis b/src/hexfiles/qsort.dis new file mode 100644 index 0000000..f9448f9 --- /dev/null +++ b/src/hexfiles/qsort.dis @@ -0,0 +1,260 @@ +/home/tullsen/classes/148.09/sp10/mips-testing/tools/local/bin/mips-elf-objdump -d mips.elf.hw + +mips.elf.hw: file format elf32-bigmips + +Disassembly of section .text: + +00000000 <_ftext>: + 0: 24000000 li zero,0 + 4: 24010000 li at,0 + 8: 24020000 li v0,0 + c: 24030000 li v1,0 + 10: 24040000 li a0,0 + 14: 24050000 li a1,0 + 18: 24060000 li a2,0 + 1c: 24070000 li a3,0 + 20: 24080000 li t0,0 + 24: 24090000 li t1,0 + 28: 240a0000 li t2,0 + 2c: 240b0000 li t3,0 + 30: 240c0000 li t4,0 + 34: 240d0000 li t5,0 + 38: 240e0000 li t6,0 + 3c: 240f0000 li t7,0 + 40: 24100000 li s0,0 + 44: 24110000 li s1,0 + 48: 24120000 li s2,0 + 4c: 24130000 li s3,0 + 50: 24140000 li s4,0 + 54: 24150000 li s5,0 + 58: 24160000 li s6,0 + 5c: 24170000 li s7,0 + 60: 24180000 li t8,0 + 64: 24190000 li t9,0 + 68: 241a0000 li k0,0 + 6c: 241b0000 li k1,0 + 70: 241c0000 li gp,0 + 74: 241d0000 li sp,0 + 78: 241e0000 li s8,0 + 7c: 241f0000 li ra,0 + 80: 241d4000 li sp,16384 + 84: 0c00007d jal 1f4 + 88: 0380e021 move gp,gp + 8c: 409cb800 mtc0 gp,$23 + 90: 00000000 nop + 94: 409cc800 mtc0 gp,$25 + 98: 08000026 j 98 <_ftext+0x98> + 9c: 00000000 nop + a0: 00000000 nop + +000000a4 : + a4: 27bde0c0 addiu sp,sp,-8000 + a8: afa50fa0 sw a1,4000(sp) + ac: afa00000 sw zero,0(sp) + b0: 00006821 move t5,zero + b4: 240f03e7 li t7,999 + b8: 03a05821 move t3,sp + bc: 8d6e0fa0 lw t6,4000(t3) + c0: 8d660000 lw a2,0(t3) + c4: 25c9ffff addiu t1,t6,-1 + c8: 00c9102a slt v0,a2,t1 + cc: 10400041 beqz v0,1d4 + d0: 00065080 sll t2,a2,0x2 + d4: 01441021 addu v0,t2,a0 + d8: 8c480000 lw t0,0(v0) + dc: 11af0042 beq t5,t7,1e8 + e0: 00c9382a slt a3,a2,t1 + e4: 00091080 sll v0,t1,0x2 + e8: 00826021 addu t4,a0,v0 + ec: 8d830000 lw v1,0(t4) + f0: 00000000 nop + f4: 0068102a slt v0,v1,t0 + f8: 1440000b bnez v0,128 + fc: 00000000 nop + 100: 10e00010 beqz a3,144 + 104: 008a1821 addu v1,a0,t2 + 108: 2529ffff addiu t1,t1,-1 + 10c: 00091080 sll v0,t1,0x2 + 110: 00826021 addu t4,a0,v0 + 114: 8d830000 lw v1,0(t4) + 118: 00000000 nop + 11c: 0068102a slt v0,v1,t0 + 120: 1040fff7 beqz v0,100 + 124: 00c9382a slt a3,a2,t1 + 128: 10e00005 beqz a3,140 + 12c: 01441021 addu v0,t2,a0 + 130: 24c60001 addiu a2,a2,1 + 134: 00c9382a slt a3,a2,t1 + 138: 00065080 sll t2,a2,0x2 + 13c: ac430000 sw v1,0(v0) + 140: 008a1821 addu v1,a0,t2 + 144: 8c650000 lw a1,0(v1) + 148: 00000000 nop + 14c: 0105102a slt v0,t0,a1 + 150: 1440000e bnez v0,18c + 154: 00000000 nop + 158: 10e00013 beqz a3,1a8 + 15c: 24620004 addiu v0,v1,4 + 160: 0800005c j 170 + 164: 01203821 move a3,t1 + 168: 10c7000f beq a2,a3,1a8 + 16c: 24620004 addiu v0,v1,4 + 170: 8c450000 lw a1,0(v0) + 174: 00401821 move v1,v0 + 178: 0105102a slt v0,t0,a1 + 17c: 1040fffa beqz v0,168 + 180: 24c60001 addiu a2,a2,1 + 184: 00065080 sll t2,a2,0x2 + 188: 00c9382a slt a3,a2,t1 + 18c: 10e00006 beqz a3,1a8 + 190: 008a1821 addu v1,a0,t2 + 194: 2529ffff addiu t1,t1,-1 + 198: 00c9382a slt a3,a2,t1 + 19c: 14e0ffd1 bnez a3,e4 + 1a0: ad850000 sw a1,0(t4) + 1a4: 008a1821 addu v1,a0,t2 + 1a8: 24c20001 addiu v0,a2,1 + 1ac: 25ad0001 addiu t5,t5,1 + 1b0: ac680000 sw t0,0(v1) + 1b4: ad620004 sw v0,4(t3) + 1b8: ad6e0fa4 sw t6,4004(t3) + 1bc: ad660fa0 sw a2,4000(t3) + 1c0: 05a1ffbe bgez t5,bc + 1c4: 256b0004 addiu t3,t3,4 + 1c8: 24020001 li v0,1 + 1cc: 03e00008 jr ra + 1d0: 27bd1f40 addiu sp,sp,8000 + 1d4: 25adffff addiu t5,t5,-1 + 1d8: 05a1ffb8 bgez t5,bc + 1dc: 256bfffc addiu t3,t3,-4 + 1e0: 08000073 j 1cc + 1e4: 24020001 li v0,1 + 1e8: 00001021 move v0,zero + 1ec: 03e00008 jr ra + 1f0: 27bd1f40 addiu sp,sp,8000 + +000001f4 : + 1f4: 27bde0b0 addiu sp,sp,-8016 + 1f8: afb21f48 sw s2,8008(sp) + 1fc: 3c120000 lui s2,0x0 + 200: 3c030001 lui v1,0x1 + 204: 26420400 addiu v0,s2,1024 + 208: 00431021 addu v0,v0,v1 + 20c: afb11f44 sw s1,8004(sp) + 210: afb01f40 sw s0,8000(sp) + 214: 8c449c40 lw a0,-25536(v0) + 218: 3403a414 li v1,0xa414 + 21c: 10830067 beq a0,v1,3bc + 220: 24020001 li v0,1 + 224: 4082c000 mtc0 v0,$24 + 228: 3c020000 lui v0,0x0 + 22c: 24580400 addiu t8,v0,1024 + 230: 24034e20 li v1,20000 + 234: 3c020000 lui v0,0x0 + 238: afa30fa0 sw v1,4000(sp) + 23c: afa00000 sw zero,0(sp) + 240: 24510404 addiu s1,v0,1028 + 244: 00001821 move v1,zero + 248: 241903e7 li t9,999 + 24c: 03008021 move s0,t8 + 250: 00032880 sll a1,v1,0x2 + 254: 00bd1021 addu v0,a1,sp + 258: 8c4e0fa0 lw t6,4000(v0) + 25c: 8c470000 lw a3,0(v0) + 260: 25c9ffff addiu t1,t6,-1 + 264: 00e9102a slt v0,a3,t1 + 268: 1040004f beqz v0,3a8 + 26c: 00075880 sll t3,a3,0x2 + 270: 01781021 addu v0,t3,t8 + 274: 8c4a0000 lw t2,0(v0) + 278: 10790041 beq v1,t9,380 + 27c: 26420400 addiu v0,s2,1024 + 280: 00e9402a slt t0,a3,t1 + 284: 02006821 move t5,s0 + 288: 02207821 move t7,s1 + 28c: 00091080 sll v0,t1,0x2 + 290: 004d6021 addu t4,v0,t5 + 294: 8d840000 lw a0,0(t4) + 298: 00000000 nop + 29c: 008a102a slt v0,a0,t2 + 2a0: 1440000b bnez v0,2d0 + 2a4: 00000000 nop + 2a8: 11000011 beqz t0,2f0 + 2ac: 016d3021 addu a2,t3,t5 + 2b0: 2529ffff addiu t1,t1,-1 + 2b4: 00091080 sll v0,t1,0x2 + 2b8: 004d6021 addu t4,v0,t5 + 2bc: 8d840000 lw a0,0(t4) + 2c0: 00000000 nop + 2c4: 008a102a slt v0,a0,t2 + 2c8: 1040fff7 beqz v0,2a8 + 2cc: 00e9402a slt t0,a3,t1 + 2d0: 11000007 beqz t0,2f0 + 2d4: 016d3021 addu a2,t3,t5 + 2d8: 016d1021 addu v0,t3,t5 + 2dc: 24e70001 addiu a3,a3,1 + 2e0: ac440000 sw a0,0(v0) + 2e4: 00075880 sll t3,a3,0x2 + 2e8: 00e9402a slt t0,a3,t1 + 2ec: 016d3021 addu a2,t3,t5 + 2f0: 8cc40000 lw a0,0(a2) + 2f4: 00000000 nop + 2f8: 0144102a slt v0,t2,a0 + 2fc: 1440000e bnez v0,338 + 300: 00000000 nop + 304: 11000013 beqz t0,354 + 308: 016f1021 addu v0,t3,t7 + 30c: 080000c7 j 31c + 310: 01204021 move t0,t1 + 314: 10e8000f beq a3,t0,354 + 318: 24c20004 addiu v0,a2,4 + 31c: 8c440000 lw a0,0(v0) + 320: 00403021 move a2,v0 + 324: 0144102a slt v0,t2,a0 + 328: 1040fffa beqz v0,314 + 32c: 24e70001 addiu a3,a3,1 + 330: 00075880 sll t3,a3,0x2 + 334: 00e9402a slt t0,a3,t1 + 338: 11000005 beqz t0,350 + 33c: 00000000 nop + 340: 2529ffff addiu t1,t1,-1 + 344: 00e9402a slt t0,a3,t1 + 348: 1500ffd0 bnez t0,28c + 34c: ad840000 sw a0,0(t4) + 350: 01783021 addu a2,t3,t8 + 354: 24630001 addiu v1,v1,1 + 358: 00031080 sll v0,v1,0x2 + 35c: 005d1021 addu v0,v0,sp + 360: 00bd2821 addu a1,a1,sp + 364: 24e40001 addiu a0,a3,1 + 368: acca0000 sw t2,0(a2) + 36c: ac4e0fa0 sw t6,4000(v0) + 370: ac440000 sw a0,0(v0) + 374: 0461ffb6 bgez v1,250 + 378: aca70fa0 sw a3,4000(a1) + 37c: 26420400 addiu v0,s2,1024 + 380: 8c420030 lw v0,48(v0) + 384: 24030029 li v1,41 + 388: 1043000f beq v0,v1,3c8 + 38c: 00000000 nop + 390: 4082c000 mtc0 v0,$24 + 394: 8fb21f48 lw s2,8008(sp) + 398: 8fb11f44 lw s1,8004(sp) + 39c: 8fb01f40 lw s0,8000(sp) + 3a0: 03e00008 jr ra + 3a4: 27bd1f50 addiu sp,sp,8016 + 3a8: 2463ffff addiu v1,v1,-1 + 3ac: 0461ffa8 bgez v1,250 + 3b0: 26420400 addiu v0,s2,1024 + 3b4: 080000e0 j 380 + 3b8: 00000000 nop + 3bc: 4082b800 mtc0 v0,$23 + 3c0: 0800008b j 22c + 3c4: 3c020000 lui v0,0x0 + 3c8: 24020029 li v0,41 + 3cc: 4082c800 mtc0 v0,$25 + 3d0: 8fb21f48 lw s2,8008(sp) + 3d4: 8fb11f44 lw s1,8004(sp) + 3d8: 8fb01f40 lw s0,8000(sp) + 3dc: 03e00008 jr ra + 3e0: 27bd1f50 addiu sp,sp,8016 diff --git a/src/hexfiles/qsort.hex b/src/hexfiles/qsort.hex new file mode 100644 index 0000000..69a0025 --- /dev/null +++ b/src/hexfiles/qsort.hex @@ -0,0 +1,22500 @@ +24000000 +24010000 +24020000 +24030000 +24040000 +24050000 +24060000 +24070000 +24080000 +24090000 +240a0000 +240b0000 +240c0000 +240d0000 +240e0000 +240f0000 +24100000 +24110000 +24120000 +24130000 +24140000 +24150000 +24160000 +24170000 +24180000 +24190000 +241a0000 +241b0000 +241c0000 +241d0000 +241e0000 +241f0000 +3c1d0002 +0c00007d +0380e021 +409cb800 +00000000 +409cc800 +08000026 +00000000 +00000000 +27bde0c0 +afa50fa0 +afa00000 +00006821 +240f03e7 +03a05821 +8d6e0fa0 +8d660000 +25c9ffff +00c9102a +10400041 +00065080 +01441021 +8c480000 +11af0042 +00c9382a +00091080 +00826021 +8d830000 +00000000 +0068102a +1440000b +00000000 +10e00010 +008a1821 +2529ffff +00091080 +00826021 +8d830000 +00000000 +0068102a +1040fff7 +00c9382a +10e00005 +01441021 +24c60001 +00c9382a +00065080 +ac430000 +008a1821 +8c650000 +00000000 +0105102a +1440000e +00000000 +10e00013 +24620004 +0800005c +01203821 +10c7000f +24620004 +8c450000 +00401821 +0105102a +1040fffa +24c60001 +00065080 +00c9382a +10e00006 +008a1821 +2529ffff +00c9382a +14e0ffd1 +ad850000 +008a1821 +24c20001 +25ad0001 +ac680000 +ad620004 +ad6e0fa4 +ad660fa0 +05a1ffbe +256b0004 +24020001 +03e00008 +27bd1f40 +25adffff +05a1ffb8 +256bfffc +08000073 +24020001 +00001021 +03e00008 +27bd1f40 +27bde0b0 +afb21f48 +3c120000 +3c030001 +26420400 +00431021 +afb11f44 +afb01f40 +8c449c40 +3403a414 +10830067 +24020001 +4082c000 +3c020000 +24580400 +24034e20 +3c020000 +afa30fa0 +afa00000 +24510404 +00001821 +241903e7 +03008021 +00032880 +00bd1021 +8c4e0fa0 +8c470000 +25c9ffff +00e9102a +1040004f +00075880 +01781021 +8c4a0000 +10790041 +26420400 +00e9402a +02006821 +02207821 +00091080 +004d6021 +8d840000 +00000000 +008a102a +1440000b +00000000 +11000011 +016d3021 +2529ffff +00091080 +004d6021 +8d840000 +00000000 +008a102a +1040fff7 +00e9402a +11000007 +016d3021 +016d1021 +24e70001 +ac440000 +00075880 +00e9402a +016d3021 +8cc40000 +00000000 +0144102a +1440000e +00000000 +11000013 +016f1021 +080000c7 +01204021 +10e8000f +24c20004 +8c440000 +00403021 +0144102a +1040fffa +24e70001 +00075880 +00e9402a +11000005 +00000000 +2529ffff +00e9402a +1500ffd0 +ad840000 +01783021 +24630001 +00031080 +005d1021 +00bd2821 +24e40001 +acca0000 +ac4e0fa0 +ac440000 +0461ffb6 +aca70fa0 +26420400 +8c420030 +24030029 +1043000f +00000000 +4082c000 +8fb21f48 +8fb11f44 +8fb01f40 +03e00008 +27bd1f50 +2463ffff +0461ffa8 +26420400 +080000e0 +00000000 +4082b800 +0800008b +3c020000 +24020029 +4082c800 +8fb21f48 +8fb11f44 +8fb01f40 +03e00008 +27bd1f50 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +0000018b +00004a16 +00002a27 +000039e2 +00004956 +0000b8b4 +000068c9 +0000224d +00008d9c +0000dfda +0000ca79 +0000c4f1 +0000fa29 +00002d69 +0000195d +0000a900 +0000bb7e +0000ccdf +0000531e +00005331 +0000241c +00000e2a +00001c4c +0000a5e1 +000026ff +0000279e +0000c06f +0000e153 +0000bfba +0000e502 +0000e8ab +00002618 +00008230 +0000f5c5 +0000d30e +00007b8a +00002bec +0000ac4e +0000747a +00007c72 +0000b11e +00002071 +00008f2a +0000ebc9 +0000df33 +0000c6fd +000067e2 +0000939c +00004f0f +0000b072 +000063b7 +0000f008 +000000ea +0000c0d0 +00007878 +00004865 +00009bc4 +0000528a +0000f9b8 +0000f880 +0000ea86 +0000d69a +0000ec79 +0000cc00 +0000d0b7 +000023ae +0000ab77 +00006de9 +000090d7 +00004680 +0000460e +00000e3f +0000de5c +0000c543 +0000a3c8 +00007fc2 +0000d546 +00006839 +00001687 +00002fd8 +00009161 +0000b961 +0000a54c +00007a89 +0000bf17 +00008d4e +0000f9a1 +0000fca4 +00001fef +00000713 +000079a9 +0000a5fe +00009ca6 +0000a95b +0000b8c2 +00006dda +00001ee8 +00002695 +000008d4 +0000ab64 +00000cab +0000c24c +00009639 +00003458 +0000df29 +00003594 +00001849 +000083c0 +0000ef78 +000028a0 +0000c080 +00004ada +000032c7 +00007371 +0000b24e +0000ee17 +00004037 +000077a9 +0000ed7c +00009040 +00009a53 +0000057e +00003536 +00006db4 +0000d62e +00005dc9 +00001db9 +000069cc +0000da18 +0000033e +00000a9a +0000d05f +00000dfc +00002cb7 +000087b0 +00006d61 +0000f759 +0000caa9 +0000069f +00003ca6 +00005adb +000031b7 +0000ab02 +000013c5 +00009d95 +00009c65 +00006f34 +0000c817 +0000bfde +0000d5e5 +00008bbb +000072df +0000ebc5 +0000800d +0000ab52 +00009583 +00003132 +0000866a +00001592 +0000fff9 +0000a99a +0000706e +00009a3c +00007b3d +0000dd5e +000064c4 +0000a725 +000059fe +0000e4c1 +0000cfc4 +000092be +00003159 +00005e84 +0000bf02 +0000c53f +00001bbc +0000f620 +00002401 +00000a70 +000007ed +0000d3a0 +00005005 +0000f614 +0000b623 +0000ef18 +00001b06 +0000e4f2 +00002552 +0000e1c5 +000047c1 +0000c481 +00005284 +00006ec3 +0000d6e8 +00006b2f +00004c63 +00009d65 +00001b79 +0000eb4e +0000bcd3 +0000df34 +0000822c +0000ea9c +00002abc +00005b87 +00001e05 +0000a86d +000055e5 +00001abc +00002c0a +0000fc77 +00002efc +00006b10 +0000e00f +0000383a +00000db2 +00007920 +0000ecad +0000750a +0000e703 +0000b5fd +00005851 +000078ba +00006593 +000044e6 +00005170 +0000fae7 +0000e634 +00004aa4 +0000d3d8 +0000b4de +00007a84 +00009887 +00001122 +0000462b +000033b3 +0000ccf8 +0000ae44 +000097bf +00001763 +0000a3bb +00000843 +000007bd +000040a8 +0000cfc4 +0000d205 +000047e1 +0000cf2f +000058b2 +00007d44 +0000788d +0000667a +000015ec +0000009f +0000aa72 +00001f49 +00008157 +0000acca +000090d7 +0000ed15 +0000bbb1 +0000e2e6 +0000ce89 +000099b5 +00003c8d +00009dec +0000e75f +00009924 +000047eb +0000e142 +00008069 +0000175a +0000e373 +00003e70 +000038b5 +0000c256 +0000a54e +0000c3ec +000004bd +0000f23d +000056a2 +0000c711 +00009670 +0000f19c +000003a8 +00001b2e +0000fbdb +000047ca +0000b36b +000067bb +00003e74 +00000769 +0000a11e +00006fa7 +00006d03 +0000430b +0000711b +00003bcd +00001a2e +0000e9f0 +00007b74 +00003a84 +0000f99f +00006eed +0000e638 +00008655 +0000e5d4 +0000bb69 +0000e944 +0000a5c8 +00003882 +00001082 +000035c2 +00003657 +0000ffa7 +0000984d +00001b43 +00001484 +0000392b +0000893a +0000a475 +0000b306 +0000df32 +0000dd95 +00008581 +0000731e +00002f01 +0000c128 +00005603 +0000f009 +0000348a +0000f6fe +000030a7 +00004f8e +00004495 +00009942 +0000725d +0000c9b7 +0000af8d +0000b546 +0000f338 +0000b99c +000096e5 +000065a1 +0000b2e8 +0000a95a +00006f25 +00002c70 +000092d2 +0000eb23 +00005895 +00006fbc +000057c9 +00007170 +00001884 +000019fc +0000cd6c +0000b851 +00001d35 +000080b1 +0000851c +0000b1e4 +00009667 +0000d32f +00004a97 +0000c7e4 +00004c80 +0000737c +00000836 +00002459 +00008055 +0000bb51 +000074bf +0000936d +0000bd98 +0000cf3d +000084e8 +0000705f +000029df +000041e9 +00003a69 +00002f90 +00007a4d +00006976 +00000abc +000037bb +000059df +00006903 +0000b774 +0000dc42 +0000aa55 +0000104a +00001237 +00006aa3 +0000a9ba +0000d36a +0000c65a +00005d09 +0000a692 +00003cbf +0000e217 +0000e3ff +00008ca0 +00005aed +0000796d +0000193f +00003451 +0000ccfc +00005c95 +00004da9 +0000fec8 +00002e9d +00007c1c +0000044f +0000e887 +0000c68b +000034a2 +00004cad +0000dcb7 +0000790d +00005c2d +00004df6 +0000b314 +00002098 +00008b2b +0000f589 +0000e277 +00008e91 +0000bb02 +0000da83 +00009201 +0000962f +0000f456 +0000886e +000052e1 +0000a37f +000066d6 +00008316 +00006cc3 +00008081 +0000e4c3 +0000757c +000046d6 +00000a70 +00005bff +00001de4 +00001777 +0000b91f +000086cd +0000a909 +0000a47f +0000fa7f +0000ae2b +00004e71 +00007e26 +0000843d +0000f7c9 +00001fdb +0000be92 +0000dd88 +000059a5 +00001dd4 +0000f800 +000082f0 +0000ed44 +0000c368 +00009b8e +0000266a +0000fc8e +00005af6 +0000c2a2 +0000ad70 +0000c247 +00008e1d +0000e4fb +0000af45 +00007826 +0000c2d2 +0000a552 +00005556 +0000ec94 +0000f794 +00007eac +00009fbc +00007a02 +0000f0b6 +0000bc3f +00002fde +0000d9e7 +0000a8db +0000c5fe +0000db34 +0000ed55 +00001681 +00006bc3 +00006826 +00003537 +000078b3 +00007918 +0000f713 +00005429 +00007ce2 +00007ba2 +0000b96d +000093f4 +0000afce +0000462b +0000b901 +000008a5 +0000cb9f +00005a4b +00009154 +00008b48 +00009510 +000002b1 +00001d29 +00006646 +000019cb +00008813 +00003a20 +00002d58 +000041cf +00008ab0 +0000e478 +0000db27 +0000c60d +00002a7e +00000cf5 +0000ee82 +0000be13 +000047f6 +0000aadd +0000e13b +000016df +0000c778 +0000ac1c +0000f29e +000064d6 +0000615b +00008587 +0000df8f +0000a2cd +00002895 +0000453d +0000c23f +00009043 +00009204 +0000292a +0000f38b +000080b2 +00006657 +0000dba5 +000067f1 +00008dfa +0000a89c +00009839 +0000a22f +00003ffe +00001b66 +00009a81 +0000f57a +0000db4a +0000ae9a +0000592c +00007158 +0000a8f1 +0000bfd6 +00002f21 +0000711a +0000a976 +0000b77f +000034bc +000068fa +000044e0 +00002c66 +00002b35 +00002acd +0000a9f1 +00005817 +00007c22 +00007c64 +0000ae6f +000045bd +00006f13 +00007985 +000022a1 +0000c5b5 +0000d75b +0000db81 +0000a9d6 +0000cfad +00009bee +0000e072 +00004a1e +00009d79 +00009b66 +00002c13 +0000051c +00006872 +00008e20 +00009c3c +0000ebf3 +0000538b +0000a883 +0000aaf8 +0000405e +0000abf2 +0000df60 +00009844 +000054dc +00004a5e +0000d075 +00003567 +0000fe08 +00007efb +0000710c +0000cbe9 +00008707 +000091f0 +0000c4c1 +00003a31 +00003b1a +00008289 +0000fe60 +0000ffbf +0000b653 +00004f06 +00008ced +00009302 +00004f64 +0000aeff +0000b6bd +0000fad6 +00000c92 +0000ca72 +000084c1 +0000459e +0000b5c9 +0000176b +0000dfe3 +000017fb +0000b5ca +0000284e +0000e288 +00002d26 +00009c7f +0000d4c4 +00008036 +000042f0 +00003470 +0000c740 +0000c54f +00008b53 +00003d4d +0000192d +000010fc +00005db3 +0000fdab +000047b6 +0000cb25 +000081b7 +0000fdcc +00006b31 +0000389c +00000dbf +00005d97 +00003b29 +00002f59 +00007301 +000057a6 +00000906 +00008ce0 +0000f143 +0000a37e +00007b5b +000070bf +00004c37 +000088da +000073cc +00005d33 +00003c6e +00009620 +0000299a +00007feb +0000b5fa +00000bf5 +000022cd +000084e4 +0000bea5 +00002fec +000055fd +00007573 +00002dc7 +0000d859 +00005ebc +00004561 +00004fc8 +0000a344 +00004ea3 +0000d030 +00000733 +00006a79 +000044f8 +0000287c +0000a572 +0000a6bd +000096f9 +00003b7d +0000552c +0000941b +0000f2c8 +0000eaa9 +00009038 +0000095c +000086f2 +0000dd76 +0000bb3c +00001494 +0000d89d +00006d3d +0000a0e7 +00008ed9 +0000924e +00002d3a +000026c7 +0000f90f +00003555 +0000b0b0 +000035c7 +000013df +000037d5 +00005129 +00007049 +0000c4c6 +0000b974 +0000d6db +0000efdc +0000fa49 +0000989b +00000527 +0000e49a +00006146 +0000526a +00002d3b +00009021 +0000dd66 +0000b73c +000008d6 +0000b62c +0000d6af +00001dd2 +00003f2b +00003ccd +00008e36 +00005f1e +000015df +00005649 +0000cbf2 +000084ae +0000f02d +00002e8f +000057ab +0000b3b3 +00005e29 +0000b657 +0000cf10 +00007eae +00009e22 +0000c5d4 +00008ae8 +000067b6 +00009220 +00007115 +00006561 +0000fd68 +00004b8c +000007fd +00005385 +00009c6b +00006eef +0000dbdd +0000e3ca +00007f9e +0000d1d3 +000084ab +0000d3c8 +000068e1 +0000d4c5 +0000ffe2 +00000f05 +0000cc7d +00001b7c +0000a2f5 +000088ec +00000931 +00006514 +00007b71 +000083dd +0000d6da +00006673 +000056ae +0000f75e +0000b5bd +0000a8cd +00004b31 +0000e76e +0000c874 +00008e42 +00003da1 +00009efa +00002274 +0000bea4 +00007b64 +0000df69 +00003537 +00005e57 +000024d6 +00003752 +0000a60a +00009151 +0000c126 +0000d24d +00007675 +0000ec42 +0000f5cc +000033e7 +00000942 +000095cd +0000fbaa +00006faf +00002e2c +0000f9f3 +00000bbf +0000906d +0000fe26 +00001191 +00009d82 +00000072 +0000f244 +00006000 +0000f0e1 +0000e50b +00003f4a +0000e8da +0000fdd5 +00007f0f +00001fd0 +00007fdc +000083a2 +0000c298 +0000570e +0000f4e7 +000091a8 +000077ce +0000d64a +0000be21 +000083e9 +000056df +00000edb +0000e238 +00000d18 +0000b10b +00001ad8 +000004c7 +0000885a +000058db +00008662 +000096d1 +00006c9c +00009b71 +0000238b +00004d69 +00005b90 +00003cfb +0000f2e2 +00002074 +0000e645 +00008a41 +0000ca9d +00003387 +0000c161 +0000b15e +00000661 +00002aea +0000c2fe +0000b38c +000029b0 +00008ebe +0000a41f +00006218 +0000feef +0000fe3a +00001fce +0000f874 +00005d13 +00001511 +0000a9d3 +0000056b +00005de8 +000004f0 +00009712 +0000756f +00006efe +00000523 +00003182 +0000b00e +00004730 +0000da57 +0000d1c6 +0000da83 +00006ad2 +0000d87a +0000b869 +00007169 +00008876 +0000e0bf +000000bb +00008b8b +0000985b +0000fdbd +0000a742 +000037d6 +00004873 +00005fb7 +00003ddf +00008870 +0000df10 +00009af6 +0000877f +0000fce1 +00005e2a +00002a53 +0000d57a +00001d6f +0000514f +000057d1 +0000a08b +00003989 +00005027 +0000cd66 +0000776d +00005b59 +0000dfa3 +00002fdb +0000fd0e +0000a27c +0000fbc2 +000045c9 +00005072 +000057ce +000033ff +00004cc3 +0000d827 +00002c5e +0000e45b +00003e92 +00000b60 +00003581 +0000b502 +0000e89b +000072b1 +00005802 +00002a9d +0000d75d +0000ba66 +0000f477 +0000b133 +00003817 +00005080 +0000c6af +00002bbc +0000f286 +0000a84d +00000a47 +0000b244 +00005ccc +0000dda3 +0000165b +0000c8b9 +00000b6c +000011b9 +0000c25a +0000f851 +00005f72 +00007ba1 +000005f3 +0000559a +000094b0 +0000c666 +0000662c +00001d1f +00003222 +0000f6be +0000e191 +000030b4 +0000de73 +0000b26c +00002d89 +0000df5f +0000ba99 +00006335 +000036c4 +000001e3 +0000849b +00004f7c +0000f6d0 +000015ea +0000e473 +0000627c +0000d0cb +0000a1ce +0000650e +0000fe22 +0000c733 +0000cb04 +0000bb6f +0000d085 +0000fcd9 +0000a925 +00001df4 +00004703 +000012f4 +00007f9b +00008db1 +0000d8f9 +00001652 +0000a7e4 +000013f8 +0000041e +0000cda9 +00009686 +000015fb +0000747d +00005b0c +0000048f +00000088 +0000720d +00004279 +0000e7c8 +0000adf2 +00004dee +0000078f +00008376 +00000810 +00002942 +0000b45d +00007bcc +0000885f +00000fa6 +0000bb55 +000075f0 +00005843 +0000ff4c +0000c65f +00006ea8 +0000f36b +000018b7 +0000250a +000091a1 +00004e51 +0000d011 +0000abdd +0000fb5c +0000a2e1 +00009a2c +0000f6cc +00006fb6 +00002531 +00002f13 +000020c6 +0000af12 +0000026f +00001c4c +0000226b +0000b424 +00002bd9 +000000ae +000029db +0000c45d +00008fe4 +00006bd9 +00005daa +0000dcee +00008380 +0000fb55 +00009cef +0000a67b +00003d73 +0000ef4a +0000ee7c +0000b95e +000065e2 +000010d9 +00007127 +00009c95 +0000dbef +00006417 +0000bf47 +00008949 +0000f37b +0000bfa4 +0000d73b +00009cfc +00008d0c +000003eb +0000bb24 +0000c44e +000089ca +00004bf8 +000019b2 +0000486b +00003da4 +000011f0 +0000721c +00008914 +0000a190 +0000e132 +00004529 +00000d49 +000027ef +0000d009 +0000056c +0000b491 +0000350e +00009b0a +0000988d +000072e5 +00005dc9 +0000dc11 +00005bc1 +0000a13f +0000ae4a +000076d2 +0000bd5b +00008cba +00005aef +0000f51e +00009d7c +00008e66 +00005d4a +00002cb5 +0000c7e6 +000095aa +00009f41 +000028c2 +0000f8ef +0000af56 +00007656 +0000f0f7 +0000ff91 +0000b345 +00005101 +00006845 +0000ae9e +0000e2ab +0000983a +00001d38 +00006f10 +0000e101 +0000e713 +000085ee +0000657f +0000118a +0000da81 +0000c1b2 +00003cab +00000380 +0000db3b +00009a39 +0000c739 +000036e0 +000063ba +00001e78 +0000ca7b +000023cf +00006461 +0000d120 +00006468 +00000ea7 +000087b7 +000004b8 +00009eab +0000d2a3 +000038cf +00009f55 +0000e0d7 +00006d23 +00006dc9 +00001ff7 +000013b7 +0000b39b +00005871 +00005f83 +000067c3 +00003e1e +00003f04 +00002759 +0000d0af +00003083 +0000df13 +00005791 +0000681e +00002c31 +0000dc81 +0000f6d7 +00000975 +0000548d +0000dea6 +000025e0 +000098ca +0000effc +00001d96 +00009088 +000086fa +0000cf97 +0000417c +0000968a +000044d9 +0000476b +0000961f +000005db +00007785 +00002169 +0000b487 +0000e0a3 +0000dfd4 +000094f1 +0000e4b9 +000048d9 +000006eb +0000ecf5 +0000999d +00004b7a +0000e1f0 +000026cd +00008901 +0000e565 +0000bed7 +00007398 +000001b6 +00003bd6 +0000ec59 +00002649 +000041d8 +0000a27d +0000af03 +00003855 +0000af2c +0000a93a +0000356b +00002ef7 +0000f3a1 +00000b78 +00005d7d +0000cb1e +00001ff9 +0000fb23 +00003cf3 +00008df5 +00001681 +0000e147 +00007ee3 +0000bc0c +00009ffc +00004e46 +0000c872 +00002928 +0000ac9e +000073b6 +000076a6 +0000b6a4 +00006334 +000021d5 +0000275a +00000e81 +0000c061 +0000e2a9 +00009f4a +0000c512 +0000a802 +00006cb8 +0000bf4e +0000ab3d +00006ab3 +00004761 +00005aa4 +0000bb6e +0000e16b +00001ad6 +0000e066 +0000ab1e +00006142 +0000c5b7 +0000ea1a +0000cafb +0000e954 +0000f24b +0000e168 +00007fb5 +00000cbd +0000855d +00000ee6 +00003d65 +00003ac3 +0000e0b1 +0000840f +00008f99 +00002713 +00009322 +00007253 +00005800 +00003424 +0000b059 +0000a148 +00000daf +0000e1b8 +0000aa2c +0000d5fe +0000670d +00006181 +00002590 +00001d70 +00007862 +000093e0 +0000e53c +00000c15 +0000f0f6 +0000cec8 +000083dd +00002496 +0000c0e9 +0000f0c2 +000057ef +0000b79b +0000118f +00006209 +00007b3f +0000aebf +00001a85 +0000c5d5 +00008ffa +0000d4a2 +0000fd55 +00003db5 +00007d70 +00004c1c +000071c1 +00004115 +0000fe6b +0000088e +0000acb0 +00002adf +00007b26 +00003955 +00008bb2 +0000bf3e +000048ec +0000ba58 +0000af32 +00000b7e +0000094f +0000bbc1 +0000cd37 +00002210 +00008301 +0000f2c8 +000026d6 +000054a9 +0000ee52 +0000c5ab +0000aa38 +0000ae89 +00004f46 +000004ba +0000eb44 +000090d9 +00001752 +0000e28e +0000bce9 +0000732d +0000e8b3 +0000fd5a +0000d509 +0000ea2a +0000f56c +00006b59 +00008505 +00003641 +000013da +0000dc67 +000030e1 +0000bc99 +000042f5 +000002ac +0000cf14 +0000ec0b +0000f825 +00009473 +00005aef +00003047 +000030be +00005919 +0000c3a7 +0000b514 +0000e11a +0000d320 +00008201 +0000dbb4 +0000fb52 +00003963 +00009e99 +00006465 +0000e798 +00009164 +000092ce +00006e04 +0000e834 +0000dcc1 +00000e4d +00008dcd +00008319 +00006bc5 +00004b39 +0000613d +0000ab24 +0000871b +00003af4 +00001c18 +000002f0 +0000c29e +0000758d +0000b486 +00003358 +00006b4b +000075c6 +00006f59 +0000ef8a +0000a258 +00004bc0 +0000ae6a +0000e0c4 +0000d75e +00009045 +00000321 +000053af +000073b1 +000002b2 +0000a712 +00002154 +0000a8d0 +0000db7f +00009cc4 +0000edbf +000073f8 +00007d6d +0000da8e +0000762f +000008d3 +0000cf4b +0000019b +000048f6 +0000f54e +00002864 +0000430c +0000e0f3 +00007088 +00005988 +0000353c +0000beaa +000066e6 +0000fcb5 +00007b1f +0000b8fd +0000f543 +0000d563 +00003fc3 +00005f89 +00002545 +0000ab69 +0000a7f9 +0000e8a0 +0000dccb +0000aa8f +00007c0d +0000c4de +00001282 +0000f0b1 +00006bb0 +0000926a +00007997 +0000948c +00006df7 +0000c9cb +0000f686 +00000f28 +0000df7c +00001e64 +00004f11 +000091e2 +000020a2 +00002c8e +0000a74d +00007315 +000095f7 +0000af50 +00007dd6 +00007b64 +00000cb2 +000017bd +0000f923 +00007da7 +0000a55b +0000ffeb +000077f4 +00004370 +0000868a +0000938b +000076e8 +00007839 +0000b9c7 +0000a82a +0000fd2b +0000dd2b +0000b491 +0000df03 +0000e24c +0000af8d +00002f7a +0000ca7e +00005f2c +0000cbc8 +00000d6f +0000b149 +00007c12 +0000b713 +00003516 +00002912 +00000ddc +000059bf +00005e50 +000062de +00001450 +0000d421 +0000f5dd +0000a309 +00006b91 +00007817 +0000591f +0000feda +0000e2ad +00009139 +0000cbf7 +000019c2 +0000e953 +0000459e +0000aacc +00003c32 +000026a2 +00007948 +00007aaa +0000be16 +00006b1c +00003e2d +00009986 +00005ef1 +00008fb9 +0000fae2 +000070a0 +0000c596 +0000f418 +000011e6 +00002b01 +00000180 +00006dd0 +00007389 +00001224 +000087cf +00009ae5 +00002477 +0000d2b1 +0000c5e0 +0000a957 +000062e5 +00000b64 +00000387 +0000c5d4 +0000b457 +0000a80d +0000eeeb +0000838d +0000c60b +0000aab0 +0000cbff +0000af25 +0000aa06 +000024cc +0000e199 +00001ec6 +00009ab8 +000042b9 +00005e30 +0000314e +00000f4e +00006b2a +00008e37 +0000cea7 +00008a95 +000094d2 +0000de10 +0000db35 +00002e86 +00001424 +0000c1af +00003068 +0000be72 +00004332 +00003bcc +00004c71 +000059cb +000085b7 +00005ec4 +00000b11 +0000d98c +00004b30 +0000c10d +0000d98c +00005a46 +0000d124 +00007f54 +0000f7bd +00001cc8 +00008785 +00000635 +00007843 +0000876b +0000192f +00007d96 +0000d1d9 +0000b1f7 +00003a8d +00004fa0 +0000f3c4 +00008636 +0000805b +0000e55b +0000ef65 +00001f13 +0000a085 +000052e6 +000068ee +0000b06d +00009f2f +00004d4f +00002131 +0000e17e +000089ce +00006415 +00000a94 +000023e2 +00008273 +00001837 +00007b21 +00003147 +0000fd28 +00000af8 +00002dba +000079b0 +00003173 +00001e32 +0000e469 +0000ec65 +0000e1f7 +00000054 +00009dd6 +0000257b +0000bc3a +00003dfa +00006fd2 +0000a9fc +0000b282 +00009328 +0000390f +00007cb2 +0000d1d8 +0000d622 +00007bf2 +0000f595 +0000362e +000075ea +0000d481 +000065ce +0000de9c +00002657 +00009b77 +0000b267 +000043c6 +0000f3ce +00006877 +0000af92 +0000b25a +000098a0 +0000455d +0000a693 +00008bb6 +00008e00 +000084af +0000104a +0000aea6 +0000f29f +00004d32 +00002e61 +00006a4b +000000e6 +00000c99 +0000cd0e +00007d17 +00006ecf +00002358 +00001785 +0000c1f4 +0000b160 +00003b98 +000008fe +00004d84 +0000bdc6 +0000dd43 +0000a45e +0000dd84 +0000820a +0000f13e +0000bc84 +00009c4a +0000ef72 +000005b7 +0000b733 +00005a6d +00001077 +00004594 +00005497 +00008083 +0000445d +0000350a +00002576 +00001b05 +00005a75 +0000654f +0000f9f4 +0000824f +0000e6eb +00007167 +00003302 +000040c4 +0000cb4c +0000b61b +00008065 +0000f90f +00008c99 +00005709 +0000355f +00002e84 +0000b100 +000042d4 +0000fdfc +0000f1a4 +0000ff3d +0000087b +00005efa +000092bf +0000198d +00006fc7 +00000a55 +00009cb4 +00008e4a +0000e6de +0000b26f +000079d4 +00001828 +0000f6f6 +0000a5d9 +000044e5 +0000680a +00001223 +00001dc1 +00006842 +00007282 +00002c50 +0000c8f8 +0000cd20 +0000dae4 +0000b14c +0000bd9e +00007ceb +0000b605 +000089fc +00009b6b +0000b6ce +00007e95 +000002af +0000489d +00009b52 +0000b516 +0000848f +0000537a +0000b01e +00004578 +00003432 +0000a281 +00009ddd +00006c53 +0000a750 +0000bd31 +0000aa3d +000075c0 +0000038f +00009775 +00009013 +00004fd5 +0000f87b +000069aa +0000779b +00008abb +00002691 +0000bf4f +0000f0dd +0000006c +0000a57a +00008648 +0000f22c +0000fe0e +00007b55 +000088cf +0000fcc9 +000068e7 +0000f72d +00005bf7 +0000a9a4 +0000f8fd +0000008a +00006cde +00000042 +0000434b +00008e23 +0000766f +000019ba +0000eda1 +000095b1 +000049d1 +0000b1d9 +00000619 +0000e6de +00007370 +00006865 +00001831 +0000835c +0000e6a6 +0000947f +0000398a +00002613 +00007a05 +0000ac21 +0000e83c +0000cb72 +00009e43 +000061c7 +000034d4 +00002ce7 +000059c3 +0000ba2b +000051eb +00004169 +000032bc +00007c28 +00004364 +0000f532 +00005208 +00007cc0 +00000741 +00006a8b +0000c88b +00006939 +00003222 +000035bf +0000813e +0000d162 +0000995c +00002631 +000009d7 +000053f3 +000044b2 +00004e8a +0000fc17 +0000ef12 +000081b3 +00001e0a +000071b0 +000096f2 +000092af +00007d00 +00008cd0 +00005496 +00001357 +0000fe55 +0000bf4e +00004eb4 +0000ccf0 +00005842 +00001a70 +00003eb4 +00005434 +00007621 +00009156 +0000f3d7 +00006ac5 +00009561 +0000b807 +0000a675 +0000be4f +0000ff94 +00002917 +0000fd5e +00003f39 +000013a3 +00005bf1 +0000c762 +0000e907 +00007021 +000055c7 +00008aed +0000764f +000030bc +00003f13 +00002dca +00001a55 +000050d0 +000087c8 +00001708 +0000fa46 +00006515 +0000e41a +00004cfa +0000c00d +0000fe79 +00000be7 +00003158 +000040d6 +00002809 +0000c6c0 +00009d82 +0000d11d +00009208 +00006e98 +000040e5 +00008072 +00001c22 +0000b309 +00004376 +000016cb +000090ba +0000073d +00004e5c +00004d85 +00008360 +0000c47f +00003caa +00005df9 +0000645e +0000ab5e +0000d64a +00009fb7 +0000fb71 +00000d7b +000016f5 +00009062 +00009b95 +000089f0 +0000a35e +00003f28 +000081f9 +0000e65d +00002e6e +0000b5db +0000f212 +00002e90 +0000b0aa +000099b1 +0000c0cc +0000e4d0 +000073b2 +0000dd95 +000020db +0000acc7 +000013e3 +00000027 +0000a22f +00007b09 +0000ba1b +0000ef57 +00007685 +0000f345 +0000ef9c +00004b99 +00002d1a +00003f07 +00008e09 +000064c5 +0000377a +00004727 +00006d8e +0000ea8f +0000a977 +0000d9d2 +0000931d +0000ea9e +0000c636 +0000e732 +0000c0d3 +0000564a +00000c64 +00009ebd +00006a79 +0000e9f7 +00009386 +0000d717 +00003025 +0000f009 +0000ac6d +0000caa5 +00002101 +0000f983 +0000d6c7 +0000d2af +00002823 +0000463f +00003dd2 +00006b31 +0000259c +000036cc +00000e2c +0000693f +0000558d +0000c1df +000016c7 +0000ee12 +0000c772 +00008778 +000046f8 +000040b3 +0000c782 +0000bb93 +0000bbae +00005842 +00003c2f +0000c286 +00002dbf +000080e3 +000019c8 +0000f8fd +0000b35e +00002546 +00002e3f +0000c190 +0000e6a9 +000066d2 +0000a704 +000091fe +0000a35f +0000ce6e +0000d30f +00005a09 +0000adaf +0000ffeb +0000c2fd +00003ded +0000b42b +0000fa11 +00008952 +00003e7e +00003edc +00000d45 +0000fcdd +000008dd +00003d6e +000052d4 +0000ff39 +0000c7d6 +0000068c +00000ede +0000696f +000070da +0000ba47 +000006e1 +0000e0b9 +0000a694 +000019af +000076e1 +0000f562 +0000eb29 +00000584 +0000ef32 +00000dc9 +00007c11 +0000f606 +000004e2 +0000bf84 +0000d18d +0000dde9 +00005ec5 +000058a0 +00005bc4 +00000a65 +0000491d +00007b07 +0000b77e +0000b269 +000099e6 +0000cbfb +0000347c +000006ef +00004fbc +0000d9be +00002771 +0000b66b +0000f56a +00007954 +000021a1 +0000f55d +00007410 +0000fe60 +0000c815 +00003e06 +00009df0 +0000cf2b +0000a478 +00001937 +00005bd5 +0000f6c4 +0000e992 +00006244 +00000720 +00007741 +0000c55e +00009818 +00001a70 +00003e23 +00007ac9 +0000ed62 +000002ed +0000bcd8 +00001d0a +0000e9ed +00007c32 +00004759 +00006698 +00008f0e +000080d4 +00007af4 +0000c5cd +00001136 +00007993 +00000f24 +00005915 +000058a7 +0000f51d +0000929c +000034d2 +00009b44 +0000d279 +0000b663 +0000ecd0 +00008181 +00006816 +0000e918 +0000fb60 +00006879 +0000d171 +00002457 +00004013 +0000731e +00002d5d +0000ee34 +00007015 +00003c8a +000036f2 +0000b0e4 +0000f223 +00001d75 +00004314 +0000aa7c +0000402a +000016c0 +00004c9f +0000d6d1 +0000887e +0000932d +0000592c +0000557d +0000e8b3 +00005232 +00002083 +0000ee03 +00003c1c +0000ede2 +0000809d +0000740a +000017dd +00008e0b +0000e854 +0000edc6 +00002eaf +00007a5f +000082a9 +00007e74 +0000f630 +00005dca +00008cb2 +00003703 +000007e5 +00002ce2 +0000e01e +00000ed0 +000057cd +0000c17d +00005c66 +0000668a +0000faa2 +00005d5c +00007892 +00009735 +0000d5af +00006604 +0000e7a5 +00002f4a +00003249 +0000cbad +0000d9a7 +00009ffb +0000ceeb +0000ad54 +0000034d +00003c93 +0000a7f2 +0000ebeb +00003646 +00008dfc +000051f1 +00007eb1 +0000f424 +00001c5a +00005fb2 +00007a9c +000005e5 +0000f0d5 +0000edca +0000def1 +0000c21e +00003175 +00000dd8 +000058f6 +00004bc3 +00005926 +00006b5a +000050c0 +0000b395 +0000adda +0000242b +0000b126 +00008628 +0000b6cd +00006e9d +0000fcd6 +0000f090 +000094e4 +0000472f +00006285 +000045a8 +00003f2f +0000ffeb +0000a242 +00004dfe +0000c58e +00002b5f +0000b5e4 +00006c5e +0000db6f +0000fd35 +00004ea2 +000040fa +00000cb5 +0000da71 +000059c1 +00001533 +00001eb4 +00007347 +0000de68 +0000fa06 +00003f59 +00006097 +0000a68f +00002316 +0000c467 +0000df06 +00004516 +0000a852 +00005cdc +0000e1ba +00002af2 +00007842 +0000b672 +000056b1 +00009d82 +0000e4f3 +0000b941 +000016bf +00008000 +0000d57d +00009b81 +00009b22 +00000212 +0000462e +00002f6e +000032c3 +00006580 +00006155 +0000ed44 +0000110c +00002d01 +00001aac +00005b6a +00003060 +0000262e +0000d763 +000096ab +0000a23b +0000e098 +00004cc5 +0000dc9f +000086e8 +000043f5 +00005f89 +00001a28 +000086d9 +00001775 +00006db8 +0000a018 +000047a6 +00006c33 +00000d35 +00003ff0 +0000f6a5 +0000fcc6 +0000e8ee +000032c3 +0000a322 +0000b3f8 +0000069e +00004c3a +0000c23b +0000ac8e +00005f3c +00001bb0 +0000d65b +00001c46 +00005403 +0000bd7d +0000d451 +0000bbdf +00002a1f +00003e50 +0000800c +00005e52 +000056f1 +000092b6 +00009af5 +0000891e +000006ff +000044b7 +00005de9 +0000ffc1 +0000e97b +0000199f +000058d2 +00005442 +0000ea6e +000011da +000071e1 +0000aeeb +00002581 +000044f9 +00005e69 +00001f15 +0000fb68 +00003bd1 +00008f5b +0000e71b +0000d3ec +00008ac2 +00002b5a +00005571 +0000b693 +00008e15 +0000507a +0000dccd +000083ed +00004a7f +0000779a +00003d83 +0000397e +000093c2 +0000735b +0000b1ee +00004a43 +0000bb73 +000024bc +0000300a +0000b5e3 +000029d6 +00009f54 +0000f21f +00002873 +000062e5 +0000172b +00009898 +00000ce6 +00001970 +00009234 +000056f2 +00001c10 +0000125e +0000f76b +0000bdd0 +00009255 +0000ba11 +0000b587 +0000d42b +0000d5f2 +00006de8 +0000db5d +000051a6 +0000f7e7 +00009bde +00001bd1 +0000ebff +00001980 +0000fa4f +0000d779 +0000c9a2 +0000607f +000039db +0000e5d0 +00004b58 +0000c3e8 +0000b363 +00007813 +00007f1c +00009b69 +0000b431 +00000fbb +0000ae0e +00007b63 +00002a34 +00002298 +00009781 +00008799 +00009268 +0000a687 +00000b2f +00000674 +00002b54 +00005ed0 +0000b48c +00009ef0 +00008dad +0000651e +00000937 +00004b27 +0000ed18 +0000081b +00006c8d +0000a978 +0000630d +0000b9a8 +0000ba58 +0000f654 +0000c5d7 +000066b6 +00008aa5 +000098a5 +0000adb7 +000032c4 +0000a0b3 +0000cada +00006a29 +000030f5 +00001707 +00008a93 +0000c93e +000054cd +0000fa9d +000098e6 +0000b328 +00007488 +00002738 +0000f54c +0000bbd4 +0000d717 +000056db +0000dd2c +0000ecb2 +000067b8 +00007650 +0000fa01 +00002890 +00004930 +000070e5 +0000082a +0000aba4 +000022a8 +0000c537 +0000e04e +000049a8 +00002021 +00005526 +00006d69 +00002c20 +00004096 +000002e8 +0000b978 +0000f2b7 +00002bad +0000cd39 +0000dabd +000037c7 +00006919 +00003cb0 +00002baf +00009af8 +0000738f +0000142d +0000d782 +00009400 +0000c162 +0000566f +0000654e +00006f88 +00007cbd +0000d2c2 +00008bdf +0000f82f +0000457d +00008ad5 +00003818 +00006da7 +0000f6a9 +000063a9 +00005004 +00008bfd +0000098e +0000b3a6 +00005c7b +000084f7 +0000d07b +00005fc8 +0000e36d +0000ed96 +00006316 +000059f6 +0000dcce +0000c1bb +0000b65a +00007273 +00004c1e +0000bfe6 +0000fa2e +00008e6d +0000a893 +00007f19 +0000f6a2 +000075b3 +00005de6 +0000c0db +0000c2c8 +00008984 +00004fb7 +0000a158 +0000cf2d +0000d683 +0000e99e +000067a6 +0000ddf3 +000013c6 +0000e5cf +0000d822 +0000228c +0000410d +00009469 +00000af9 +00006315 +0000b60f +00000d5b +0000e8c3 +0000954d +000094f0 +000056f3 +00008f49 +00001130 +0000b1d0 +00002b05 +00000067 +00001334 +0000217d +0000a4b4 +00009d0d +0000e81f +0000c148 +0000c0e0 +00000e5f +0000bc83 +000029f5 +00003b2c +00005efc +0000a9d7 +00009fcc +0000f1aa +0000265c +00003b34 +0000b1cc +00009926 +0000c853 +000043a9 +000059ff +00002c0c +0000eab2 +0000803b +000090e9 +00001df7 +0000630c +00001f7c +0000661a +0000fdd6 +0000fe96 +0000e8c6 +0000cfe3 +0000d0bd +0000a628 +00005d15 +00008425 +00000f46 +00008060 +0000cb7e +00004c45 +0000cfa1 +0000d3e6 +0000f350 +0000663b +0000463f +00008bc9 +00006dc6 +0000a4ca +00006717 +00006008 +0000b965 +000020ca +00001194 +0000c42e +000060f8 +00006da1 +0000d11b +0000de14 +00005826 +000062d0 +0000dc3c +0000e6ca +000047ad +0000aca9 +00009c74 +00007791 +0000233d +0000761e +0000a89d +000064ff +000002f3 +00009db5 +0000bbf3 +0000ea45 +0000da70 +00000799 +0000d3c3 +0000068e +00004796 +0000beca +00003db2 +00000e7d +000052df +0000b773 +000000a7 +000093d9 +00009b59 +0000245c +0000aa56 +0000e545 +00000842 +00007176 +00002b6a +00008828 +0000ba3c +00001594 +00000c4e +00002eab +0000962c +00008d39 +0000e499 +0000cbe0 +000063b5 +0000f08c +00009f14 +00008800 +0000194c +0000b668 +0000b468 +000076cd +00008ff8 +00005690 +00002669 +00001216 +000078a9 +00009cff +0000a5f9 +00009a59 +00000d32 +0000a05e +0000ed9a +00009f82 +000096e3 +00006d8f +0000fa94 +000013d2 +000084c7 +00009a66 +00006ebf +00006adb +00006b77 +00001178 +000004ee +000066a7 +0000e899 +0000890b +0000a1f8 +000054f9 +000003f9 +0000372d +00002c64 +00009eab +00004841 +000074e0 +0000f4b0 +0000ab36 +00006d58 +00002272 +0000183e +00003a50 +00000e55 +0000beec +0000e8dc +000075b0 +0000851f +000044a0 +000002ee +00001ced +0000a5a3 +0000fce5 +00005c3a +00004d84 +0000b2b0 +00009ce0 +00003550 +000088f8 +00008672 +00001d78 +00007794 +0000bb14 +00008889 +0000e06b +0000a9ea +0000224c +0000abc5 +0000d47f +000045fc +00001c42 +00004d80 +00006ad0 +0000d82a +0000f864 +00007098 +00004f48 +0000f40f +000012b2 +00006e08 +0000f62e +0000a7ad +0000989d +0000d523 +000030d5 +0000b734 +00007a0b +0000d56c +00001b74 +00008b74 +00002878 +00002c14 +0000d616 +00005cdc +0000e636 +00002f11 +00009aa8 +0000c52e +000087d4 +00002fd7 +0000e230 +000081c1 +0000a99d +00000fb5 +00006b1d +0000d072 +00007b38 +00007fcf +000012b9 +00007128 +0000636d +000006b4 +000093ba +0000f603 +0000de07 +0000859b +00005bf8 +0000ac21 +000015d4 +0000973f +0000cb3a +0000070c +0000dcc9 +0000c254 +00004d29 +000018c3 +0000c442 +000019a4 +0000e066 +00004064 +00003768 +000083cb +0000bcb7 +0000d973 +000099ac +0000848b +0000ea5c +000055c9 +00008b6f +000001cd +0000bc80 +0000cc1b +000096c4 +00001e35 +000040cb +0000a526 +0000a64d +0000e360 +0000d614 +0000b174 +0000c842 +000015bd +00003c34 +000091ce +0000df90 +00002c05 +00008ef6 +0000fc3f +0000051d +00000458 +0000be2a +000007c0 +00007b2a +000090f6 +000034d9 +00004885 +000034d2 +00005696 +00008192 +000026e3 +000013af +00003666 +0000f1e3 +00007adc +00001d9c +00009da0 +000032e3 +00001649 +00000c94 +0000d4c6 +000028ec +000087a2 +0000bab9 +0000c87a +00005067 +0000ff6d +00000c71 +000045fc +000019c0 +0000e241 +00001aab +00004540 +0000d278 +00003176 +00007f40 +00007aab +0000cd58 +0000946c +0000c574 +00000a6a +00009bc3 +00006c74 +0000209b +0000d76f +00004a25 +0000fd5e +0000aad8 +00008809 +0000b188 +0000549f +00008e01 +0000fa1f +00001045 +0000391f +0000989e +00008109 +00003bd7 +00000d9d +0000e110 +0000e70c +0000ddcb +00002fc0 +000038cf +0000cc6f +00004dd3 +0000fdbb +000051cc +00009e3e +0000bafb +00004c9b +000097f5 +0000fe9b +000075f3 +0000b930 +0000e0d7 +000018bb +00004e8a +0000dd96 +0000335d +0000fa84 +0000163c +0000155f +000009b6 +0000adc2 +000079e2 +00001a5e +00008f55 +00006b00 +00008487 +00006412 +00007d12 +0000e009 +00003d5b +0000d3ae +0000556e +000023fb +0000f2c1 +0000d6c1 +0000e2f3 +00009304 +0000e483 +0000ca87 +0000faba +00006bbc +00001f25 +000009cb +0000a506 +0000a81f +00007a57 +0000af77 +0000ee0e +00002c25 +0000cc83 +0000b7ba +0000c0d8 +00000400 +00008587 +0000c9d4 +00004e41 +00000bf2 +0000027d +00009481 +0000a219 +0000fbc8 +00000cb5 +00004700 +0000286b +00006ff6 +000015c4 +000050be +000004da +00002a50 +0000e2b6 +000031a4 +00003e26 +00006463 +0000785e +0000c4fa +0000dfce +0000ad6e +00003acf +000010f6 +000053d0 +00006e00 +000051e3 +000044e5 +0000568c +0000cb2f +000084f8 +00002ff5 +000006c7 +00004372 +0000dfbb +00001a9d +0000b3ca +0000ff1c +0000921c +00008ca9 +00002baa +00006e76 +00009d61 +000029e5 +00006ba3 +00006f77 +00000056 +00006f65 +0000b49e +0000d522 +000034a2 +0000bb70 +000025d2 +0000ca7c +0000ef36 +0000aa07 +00001f86 +00002b29 +000035de +00007015 +0000cff4 +00008ba3 +0000fbfa +00007f34 +00006c4a +00004b15 +00009846 +00005c19 +0000b7ca +0000a8da +000085d4 +0000319e +00009b11 +0000778d +0000021c +00004a6b +0000ad74 +0000a7cc +00003a2a +00003b3f +0000b286 +0000848e +0000d8f5 +000027e0 +00002db6 +00001b1e +0000e8d0 +00002c9d +00004e15 +0000e8b4 +000019f9 +0000967d +00009436 +000087ae +0000a04f +00003406 +0000b42e +0000b670 +0000fa21 +0000a8ab +000055bb +0000b1d5 +00001222 +00004cd3 +00007481 +00007d50 +00004e78 +0000c482 +0000426f +000052a4 +00003ef3 +000016a6 +00008e41 +0000133c +0000bc5b +0000af00 +0000d01e +00003527 +00006ae2 +000054ad +00001e61 +000045b4 +0000b1b8 +0000bf51 +00006c75 +0000bba7 +000059be +000014df +000095d9 +00007315 +00002552 +00003a06 +0000d743 +0000cce1 +0000d349 +00007f3b +000077e1 +00000bd3 +00001efa +0000d45f +000084ba +00002952 +00006f77 +00004d0e +0000a033 +0000fbbe +000007d7 +00005f84 +000007ae +0000286c +0000aaaf +0000e82b +0000114d +0000fb3f +0000c29f +00009bc5 +000083d4 +0000dddf +00004208 +00003232 +00004aac +0000c899 +00008bdb +000033df +00002804 +0000a6d3 +0000d78d +0000f3cb +00002712 +0000492a +0000a227 +0000e037 +0000b078 +00001f2a +0000de79 +0000f3ea +000042c1 +000092a9 +0000b66e +0000b21e +0000f10a +00007ec0 +0000cf7b +0000b70a +0000e9bd +0000dc67 +00002431 +0000870d +00006779 +00005eac +0000a4f3 +0000e674 +00008e0e +00005890 +0000f1c1 +0000a3e8 +0000d5a4 +0000e67e +00009f32 +00005f77 +0000c5fb +0000f569 +00009880 +0000783d +0000e3cc +00006181 +000050bd +0000eaae +0000d24f +00000691 +00009524 +00008983 +0000cad6 +00003bf8 +0000f28b +00009b3f +0000ac96 +0000d641 +0000bff4 +0000865d +00000686 +00006862 +00000042 +0000d618 +00009d61 +00001e8e +00006d08 +000081d6 +00000fc5 +00002cb7 +00001c7d +00000132 +00005a7d +00006aa3 +0000548c +000056a5 +00001edc +000057aa +00003d08 +0000dad0 +0000ad40 +0000600a +000042fd +00003268 +0000f5b6 +0000dde6 +00001f21 +000078be +0000a0bc +0000efe1 +0000916a +000048ea +0000b221 +0000df53 +000003b9 +0000ef93 +00003809 +00007027 +000065b4 +0000af5e +0000a80d +00001451 +0000b3b1 +0000a1f1 +0000ac7f +00009cee +0000bacd +00005fa3 +000043cb +0000a2f9 +0000cc1d +000037c2 +000033f8 +000081a7 +000030fc +00006380 +0000f44e +00005c61 +00005284 +00003d7a +00004f12 +00004a5c +0000a618 +000027e6 +00001d6d +000061d6 +0000911d +00006b59 +00009f6b +0000fcee +000095c8 +0000f833 +0000121e +00003220 +00003b12 +000094a8 +000045e3 +00001a5d +000041c9 +0000a16b +000016bc +00001ec3 +0000d8c0 +00003ef9 +0000c8dd +000027fe +0000a227 +00003d7f +00006b42 +0000293a +00007bf5 +0000e168 +0000827d +000020c4 +00001d81 +00002687 +00005d8e +0000483c +0000bc30 +0000cbde +000096ea +0000ce79 +00000949 +00001209 +0000639e +000014f9 +0000fae5 +0000b64a +0000728d +00000b03 +0000f202 +00005432 +00003dd5 +0000e05c +0000efb6 +0000f7e8 +0000d050 +0000e9a1 +0000ab80 +00004b19 +00002136 +00003047 +00007cba +0000667c +000047e0 +0000c82d +0000292a +0000f200 +0000e9a7 +0000a4db +0000cab9 +0000dd95 +000063eb +0000485a +00001e3b +00009c94 +00005430 +000045af +0000ad6c +00006dcc +00004062 +000030f5 +000065fc +0000da2a +00004139 +00003716 +00004fc1 +00003404 +0000b0b7 +00003726 +0000340c +00008102 +0000fecf +0000d75c +00002816 +0000d8a9 +0000ee20 +0000afad +00000c98 +0000e185 +00009ade +00004407 +00003479 +0000b6f3 +0000cdd2 +00002827 +000084a2 +00002188 +00003d78 +00004717 +00007eee +0000ac22 +00007f46 +0000e848 +0000ca3d +0000bf89 +00008b0c +0000ac47 +0000e9a7 +00008ec1 +0000d276 +00005b1f +0000f4ce +00003dee +00000eaf +0000fe4c +0000434d +00004de4 +00000620 +00006057 +00001d4d +0000f64b +0000ac56 +0000ac13 +0000a336 +0000b86b +00001e02 +00008572 +00003e81 +0000149a +00001b19 +00009604 +00000dac +0000ec3f +0000c11c +0000270e +0000dd47 +0000ba82 +00006772 +00000343 +0000602c +00006d92 +00009ff1 +00007a22 +000078cc +00004a92 +00007d7b +0000feeb +000085a3 +0000e621 +000062c5 +00007d3f +0000c2cd +0000db84 +0000bb33 +00001d53 +0000f2b3 +00008c73 +00001fdf +0000d1d0 +00009fdd +0000d37d +00008ab9 +0000a94b +000069ec +00003318 +000059c8 +00007d5c +0000ef9f +0000ab49 +0000048f +0000395d +00000812 +0000ffec +0000858a +000092c1 +00001d08 +0000d9a1 +000099d7 +0000ad0e +0000985d +0000cb53 +000018f2 +0000c378 +0000769e +0000e666 +0000c699 +0000921c +000030b4 +0000277c +000020ce +0000d8d5 +00004ebb +0000a5e4 +0000cbfb +0000f1bf +000015f0 +00000fa1 +00005f2f +00001546 +0000e3c9 +00009c12 +00007287 +000085e9 +0000e81f +00003f42 +0000f1a8 +00007d91 +00001087 +000087ce +0000d468 +00005688 +000016be +0000316f +00007f8c +00000a18 +0000c442 +00001624 +00002fac +0000bec1 +00009cfd +0000c7fd +0000f033 +0000c015 +0000431c +0000bd85 +0000c083 +0000da34 +000005ff +00009acd +0000a935 +0000b2e0 +00002e49 +0000c111 +0000a37e +00005a3c +0000b916 +0000ef0a +000054ad +0000ed26 +0000544c +00005bcf +0000bfd0 +0000c32d +00008e0b +00005669 +00002f76 +00004231 +0000493e +000013f2 +0000b990 +00001196 +0000a953 +0000f668 +0000df71 +00000724 +0000c707 +00003613 +0000ebf5 +0000c77f +0000a05a +00007791 +0000d1bd +0000b43e +0000d5a2 +0000787b +00006b98 +000061a9 +0000e5bc +00009cb6 +000020fd +00006e14 +0000bb5d +0000c658 +000010b4 +000034d2 +00007d86 +00008234 +00000395 +000076d8 +0000b516 +00003304 +00008969 +0000b2f0 +0000f979 +00008532 +0000c1ed +00008796 +0000767e +00001543 +000073f4 +00001873 +0000bd47 +0000d2e0 +000034ac +00001173 +00007259 +00004a83 +000080f9 +00008185 +00008ad4 +00009fba +0000cafd +000066ef +0000eabc +0000a21b +00009db9 +0000674b +00005675 +000006c5 +000018cd +0000cd21 +0000c94e +0000708e +0000265b +00008522 +00006334 +000090ce +0000ed0a +000074ff +00003f87 +00004ac7 +00002026 +000025e4 +0000a709 +000063af +0000efe5 +00005c95 +00002ef2 +0000e95c +00007bc5 +0000d923 +00007722 +0000198c +0000c910 +0000184a +0000596e +000033cd +00005f81 +0000906a +00007c1b +0000400f +0000ce01 +00008420 +00005969 +000073c4 +0000798a +00002481 +0000ee46 +00007fb1 +0000382d +00005cf3 +00006224 +0000b055 +00005a2e +000042a7 +000019e4 +00006af2 +0000e34d +0000c1b6 +0000c7f1 +0000313c +0000d620 +0000c1d7 +00002b6a +0000f59d +0000939b +00009cbb +00005077 +00002a23 +000070b2 +00006005 +000043bd +00009407 +0000c415 +0000f4e9 +00004aec +00008ddb +0000ce1a +0000e760 +0000d475 +0000024f +0000fcb9 +00002705 +00007059 +0000099f +00002db0 +0000bb90 +0000441d +0000b39d +0000b0c7 +000006ec +00008bda +00002856 +0000ec2e +0000cef6 +0000da70 +0000e964 +0000a502 +0000e8d4 +0000eadb +00009dd0 +00000dee +0000fff0 +0000f49e +000072a1 +0000ddee +00009290 +0000955b +0000ba06 +0000d12f +0000de14 +00008184 +0000131b +00001613 +000014cb +00004e2c +0000045b +00004850 +0000d771 +0000c5f7 +000092a3 +0000bc38 +00008c49 +00005b30 +0000fedf +0000fc13 +0000cdd3 +000068f9 +00007453 +000079a8 +0000cb2b +0000159d +00001188 +000095db +000013fe +0000c802 +000055d1 +00004070 +0000ea29 +0000423a +00009d78 +000091ed +0000d2f0 +00009338 +0000f68a +0000e19e +0000c1d7 +0000319f +0000383c +0000f9b2 +0000d720 +0000b1b6 +0000e6f9 +00002b83 +00004be2 +0000a878 +00000f09 +000025f3 +0000c5c3 +00006dc8 +0000dfdf +00008ff2 +0000ec52 +00008fc2 +000071ff +0000891b +0000ba03 +0000e92d +0000c18a +0000527e +0000a2c8 +00006d0c +00008767 +00008179 +00004a48 +0000d954 +00003b10 +00003ebf +000023be +0000a2b7 +000026f6 +0000337a +0000f571 +00008b9f +00001992 +0000e68d +0000d9cb +00007836 +0000dd0a +00006bf2 +0000f819 +000031a2 +00003f7c +00006843 +0000cede +0000ea4e +000015e9 +00008a54 +000089d4 +00007564 +000043c1 +0000bcfd +00007d80 +00004357 +00007107 +000072f5 +00008271 +0000559c +0000b920 +00008ed9 +00008a15 +00007b78 +00003c3e +0000894d +00006737 +000049f4 +00001d64 +00009739 +00006419 +000060ee +00001715 +0000b235 +0000e029 +0000af4a +0000709d +000084ff +0000cf64 +00008845 +0000bdfb +00005e29 +00009544 +00007be9 +00007469 +00006ad0 +00005567 +0000049d +0000fd8a +00009b86 +000073be +00003bd4 +0000932f +0000b551 +00009e6e +0000e7e6 +0000cdc6 +000030cd +00005b46 +000054fb +00006f60 +0000a96c +0000b2dd +00008b23 +0000955d +0000bede +00003348 +00009384 +00001ab7 +00006a8c +00002476 +00009eab +000094e7 +0000eb3d +00006828 +0000fe03 +0000f577 +000088db +00001f8f +00000263 +00007a26 +00009250 +0000d083 +0000f1c1 +000035b1 +0000178b +0000645e +00006604 +00001b44 +0000019f +0000fa73 +000086f8 +0000167d +00004b0a +0000282e +0000b15b +00005a19 +00003a12 +0000e0ca +00003d14 +0000af3d +00009f4b +0000dea9 +00003483 +00002f63 +00003a36 +00000853 +0000eef3 +000072df +00008604 +0000eb0d +0000a02c +0000de0d +00005078 +00000515 +00000f26 +00005719 +00009cea +00003979 +0000c5d7 +00004e6b +00007567 +00006791 +00002c2d +0000a3ad +00006bf6 +0000c01f +00002018 +0000917a +0000adf6 +00001201 +0000bcbe +000069a4 +0000aba3 +0000e890 +000022d2 +00008c22 +000075b7 +0000f599 +000033ff +0000a295 +0000132c +0000e0f6 +0000537e +0000ca75 +00003120 +000037c8 +00005dc7 +0000f7dc +0000aed2 +0000d552 +00002963 +00007aef +0000a7c9 +0000bf75 +000002e0 +000031e5 +0000be14 +000010c4 +0000b5de +000091b5 +000086ac +00002a43 +0000d547 +00004f60 +000019f5 +000006c1 +0000149c +000013d8 +0000ea63 +000029cf +0000a46a +0000448c +0000233a +0000445c +0000a3db +00008a91 +0000f16e +000048ee +0000d968 +0000626e +000038a8 +0000497f +000004ac +00009e84 +0000566a +000018f2 +00003b54 +00006618 +0000b549 +00004a30 +0000e333 +0000daff +00001259 +0000c6e4 +0000fb72 +00002fe5 +000076a8 +0000d7cf +000086e5 +00009939 +000006e0 +000018c8 +00000978 +000022b8 +0000eb10 +00007254 +00002ac8 +00001395 +0000b084 +0000d2de +0000afce +00002b42 +0000a7d0 +00000193 +00001db6 +0000a0de +0000e0ec +000084dc +000077ce +00006f3b +00008779 +00003679 +0000aa99 +0000178a +00008122 +0000bf37 +00005604 +0000a4a6 +0000501d +0000d44d +0000c8b0 +000058fa +00005bd1 +0000b059 +00001e6e +0000110f +0000e192 +0000e1f2 +000093c9 +000004b5 +0000ef87 +000029e9 +000040bc +000030cd +0000ebad +0000c321 +00008c84 +000053b2 +000048f4 +00000e08 +0000cc90 +00000642 +00002c87 +000039b1 +0000a096 +00001b8c +0000e529 +00002490 +0000bdbf +00001118 +000036bb +00004ed1 +0000fb02 +0000f9cd +00009bda +00005855 +0000908f +0000ed83 +0000bfa5 +0000244a +00009c61 +0000a726 +0000919c +00005c65 +00001df6 +00009b83 +000075a4 +0000adbf +0000bb1b +000072b2 +00003227 +0000b949 +0000bfe0 +00006e20 +00005e58 +000051ee +0000ebac +0000e538 +00003294 +00004244 +0000bd69 +0000a2ae +0000bce4 +000073ed +000010df +00008c68 +00009b9f +0000f290 +0000ff1f +0000a009 +0000802c +0000e46f +00001415 +0000ee1a +0000dbe5 +000032a6 +00000b41 +0000ddd7 +00003918 +00003aff +0000657f +0000a394 +0000e227 +00008574 +00004a02 +000073ca +000098cf +00001742 +0000445f +00009cc2 +00003f85 +00009da6 +000091c5 +000050dd +000076fc +00004a37 +0000cf4b +00008b7e +000051c4 +0000dadf +0000fb62 +00001a94 +0000600c +0000e777 +0000dc6a +000076c7 +00007588 +00002efe +0000fe60 +0000b43e +0000ab6d +00003e76 +000099b4 +0000760b +00004099 +00006b5c +0000d538 +00006e34 +000019d1 +0000bbc2 +0000f535 +0000945f +0000c422 +0000f60a +00002998 +0000dd19 +0000fb68 +0000b241 +0000cd4a +0000dbc3 +0000d6ed +0000e715 +0000089d +00005919 +0000de29 +00000c75 +0000e8e1 +00008860 +000067a8 +00008dc4 +00001f17 +0000252c +0000c409 +0000e5b9 +0000b7bd +000063cb +0000d722 +00005dd5 +00003dc8 +00003e51 +0000e141 +00000b82 +0000dab0 +00004841 +00005a8d +000044c5 +000025a5 +0000d2d5 +0000e28c +000066a0 +000073df +0000cbeb +000065be +00006707 +00009c13 +0000618c +0000bb66 +00004c7c +00003f01 +00001419 +00001d68 +00004549 +0000c72a +00008114 +0000fc4d +0000b85a +000071a0 +0000d088 +0000d164 +000049b4 +0000d1f5 +00004f17 +0000b104 +00006c96 +00006355 +00005e9e +00007eec +0000bd2a +0000d8b0 +00008786 +0000c6cb +0000fbe8 +0000fe0f +000014aa +00005adb +00002488 +00001d08 +000043e0 +0000faa7 +0000baa1 +0000f64d +0000b526 +000063ee +000005e3 +0000825e +0000626b +00004fa0 +000097ee +0000cb5f +000009f7 +0000fd05 +000015cc +00005204 +00009577 +00000cfd +0000df12 +00008fa8 +0000a7a9 +00008f62 +0000cc8e +0000477d +00001aa0 +00004489 +0000e0ad +000078de +0000d8b4 +000034e8 +0000636c +0000e4c1 +00001a0a +0000e0d1 +000083f9 +00003848 +0000b0bc +00006a5c +000039e6 +00000e76 +0000ada4 +00003b64 +0000c008 +00001afe +000047ca +0000c9a7 +0000a2f2 +0000f037 +00009068 +00003b11 +0000fd09 +0000f22d +00001d96 +0000cc12 +00001a44 +000028d1 +00007596 +0000fa2b +00005d83 +0000c350 +0000a4be +00009489 +0000e188 +00003e80 +000007fe +0000f4c8 +0000ef96 +00004077 +0000f60d +0000c1d8 +000005a4 +00005b36 +00009135 +0000befd +0000c63e +00000883 +0000abb7 +000048f0 +0000cbf9 +000050d4 +000048dc +00004323 +0000fa91 +0000af67 +0000e499 +00005722 +000087a1 +0000e56f +00004bdd +00008815 +000094fd +00008e68 +00006f7b +00003c62 +0000d6af +0000678c +00003baf +0000ff6f +00005e8d +00005c5e +00001e4f +00005d85 +00004774 +00008a63 +0000858f +00005bd0 +00009a23 +00008df0 +00004171 +00002e83 +000065b6 +00008a1f +000051c7 +0000ef18 +0000a5bc +00007ddb +00004ae6 +000034b5 +00002ff8 +0000981b +00001ae9 +000090aa +000083b1 +00005d2c +0000999f +00001117 +0000f4b6 +00008f26 +0000ed12 +00000bab +00004bf2 +0000eb80 +00006cb5 +00009287 +000097a7 +0000efc0 +00004d29 +00001540 +0000854b +0000f74a +0000feac +0000d003 +00003efc +0000245a +0000c81e +0000cad3 +000066a0 +0000a60d +0000c8b1 +00004aef +0000689d +00000d99 +00002a2d +0000b84f +00000032 +0000849e +0000edde +0000194c +00006770 +0000d694 +00005e1b +0000665f +00004cd9 +00005f63 +0000ce73 +00001801 +00004893 +00001111 +0000f475 +000070b2 +00002b49 +0000e295 +0000c31c +00002516 +0000209f +000019cb +000052d5 +00002439 +00003f4f +00000281 +0000002f +000061f3 +0000c0e5 +0000c4ae +00008b1e +0000a567 +0000bb13 +00002bc2 +0000a0ec +00007da5 +0000d4b2 +00005119 +0000dabd +00009e70 +00001056 +00003b89 +0000dabd +00000719 +0000668c +0000a61a +0000d1e8 +0000758a +000089b2 +00003fd5 +00005875 +0000c75f +0000cd73 +0000d6b5 +000022e0 +00000b2e +0000cbdf +0000ffba +0000bd93 +000023e7 +00000223 +0000ee1d +00001930 +00000057 +00003ee9 +00004b9e +0000517e +000088c7 +00005c4f +000003f9 +0000b8ed +000084c1 +00005f7c +00001577 +0000d2c7 +0000daf2 +0000b7e5 +000098a0 +000085f5 +0000ad2b +0000f81b +0000510e +0000726c +0000f281 +0000024e +0000395c +0000f332 +00005195 +00004262 +00009c3e +0000f711 +00001cee +00002fac +00006cab +000079d6 +00007382 +0000f049 +0000af34 +00000846 +0000a754 +0000981b +0000e678 +0000589f +00002c37 +00001d61 +000094b0 +0000a1c6 +000070b0 +0000bcf2 +00000466 +0000fb12 +000022f9 +00002816 +0000aa43 +0000aeb3 +00008422 +00007601 +00009205 +000008c0 +00008b53 +000072ed +0000688f +0000ccdd +0000bb2c +000086d2 +0000d51a +00001c85 +0000ab4d +00000dc5 +0000f38a +000037fa +000067f1 +00009beb +0000e1db +000023c6 +0000e9b5 +00004718 +000072ba +0000dcec +00000777 +0000b008 +00003730 +000065b0 +00006459 +00002538 +00003179 +00009500 +0000fbe5 +0000d962 +0000a2f3 +0000327e +00000e4b +0000c794 +00008732 +0000892b +00004ec5 +00007eec +00006e30 +00003ab1 +0000561b +0000aff2 +000087a2 +0000bd4d +00007b42 +0000f592 +0000d165 +00008e57 +00005420 +0000f3b4 +00007b17 +0000c36f +0000406b +0000857a +0000b0c3 +00004446 +000080af +00005515 +00001eb4 +00009706 +00007b6e +0000d744 +0000a06a +0000c95f +0000f261 +0000446b +0000acaa +0000a02f +000009dd +0000c94c +000030b0 +0000d7cd +00002451 +0000c964 +0000ac80 +0000def3 +0000b3ec +0000ace4 +0000825b +00001645 +0000445b +0000544a +00008b4c +00003e82 +00001eb0 +0000eb9c +000022e1 +00005f46 +0000095e +00007741 +0000fbf7 +00001079 +0000c661 +0000147c +000030af +0000b058 +0000117a +00008785 +00001f7d +0000b01e +00000098 +00005146 +0000c75f +0000c14e +0000c853 +000026af +0000752a +00004da0 +00000691 +000043b6 +0000b3ff +00004386 +0000d54b +0000b3ef +000092dd +0000e159 +0000076a +00004ac3 +00007150 +0000c925 +000011cb +0000a550 +0000a548 +0000470d +00004262 +00002fdd +00006c04 +0000545d +0000077a +0000c8f8 +0000b82d +0000812a +0000291a +00003c2e +00008eff +00008ea8 +0000f683 +00006e67 +0000c6a2 +00002408 +000089d7 +0000b5db +00001797 +0000a80b +000063d4 +000077b5 +0000934e +0000e928 +0000c1bd +0000c542 +0000b1d4 +0000de56 +00002f59 +000052d6 +000048a9 +00006a77 +0000f715 +0000c23c +0000dcb0 +0000ac61 +00003244 +0000dad7 +0000e13f +00000589 +00005b87 +0000e959 +00009656 +0000a14e +00006548 +0000211f +000057ed +0000e6e0 +00007660 +00007928 +00005066 +0000dfcb +00008ed9 +00001eb1 +0000a022 +00003d24 +000076cd +0000376e +00002c2f +0000454e +0000796d +00004d66 +0000661f +00009469 +00008e1e +00005e6c +00007ef4 +00003959 +0000b1bd +00002935 +00009737 +0000596e +00005203 +0000f21b +00009e28 +000024b5 +0000cd04 +0000996d +0000b20f +000084da +000026d1 +00007d70 +0000f3b1 +000090b8 +0000373e +000041f7 +0000dede +00006e7f +0000b1be +0000379a +00005a27 +0000ee81 +0000896a +0000bc96 +0000cfae +0000c899 +00005320 +00009141 +0000c116 +00000638 +000067c8 +0000ba28 +00006898 +0000c30d +0000a8b2 +000029c7 +00001937 +00000e51 +0000e81b +00000be4 +0000300c +000056ac +000017cf +00002c88 +000088bb +00006ac7 +00007fe8 +0000949b +00008706 +0000b86f +00005fb4 +0000161b +0000e780 +00001462 +00007ab5 +000021f8 +0000a959 +0000f4ba +000033c2 +0000e190 +00008358 +0000a7f3 +0000f84a +00002dc2 +000075e8 +0000b29b +0000ddb8 +00009db1 +00002c49 +00001d95 +000072f3 +00008717 +0000fee7 +00001f09 +0000e7fd +00006a43 +000088c8 +000027ec +0000cdbb +0000e3b0 +0000e21e +00000027 +0000cfd3 +0000dd44 +0000b1fc +00003b66 +0000eab1 +00005922 +00006926 +0000f080 +0000bfa5 +0000d02c +00001801 +00003d7e +0000c926 +0000be18 +000071a9 +0000c24a +0000513a +0000952d +0000ae21 +0000dbf6 +00002bed +0000f39b +00001da5 +00000aa5 +000057fe +00009475 +00005f1c +00008c1a +0000c79a +0000164c +00004ba9 +0000d4db +0000b340 +00005169 +0000c961 +00003201 +0000f8c3 +000097a6 +0000d717 +00007d9f +0000286e +0000d7e9 +00004352 +00006fcd +00000241 +00003f3e +0000a060 +0000b650 +0000455a +00009191 +00002784 +00009ce7 +0000d36f +00001405 +00005d4a +0000b034 +00004a78 +000082f3 +000068fc +00006546 +00005669 +00002d82 +0000312d +00006fbf +00001d1d +0000f0df +00006f72 +000010a7 +0000464e +00006d19 +00000d30 +000047cc +000041c1 +00006d9c +00003b91 +000071d3 +00008d7f +00001f48 +0000d891 +00007ce0 +0000de3d +00004e2e +0000d334 +00007ddd +00002bdd +000084e7 +000060da +0000106b +0000c40d +00008790 +0000f5aa +00008b63 +0000a50e +00004465 +0000122c +0000b407 +0000828f +0000f3f9 +000017f3 +000039cb +0000f6b7 +0000c30f +00007777 +0000e4b9 +00008142 +00000019 +0000a901 +00000085 +000016c3 +0000664a +000082bf +00002e2d +00002207 +0000c05a +00009df7 +0000664c +0000f99a +0000bcd6 +00009d59 +000095fd +0000eb63 +00006e21 +0000466d +0000e066 +0000206a +0000900b +0000802b +00002ee8 +0000baba +00004d0b +000068a9 +000059e1 +0000af62 +0000dd1c +0000d3ef +0000d41c +0000fe95 +0000f832 +0000a5e6 +000062d6 +00000bf1 +0000b658 +0000996f +00000c6e +0000f8dd +00001768 +00002691 +000007a8 +0000030b +00000c66 +000059ca +0000159d +0000091d +00007c79 +00008e86 +00005043 +00007764 +00005f86 +00001ead +00001795 +0000fec3 +0000a86a +00003957 +0000675f +000097b2 +000058d4 +0000329b +00007fa8 +0000735e +0000b8be +0000be85 +000069bb +00009cee +00004b86 +0000a925 +000083d4 +00002ce1 +0000bcad +0000bdc4 +0000dd64 +00001093 +00009e2b +0000af32 +0000ce01 +000087de +0000726c +0000f33b +0000d0ed +00009add +00001beb +0000a338 +00004f41 +0000e9cc +00006c6a +000093c1 +0000a2b7 +0000590b +00002dcb +0000f580 +00003b11 +00003b42 +00009e8e +0000f121 +00007028 +0000bb9e +0000fbe8 +0000d065 +00002a94 +00006a38 +0000537c +00006656 +00002cf5 +0000ec97 +000076b8 +00008895 +000067dc +0000f44c +000089cf +00008bcf +0000625a +0000bdbc +0000484e +0000d54e +00006122 +000078fa +0000b95d +0000b3ab +0000965d +00001ed2 +0000ad95 +0000d0a2 +0000440b +000053e3 +00000078 +0000adfe +00005b10 +00001be4 +00003791 +0000bfb3 +0000c0e3 +00003f07 +0000b929 +0000d50a +000005ce +0000637a +000074a3 +0000a2f7 +0000f5e2 +0000fd0a +00007675 +00006186 +00000e7b +000054e2 +000081c3 +00008e74 +0000826c +00000b72 +0000699a +000006da +000016c6 +0000966b +000083c5 +0000ca04 +0000c24a +00005ce6 +00002f50 +0000d760 +00009969 +000033a4 +00000897 +0000b992 +000030f3 +00001b70 +00000509 +000070a4 +00004164 +000043a1 +0000507f +00008d5b +0000f4c8 +000072bc +00006633 +00006fa5 +0000e947 +00001736 +00007f5e +0000ba2e +00009251 +00006256 +00001172 +00002d11 +00004266 +0000ef39 +0000b5f1 +000039ab +0000c782 +000097ef +000075e8 +0000c18c +00002424 +00003ac3 +0000130c +00009287 +00008efa +00005199 +0000886f +000051e9 +0000832b +00005d6f +00009cdf +0000a8c6 +00004b40 +00004806 +000000dc +0000a36f +000010b0 +0000fd9a +00001233 +000055ff +00001a06 +0000a0cc +0000ff3b +0000f815 +000081b1 +0000daa1 +0000a3ab +0000c99c +00004f68 +0000e8a3 +00001917 +000032c5 +00007e38 +00002b25 +00009505 +0000b116 +0000192b +000015a4 +0000ceb0 +0000439c +00003897 +00008349 +0000c658 +0000283c +00004a00 +0000918c +00006844 +0000dc28 +0000acab +000042f2 +00002556 +0000716d +00004cc0 +00004bf6 +00003b49 +00006ba8 +0000871a +00008c06 +00000689 +000075d8 +00003faf +0000d4a4 +000057ae +0000634f +0000b497 +0000c0ad +00006697 +00000dc3 +000037ba +00007db7 +00009d1f +0000c281 +0000991b +00008993 +0000137f +000010c1 +0000abbb +000085f1 +00003840 +0000eb14 +0000ef2b +00006423 +0000bdda +00002dfa +000007b2 +00004afa +000087ee +0000bd90 +00004f16 +0000b8cd +0000f21d +00008c66 +0000680b +00008398 +00006a83 +0000fd6f +00005e35 +00007940 +0000f9d4 +00003311 +00007cdc +000081f4 +0000f311 +0000fd5d +0000a62b +000046b0 +0000a4e6 +00003959 +00009525 +00007ddb +000086a3 +00009378 +00001425 +00002010 +0000fad5 +0000bf2b +0000d210 +0000e6fa +00006181 +00005590 +00002019 +00009859 +0000d3fc +0000ad49 +00008230 +0000bf21 +0000846a +00001d68 +00009c08 +000094c1 +00005ad1 +00003d86 +0000a4c3 +0000fc81 +000009d9 +0000d4f3 +00002b3d +00009304 +00007522 +00004b07 +000094f2 +0000f3ed +0000e342 +00008a97 +0000618d +0000779e +00001559 +00006a83 +0000dd0e +0000cb1c +0000fe53 +0000cd6d +00008a96 +0000e40f +000073ba +0000ca84 +000031d2 +0000f2e5 +0000d236 +0000517e +00001902 +00001515 +00002fa2 +0000dba4 +000095a5 +0000a981 +000054cb +0000dc07 +0000bdc3 +000048f4 +000058c7 +0000c0d0 +0000a3d6 +000084cb +000057f0 +000077b5 +00002756 +0000adb1 +0000607c +00008788 +000003e0 +00000483 +00004eba +0000f0e9 +00006902 +0000d752 +0000f2eb +0000281c +000004a5 +00002c86 +00007abb +000099f5 +00000a18 +0000be1f +0000b85a +00004df0 +00005fb5 +00002717 +0000813c +0000575d +0000bd32 +000044e4 +0000fe69 +0000e7b8 +00002486 +0000ef19 +00006881 +0000f417 +0000bf75 +0000472c +00004950 +00007fbc +0000cbba +00000252 +0000fd0a +0000bdca +0000dfd0 +00002f87 +0000cd23 +00005c15 +0000725e +00001baa +00009ecd +00006912 +00002e3d +000015c4 +0000cf0f +000066ed +00003d27 +0000f569 +0000767f +00003dba +000052fd +00006537 +0000ee9c +0000dec3 +0000e3b4 +0000137b +000012ca +00008aff +00008dde +00000af4 +000056ea +0000cea4 +000063d1 +000095af +00006d95 +000063d4 +00005d76 +00002c11 +0000d7f6 +00004e72 +0000dcb0 +000011f3 +00005941 +0000a318 +0000ce66 +000063e7 +0000e7d4 +00008b21 +0000922f +0000769a +000055e8 +000037e1 +00007299 +00008a52 +00008bf4 +000096f4 +00001816 +0000f496 +0000ce9e +0000b9b4 +00000084 +000001ec +00002a5e +000001c4 +0000a557 +0000f1bd +0000beb2 +000044c9 +00009a66 +00008e55 +000042f8 +00003939 +00008f4e +00000307 +0000beeb +00009e4b +0000bd87 +0000b26d +000010bc +0000b10e +0000ed01 +0000eecd +00007acc +0000a096 +0000d97a +00009698 +00001304 +0000d350 +0000625f +0000b70c +00008dd2 +0000ef77 +00008f5c +000076f9 +00007ec0 +0000b89f +00001382 +0000aba0 +0000c8b2 +0000f46f +00009914 +000099b6 +000097bc +0000a86c +0000bfee +00008489 +0000de9e +000022f0 +00005893 +0000cd3a +0000f1dd +00006139 +000005d1 +00008428 +00007a7f +0000849d +00000f13 +00006e6d +00009a62 +000028db +0000cd43 +0000a182 +0000bc39 +00008d8e +0000bc5a +0000f6fe +00002924 +0000a4bb +0000db85 +0000ba7b +00001deb +00003889 +0000a5f5 +00001399 +0000b9d8 +00007a10 +00008e44 +0000ce1c +0000c12e +00006b49 +0000867f +00004231 +0000cd49 +0000b626 +0000bed4 +00003ece +00002453 +0000a2bb +000064d9 +0000f837 +000012a0 +0000fe99 +0000cd76 +00001c9c +00003fa7 +0000e73c +00001371 +000050df +0000189e +0000899a +0000d494 +00007774 +00000ab1 +000008db +0000577d +00004362 +0000e6de +0000de28 +00000402 +0000b966 +00006970 +0000239d +0000b83e +00006136 +00007f15 +00005c58 +0000243d +000008e3 +0000819e +00006bb3 +0000f640 +00001c57 +00004654 +00008d8e +000041ad +0000b2fe +000077f1 +000041c5 +00002a96 +00009582 +00005642 +00003cc1 +00007fe4 +00009dae +00009557 +00008f2a +00008e20 +0000f274 +0000b663 +000056ba +000014dd +0000c20a +0000d437 +00006a2d +0000e8c6 +00003c2e +00007d56 +00009254 +00006c40 +0000ae8b +0000d5b4 +00000249 +0000a8bb +0000c534 +0000da10 +0000e0bd +0000729a +0000114d +00003eeb +0000d46d +0000a1bc +000017c8 +00000523 +0000a5b4 +000008a7 +00004a4d +00007e31 +00002739 +00007451 +00006c33 +000019fc +0000b7c8 +00009e8a +000005ab +0000f857 +0000cf3f +00009d09 +0000a6fd +0000d81e +0000289f +0000f711 +0000ddea +00009de8 +00003b42 +00002bbf +0000df2f +00004c69 +0000d52b +000012f3 +0000062b +0000e867 +0000c879 +000022d9 +00006c98 +00006257 +0000bdf6 +00004413 +0000fc75 +00003f94 +00005ecc +00007e85 +00007e04 +00005d3b +00002757 +000068bc +000043ef +0000c6a5 +00005516 +0000e3fb +00003786 +00003982 +000081ba +00004ee4 +00002723 +0000918e +00009d61 +0000f8c0 +000058af +0000f5f3 +000019dc +00003f6b +0000823d +0000423b +0000392c +000061df +00006ad4 +0000c4f0 +0000910c +0000b15b +0000954a +00000dd3 +0000f5a8 +00006b2f +0000774b +000025b5 +00001d6b +00002527 +0000de79 +000029f5 +00006ff6 +00005691 +000045ae +0000e596 +0000922c +000027ed +0000fc5c +0000a1fb +0000616a +00005331 +00002216 +00000942 +00002fd4 +00007eb6 +00009827 +0000943b +000033cb +00001cc2 +00002b60 +00009e04 +00003c7a +000079b3 +000057f0 +0000d742 +0000dd90 +000042c4 +0000296b +000072fe +00006408 +00006f7f +0000d8ed +00000719 +00000623 +000017bf +0000eb58 +0000ba6d +0000e078 +00006061 +0000b1b8 +0000fa82 +00007221 +00004887 +00002dc8 +000092ea +00006a17 +0000c188 +00007c8e +0000a03a +0000b798 +00001b77 +00000921 +000078a5 +0000efc4 +0000704f +0000e98b +00004436 +00003a48 +000057b8 +0000e7c9 +00009eaa +00001731 +0000cd78 +0000d8ef +00003ce7 +00006fe2 +0000d479 +00000468 +0000301d +00008521 +00000072 +00007d5b +00000078 +00006c4b +0000b035 +0000702a +0000797f +0000eda8 +00006295 +00008614 +0000a20c +0000b5d9 +00002ff2 +0000a0fa +0000f9e8 +0000ec6e +00001263 +0000513f +0000b702 +00006194 +0000467e +000087c8 +00005c4c +0000a4eb +0000aec7 +00002623 +0000a433 +00007776 +0000c3b2 +00002ec4 +000058b9 +00002aac +00003a56 +0000776a +00005330 +00009eab +00002bb1 +0000dfa0 +00007d95 +0000b18c +00002692 +00002d5f +00004f86 +000011d1 +00003627 +0000d1d0 +0000e0e1 +000085fb +00008723 +0000f830 +00004bfb +0000db39 +0000f58b +000060cd +0000b97a +0000cd3a +00006d1e +0000aa2d +00000fd0 +00005923 +0000a65f +0000ca4d +0000e054 +00000d9d +00006a40 +00009a07 +0000cbfe +00000a05 +0000266d +0000748d +000039bf +00007ec7 +00003c32 +00000d11 +0000d87b +0000a0c5 +00001409 +0000bc87 +000006a4 +000021f0 +00009fc4 +0000a982 +0000d974 +000060fa +00009553 +00004c3b +00001dc7 +0000a222 +00004c33 +0000f0b1 +00004c92 +0000c32e +0000c774 +0000f8ea +00000d04 +0000fc77 +00001664 +0000c157 +00007e37 +0000611e +0000d5dd +00002955 +00002293 +0000f05c +00003c28 +0000e1d0 +0000e6c0 +000029f8 +0000b98d +0000c402 +00005e45 +000037d1 +0000d779 +00009259 +0000efc2 +0000de9b +0000a049 +0000a577 +00004ac6 +000087a7 +000079b9 +00002753 +0000214e +0000d5da +0000fbe4 +00009e7c +0000bedb +000057bf +0000eef7 +0000ab7e +0000b72e +000008b9 +00003976 +0000fa5d +000086a3 +00007351 +0000292a +00008a3e +00009e28 +000066ae +000020a6 +00008d26 +0000f4d8 +00005122 +00005375 +000041d9 +0000d938 +000071e0 +0000dae3 +000049e4 +00005c0c +000033cc +00000d64 +00001db7 +00004ed7 +0000236b +0000a2a4 +000060ea +00006fee +000011fa +0000ce2b +0000f8a0 +0000fe38 +0000179a +00001aac +0000e609 +00008e84 +000046a8 +00006feb +00000701 +00009c87 +0000022b +00004d4a +0000fed6 +0000f171 +00000967 +0000e2ee +0000a927 +00009a2a +00006a8e +00005392 +000098e7 +0000c72e +0000ae96 +000008e8 +00004581 +00009002 +0000b026 +0000a4a7 +0000a816 +00003451 +0000afa9 +0000b836 +00002ae1 +000014a8 +00005685 +00000cf3 +0000ecbc +00003ccb +00007b66 +0000e720 +00007abb +0000f9b2 +000099b4 +00004d6a +000041f6 +00009523 +00000e23 +0000ff18 +00001b65 +0000f0ed +00004a68 +000062cd +000073e3 +00005bbe +00005209 +000057f9 +0000b255 +000099a2 +0000f24d +000074e2 +00009de4 +0000ba18 +00004753 +0000db4b +0000a666 +0000f5d3 +0000403f +00006dbe +000010da +00006e0a +0000f48f +0000cf7b +00002a12 +0000477c +0000bc8b +000038fd +0000c16b +00003b04 +00001edb +0000cb25 +00004db8 +000055d4 +0000c533 +0000ab06 +00003e3d +00004351 +0000ca29 +0000d04c +000019d7 +00001a8b +0000d226 +00001049 +00002e39 +00004957 +0000817b +00008f9d +0000b151 +0000d70b +0000018b +00006482 +000056c9 +0000d8d6 +00006726 +0000d3b2 +00007ba9 +000091b9 +0000ebf7 +000031f5 +00002a19 +00005826 +00000d13 +0000144a +00005744 +0000fb33 +0000c0b1 +000018b1 +0000dd59 +0000017b +000014f9 +00003193 +0000d3ab +0000bb92 +0000a9f5 +0000fdcf +000006f8 +0000c422 +000098a4 +00005763 +000073c7 +000027a7 +0000792d +0000e2b2 +0000c4ea +00000dc3 +00005a31 +00000877 +0000f823 +00005e3e +00009b39 +00006447 +00006ae3 +00007b9e +0000bc4e +000051bc +0000b12e +0000cd5f +000054a8 +0000e244 +0000b89a +000073d0 +0000827e +00002584 +0000d975 +00001f8a +000045fc +00004e6a +00009806 +0000a68d +00005953 +0000dece +0000f7ec +000090f8 +000037ea +0000a3c4 +000063a4 +00007765 +000026b2 +0000ec80 +00004a26 +0000acdf +00002f97 +000005e6 +0000b4ae +00004e81 +00002212 +0000a4ae +00003698 +000072ac +0000cadb +00008831 +0000b964 +0000d1e4 +0000b4bc +00003fd2 +0000c6da +0000e347 +0000e481 +00009d0b +00001347 +000006ac +0000220c +0000fc13 +000029e5 +0000f654 +00008085 +00002d29 +00003d58 +00005a4f +0000f7ae +00006880 +00003e55 +0000f76f +00000054 +000056c7 +00007a5d +000093e1 +000045e1 +0000d854 +000024a7 +00004b6d +00009f0f +0000d4ec +00004b1c +0000ad4a +00009fb7 +0000fe31 +0000d97c +00009d9e +000035c7 +00000ea9 +00006c9e +00009492 +0000e352 +0000af67 +0000d7d4 +0000750f +000047c2 +0000ce58 +00005e6d +0000d517 +0000ca28 +0000cf2c +0000c354 +000031c2 +000056b4 +0000a0de +000070d5 +000028d3 +00004140 +000061e2 +00001a80 +000071f6 +00007f06 +0000dceb +00005b26 +0000f198 +00008973 +0000c856 +0000f100 +0000df63 +00005c13 +00005236 +000059f0 +00009a55 +00001fab +000022fc +0000b1df +0000647c +00003465 +0000a0c3 +0000d545 +0000e050 +00006d26 +0000dd36 +0000e9c6 +0000c9ac +00006dfc +0000381f +00008efa +0000046b +00003fb3 +0000608c +00001945 +0000ba9b +00004a86 +0000fea0 +000058e5 +0000e461 +000009f3 +0000eff3 +00008f0b +00003369 +0000eba7 +0000a0a7 +00005320 +0000fc07 +0000eb99 +0000ab08 +00004a28 +000055ef +00009f33 +000087df +0000b244 +00004e8d +000081aa +0000b954 +0000e451 +0000a702 +0000736f +00007a7d +00000dae +000037bc +00007ebd +00009d87 +0000841c +0000b3b1 +00001353 +0000e27f +000035be +00002538 +00000b3c +0000b0be +0000d73f +00001e84 +0000eac9 +0000bcef +00009212 +000037bd +0000eda1 +0000c5be +000014d5 +000014bd +000092ef +0000311f +000007cf +0000cc64 +00007ab9 +0000f438 +00000798 +00002b98 +00008651 +0000cfec +000067d8 +0000eddc +00004de4 +00008c05 +00002027 +0000a58c +00001d2f +00007afd +00006514 +0000adb2 +0000c952 +00003069 +00008f41 +00001f89 +0000d1bd +0000f403 +000012ac +0000658c +00005e47 +00001b52 +00006808 +0000a639 +0000cc5d +000013f8 +0000ea49 +0000e067 +00009d57 +00008898 +0000ca3f +00003341 +0000b7e6 +0000aa62 +00005a5a +00006bde +00000eaa +0000493c +0000148c +0000927e +0000dde0 +0000048e +0000dc4c +0000d164 +0000d239 +00007eaa +00001eb6 +0000af4b +00008ac5 +00001cd7 +000092cf +00003786 +0000080e +00007df9 +00007be7 +000049b7 +0000ba42 +000071de +00007017 +0000eb2b +00000087 +0000db27 +0000c4ea +000013d1 +00000b73 +000085d1 +0000e418 +000010d5 +00003494 +00009c5f +0000ea6d +000036de +0000fd29 +000005a6 +000012c9 +00003de0 +000005f4 +00009534 +00008f40 +0000409e +00007227 +0000985e +00009261 +0000f9c0 +0000477b +0000e9e5 +00006a94 +0000788c +00007d60 +00005644 +0000b19d +00002744 +00008d4f +0000ba99 +0000b23d +00009d1c +0000763b +0000e82d +000045f7 +000055d7 +00004525 +0000f6a2 +00008cf0 +000008fd +000054cf +00004eb8 +000001f0 +0000fabb +00009688 +000057bc +00008c85 +00004057 +00005626 +0000518d +00005346 +0000924e +00000b12 +0000854c +00003035 +0000e60b +0000e87d +000096a0 +0000c93e +0000a946 +0000feb1 +00005fa2 +00006edc +000018f8 +0000df82 +0000616c +000002db +0000cdf6 +0000d7e3 +00007339 +00004938 +00003931 +0000f095 +0000fa39 +00002524 +00006989 +000007f9 +000093f4 +00006427 +00001549 +00007707 +0000bd58 +0000d962 +00008143 +0000d357 +0000a061 +0000aaed +00007f89 +0000805d +0000d263 +0000d35e +00005fc5 +0000e1b2 +00006cf7 +0000f96b +00004b36 +0000208d +00008b80 +0000dfa7 +00002648 +00009650 +0000d759 +0000ce68 +0000b1ce +00000e3e +00006c9d +000079ca +000045de +0000304b +00000192 +000005cc +00002049 +0000870c +0000dab2 +0000ea97 +0000e0b5 +0000b2ce +0000b558 +00009adf +00006c59 +00007bc2 +00007304 +0000ee62 +00001355 +00009556 +0000ef4b +0000728e +000071ac +0000049e +00000a5e +0000e340 +000025df +00003bf1 +00009234 +00002da6 +00001727 +00001d91 +00005457 +00007f45 +0000a54e +00003782 +00004248 +00000316 +0000bc2a +0000aa7b +00003290 +00000ecc +000064b7 +00004dfa +00006865 +0000a231 +00000dd0 +0000c372 +0000abf5 +00003aae +0000668a +00004aa0 +00005d51 +00001ce9 +0000442d +000048fc +00008bf9 +00005689 +0000add0 +0000964a +0000bd00 +0000da15 +0000c790 +0000c04f +0000a9dc +000036fa +0000f76c +000097a1 +0000e1cc +00008fab +00003dc0 +00007798 +0000d7e6 +00008fe3 +00005b5c +0000db61 +000087bc +00004506 +0000ff41 +0000f230 +00007aaa +0000daa5 +0000d5f7 +00000495 +000097bf +00005d20 +0000f48e +00009ce8 +0000c846 +0000c466 +0000b92f +000084df +00001af0 +0000a8d9 +0000db5d +0000ca3e +0000af9f +0000244c +000005ce +00001cad +000055d1 +0000812e +0000f3e0 +0000f6a5 +000067a7 +000079c0 +0000acba +00002384 +00000b91 +0000e982 +00001600 +000054c1 +0000a699 +0000f2ac +0000b832 +00009839 +0000e948 +0000b9d8 +00002ea2 +0000a1a8 +00008138 +0000f9bd +00005d10 +0000f933 +00001938 +0000d115 +000024e3 +00004121 +0000f215 +00004ca0 +0000f406 +0000e4aa +0000fe0b +00004f42 +0000275d +0000a1e8 +000008c8 +00009a48 +0000dae3 +000080ea +00001619 +0000d7c8 +00006162 +0000e9e8 +0000c134 +0000a926 +00003dcd +0000ac90 +000006a1 +0000dbb3 +0000183d +0000ea7f +000082c5 +0000056f +0000c887 +0000f6cb +0000cf0c +0000ebef +00003076 +00005cbd +000047b2 +0000375a +00003faa +00005f9c +0000242f +0000138d +00001b0c +000065a4 +00006c3d +0000815f +000001ec +0000e016 +0000f387 +000039fe +00002aba +00006269 +000025ef +00002678 +0000715e +0000ba9e +00000e7c +00008d56 +00005134 +0000fcb1 +0000a2df +00003863 +000044a7 +0000932b +0000fda0 +0000f48a +00004469 +000086d4 +0000d0ea +0000eddd +0000c04c +00004f86 +0000fbef +000089b2 +00000bc0 +0000a01d +0000ccf9 +000080e8 +0000d7ea +0000bf8b +00001a0f +00001c47 +0000f55d +00002108 +0000fa40 +00009505 +00003777 +00000d66 +0000898f +0000bb65 +0000f35a +00004f82 +0000c17a +0000257a +00003486 +0000f5d7 +00001029 +0000480a +00006110 +00005b2c +0000f830 +0000fb8d +0000a781 +0000c86e +0000a301 +00000f55 +0000304e +00002198 +0000eff1 +0000acc7 +0000acef +00002fce +000029e5 +00006cc7 +00007e9e +00003a8a +00002d99 +00002132 +00004ead +0000c1ed +00006a8c +00007485 +00009284 +00004c37 +0000c88c +0000a19b +00001536 +00005852 +00001bd9 +0000a593 +000040c0 +0000a789 +000071f9 +00006dd0 +000080dd +00003056 +00002118 +0000a419 +0000b989 +00002c58 +00002419 +0000dae1 +00006b10 +0000d363 +0000ed3d +0000fba2 +0000bdd1 +000075b2 +0000796e +0000f962 +00004f94 +0000c737 +00000e29 +0000e958 +00003c85 +00004e13 +0000ac06 +0000c3a7 +00007dcd +00000621 +0000dfe6 +00002e49 +000057cc +00006bad +00003cb8 +0000d407 +000031f4 +00003f43 +000067e7 +0000e9a4 +0000d245 +000074a1 +00004264 +0000a31a +00009661 +0000dece +0000584b +00007afc +0000e44b +00004b44 +00006135 +00004dfa +0000acc0 +0000de48 +00003b19 +00006c83 +00007932 +0000a25b +000069df +0000e485 +00001f5d +00005cc8 +0000c583 +00006550 +0000d488 +0000d95e +0000a0e2 +00003f98 +0000fa5a +0000ff38 +00005325 +00002399 +00009f57 +000020b8 +0000adc7 +00005f55 +00004cf5 +00001892 +00008944 +00007ef9 +00005d5f +0000e604 +0000325f +0000515e +0000b2c5 +00009a24 +00002210 +000072ab +00004a5e +00008851 +00000a09 +00009f17 +0000c4fb +0000cbcb +0000dfe4 +00002fcc +00009f49 +0000545f +000030eb +000043e7 +000073a3 +0000cc3b +0000a883 +0000379c +00003f92 +0000c8e0 +00005335 +00002b77 +000046e2 +0000d934 +0000c85a +00007dbd +0000ce62 +000022b7 +00000463 +000037f1 +00008837 +00005fce +00005dc3 +00009272 +00002bdd +0000313b +00009e79 +0000d041 +000053bc +0000d4ae +0000ec3d +0000d4e1 +00005a62 +00007274 +0000c946 +0000f657 +00009163 +0000544e +000017b6 +0000ad51 +0000cbca +0000775d +0000a9a3 +0000e563 +0000d63b +00000b38 +000087c3 +0000cf6c +000016a7 +00009020 +0000b8b3 +00003817 +00002daa +0000664b +0000f2e4 +0000847d +00001384 +0000c05c +00004323 +000096e8 +0000cab2 +00000af4 +000051c3 +0000fdb3 +00006228 +0000fb62 +00009068 +0000ee4d +0000a12e +00009776 +00003a73 +0000ae5c +000056d4 +0000a873 +0000b205 +00002cfe +0000e713 +00002b22 +000063b2 +0000ae2a +00004f82 +00006f03 +0000fac4 +00008a35 +00007db1 +0000b69f +00005029 +00002371 +00007126 +00004af9 +00001dfa +000053f0 +000042f2 +00001422 +000021a8 +0000b566 +0000ccee +0000e8ec +0000f6c5 +0000452c +00004a97 +0000e7b6 +0000946d +00000661 +0000ed83 +00004a67 +0000f74f +0000642b +0000ff80 +00004776 +0000225b +0000361b +0000cc48 +0000b225 +0000400a +000068af +00002ce2 +00002bcd +00004e45 +00006bf0 +00002e97 +0000d855 +00005ef3 +0000aa3c +0000ef8f +0000a7bc +00001722 +0000691e +00006b09 +000066d6 +0000b6d1 +00009659 +00008f34 +0000e914 +00009357 +00002304 +000096ac +0000bd7b +00008e74 +0000aecf +00003f94 +000000b7 +0000b3f3 +00006563 +00001a4c +0000fe49 +0000c7f8 +00000fe8 +0000c9cf +000072db +00002fec +00007ca0 +0000afac +000061b4 +00004001 +00007eb0 +00001d9f +00008f46 +00009764 +0000e7ff +0000c6cc +0000d2e5 +0000d4ff +0000ff37 +0000ca97 +00009192 +00008ee7 +000013e9 +00005322 +0000d3f6 +00001669 +0000f2cd +00006164 +0000896a +000032ac +00001c27 +000000e3 +0000aa33 +00009c03 +0000be44 +0000bd0e +00000acb +0000a1d2 +00009623 +0000d239 +0000d25a +00000519 +0000f831 +00003435 +0000a644 +0000b1a2 +0000632d +0000248b +0000bcdb +00009fc3 +00001f2b +0000b255 +00002935 +0000c8d1 +00008ab4 +00001dba +0000d219 +0000b821 +0000d80a +0000b904 +0000a613 +0000e2bc +00001c89 +00008160 +0000bea7 +00008f9e +0000b428 +00004931 +000044a2 +0000baab +00001b1f +0000ee10 +0000078c +0000fc2f +00007fa3 +0000b465 +0000da39 +00001f10 +00006dcd +0000829b +0000e67e +0000af93 +00001799 +00005603 +00004a01 +00006cc7 +0000db09 +0000eb47 +00006e2a +00002692 +0000c869 +00003488 +0000ad36 +00003256 +00000aae +0000d717 +0000f66a +0000403f +000033f8 +00008acd +00004364 +0000fb2b +00009037 +0000d508 +0000d08c +00007d37 +0000bfe9 +00003942 +00002aaa +000042e2 +0000fd01 +0000994f +00004392 +0000e6e1 +00008be3 +00001f33 +0000dffa +0000907f +0000fa8c +0000aa9d +0000d06c +00009ead +0000efcf +00005c04 +00008756 +0000b9ad +00006cba +0000775d +0000be3f +00001557 +00008217 +00007876 +0000fc14 +00004e07 +00009c0e +0000d2f2 +0000eea1 +0000ea24 +0000a5e8 +000077e1 +0000a91b +00002a4d +000067ee +0000dafb +0000f9d9 +00007221 +0000a170 +0000d17a +00002923 +000033ab +000080e1 +00004491 +00009323 +0000e769 +00003c20 +0000518c +0000aef9 +00003af8 +0000aad2 +00001185 +000034ea +0000405d +0000e4ea +0000e2c0 +000035b8 +000007f2 +0000074a +0000ada4 +0000150c +0000aeeb +00006083 +00003f57 +00007910 +00008c84 +000067b9 +000073f4 +00006119 +0000c7c9 +0000ffa2 +00008a6c +0000b582 +00004001 +0000a7ad +00009bf6 +0000c294 +000051b8 +00005d3f +0000d134 +000030a1 +0000a268 +00000f18 +00008ee4 +00002b30 +0000bcc3 +0000a4d4 +0000743f +00008952 +0000e7a0 +0000bc8e +0000a4f2 +0000e913 +0000417f +0000609f +000078b6 +0000d00c +0000caba +00001784 +00004a8e +00000315 +0000a84b +0000cfdd +0000c19c +00006719 +00008934 +00004a92 +00008da1 +0000dd02 +0000b88f +0000c510 +00003110 +0000aad2 +00000632 +0000c5b1 +000012cd +000023d3 +00004ffe +00000c3a +0000b187 +000061e7 +000015c5 +0000c87e +000072ae +000001f9 +000021d5 +0000591f +00002713 +0000f594 +0000f025 +0000f474 +0000ff1c +00009b92 +00001e1b +0000bd86 +000048a0 +000071ec +0000ccf8 +0000e735 +0000f065 +0000d2b1 +000080e1 +0000a778 +00006133 +00004e12 +0000a68b +0000dcc2 +0000fa94 +0000539a +00008981 +0000677f +0000f92b +0000fc7a +00002515 +000059bd +00004aaf +0000e902 +0000d9e1 +00003ee8 +00006782 +0000432c +0000b1f9 +0000ddae +0000ebf2 +00001853 +00007daf +0000f601 +00004b0b +00005c01 +0000b301 +00009d3b +00009516 +000005e5 +000099a3 +0000fa5b +0000ebb3 +0000dce3 +0000eda5 +0000446d +0000dd8e +0000a146 +0000c2c2 +00000508 +000083c1 +00006811 +00001244 +0000c0f1 +0000dac6 +00001973 +0000fd96 +000048dd +00007117 +00002458 +00006f68 +00001454 +00002564 +0000991c +00006579 +000028b1 +00004e70 +0000fd5a +0000cd04 +00002e46 +00004689 +00004ae3 +00007bc9 +0000759c +0000f4a2 +0000abcb +00006fb6 +0000ced8 +00008d0e +0000a59d +00001f32 +00003539 +000063db +000085ae +00004407 +000070bd +00004ac9 +0000008f +00002ae9 +0000efe3 +000094e6 +0000169c +000031a7 +00003b8c +000079c7 +00006fb1 +0000bded +000086fb +00003265 +00007ffc +000096b3 +0000fdfb +0000c991 +0000e7ee +00002a46 +00009320 +00003a15 +0000a14b +0000eaf1 +00003025 +00001469 +0000ab62 +00008c48 +00004a74 +0000860f +00000856 +00008b10 +0000fdc5 +00003c8c +0000fd9a +000009ce +0000eede +00003e03 +0000a97b +0000abeb +00005878 +0000716f +00001fd7 +0000b981 +0000c442 +0000307c +00005feb +000075c4 +000011ff +0000dd03 +0000954a +0000320f +00008eca +00001420 +000035ea +0000478a +0000fe7a +0000b8f2 +0000af59 +0000c176 +00009920 +0000b0ef +0000750d +00002215 +00001ead +0000cbe1 +000061d8 +00004c39 +000042b1 +0000f181 +00007e7a +00003b67 +0000d23e +00004eae +00005f50 +0000d4a6 +000015eb +0000dc4a +00006b2b +0000b9ea +000011f4 +000039b2 +00007d3c +0000aa18 +0000667f +000074d8 +0000742b +000097b6 +0000b1f9 +0000f9fe +00006046 +00004031 +0000779f +00008510 +000022d6 +0000adc9 +0000ac19 +00008e9f +0000708e +0000ae1d +00002a40 +00004e76 +0000491d +0000e74e +00007200 +00000ddb +000015e3 +0000d5d3 +00003359 +00002363 +0000c1bf +00009cd9 +0000477f +00009272 +00003c07 +00003355 +0000da22 +0000e84f +0000f891 +000017a7 +0000a4c8 +000090e0 +00001eee +000053ec +00003e55 +00007d06 +00003ab7 +00003ce0 +0000a0ad +00009497 +00005eff +0000f56e +00002670 +00000df4 +0000cee8 +000060d7 +000062e4 +00006547 +00005d51 +0000cd7a +000055f1 +00004d5c +0000d5ad +00004245 +00009e45 +00009418 +0000dfef +0000f8b9 +00006b98 +0000949a +0000f1a1 +00002d93 +00001309 +000070ec +0000fe14 +0000121c +000047a7 +0000ed65 +0000b7b2 +0000480f +0000190d +0000679f +0000546f +0000f222 +0000fa25 +00000311 +0000e75e +00000333 +0000320d +0000c548 +00008160 +00001610 +00003916 +0000fbc7 +00006af7 +0000b7e3 +0000a3e9 +0000e577 +0000e938 +0000af38 +00005ec4 +000039cc +00001fdf +000069ad +00001ae2 +0000c777 +0000c27c +00005a35 +0000eff9 +000004c1 +000066cc +0000b208 +000053dd +00000b8b +00006a23 +00007e2b +0000aa42 +00001adf +00007c04 +0000d397 +0000ce9e +00005832 +0000ffd3 +00005409 +00001a2d +00002a38 +000097a1 +0000f565 +0000880e +00002764 +00004a27 +000095c8 +0000b98b +000031fb +0000d5cf +00008629 +0000bc76 +0000fbd4 +0000e2eb +0000d5aa +000095ae +0000cbae +0000e708 +0000c781 +0000b1c1 +0000fe2e +0000ab5d +00007d7d +00007dab +00005c73 +0000885e +00008138 +000089bd +00000258 +0000886e +000075d8 +0000b899 +00002e45 +0000c3b1 +0000dc81 +00001c5a +0000f4b2 +000066ff +0000755b +000043d0 +0000713a +0000f7f4 +0000673b +0000d9e8 +0000af57 +00008824 +0000f7f0 +0000992b +000024c0 +0000a96c +00003f2d +0000b55d +0000375c +00001564 +0000de0e +0000fd45 +0000e8e1 +000019f0 +00006548 +0000168d +0000620e +0000fcf6 +0000b3f2 +000055bf +0000b781 +0000a929 +000038ea +0000d46f +0000e236 +00001604 +0000a0e5 +00008778 +0000859c +0000fcd3 +00001b17 +0000375e +0000bd55 +00008ceb +0000fe7b +00005cd3 +0000cc8b +0000f0fa +000039bf +00000355 +000028ea +00009779 +000097d0 +0000f3f4 +0000fb3d +0000503e +000082fd +00008a31 +0000cfa8 +0000712d +000090ca +0000b502 +0000cf7f +0000540f +0000c054 +0000c5f3 +00007ec2 +00009f7b +0000f55c +0000d066 +00009737 +0000ecf0 +0000d9f1 +00007afb +00003b22 +00007e05 +0000f0be +0000450b +000059a2 +0000d2b7 +000041ee +0000624d +0000beab +000012e2 +0000acb7 +00006e9b +0000e89b +00005ccb +0000878a +00005bcf +00005d7b +00002ada +0000d8d5 +00001bc7 +0000d9d5 +00002265 +00001273 +0000a889 +0000532d +0000bda6 +0000d9b2 +00002c7f +0000681d +000062cf +000005fe +00002ce3 +00007810 +00009a34 +0000a02e +0000b836 +00003c9b +000035a7 +00004c6b +0000bae9 +00005e73 +00005ae2 +000028b9 +0000bc1f +0000200f +0000831c +0000ca23 +0000648a +0000d7db +0000a1be +0000a287 +00005172 +00009415 +0000c42f +0000b8fb +0000d5d4 +0000e248 +00009ccc +00003edb +00006ba7 +00005463 +00008af3 +00002b71 +0000b73a +0000fd76 +0000d23e +0000a83f +00001eb9 +0000c008 +0000d2ce +0000b7eb +000017ce +0000e817 +000034bd +000021c8 +00006d63 +00002aad +000018b5 +00004bfd +0000df86 +0000c51b +00007f9f +00004e54 +00009f66 +000015d5 +00003b73 +000027a1 +0000497a +0000a8e9 +0000db2e +00009fcc +00001fc1 +0000521d +000023e2 +0000007e +00006624 +00008ea5 +0000c8e7 +00006e64 +0000e2f7 +0000087e +0000352f +00004d23 +0000a59e +0000b56a +000057b7 +0000b7d8 +0000554c +0000ab88 +0000851a +0000b84a +000069e7 +0000658f +0000a040 +000086af +0000d108 +0000d0a7 +0000dc33 +0000bc17 +0000a11e +00001de4 +00008912 +00000179 +00009cb1 +0000f160 +00007e1e +00006559 +000067c4 +000028f3 +0000d2f1 +00002212 +00007155 +00001491 +0000b9cf +00003ec5 +0000b2fe +00009a41 +00006d15 +000014de +000088bd +00005fb6 +000041ce +00006440 +000002d1 +0000b38c +00001165 +0000400d +000033bb +00009020 +00004c74 +0000be13 +00001c64 +0000b20c +000028bb +0000f2d6 +0000f85d +00005c3e +00007c2c +00006436 +0000cc40 +000003b3 +0000f722 +0000bcbd +00003836 +0000bcd5 +00008fb2 +00002987 +0000b093 +0000e46f +0000101a +00006cce +00005e9b +00001e49 +0000da57 +00004f12 +00000b68 +00006365 +000012d2 +0000b8e1 +000096e3 +000079d4 +0000843a +00005f48 +00009cef +0000d035 +0000ae6d +00008cd6 +00000aa6 +000056cb +0000928f +00003149 +000085bb +0000903a +0000ee3d +000001d8 +0000a7ff +0000b3de +0000b7dc +0000141c +000030ff +00005bc9 +0000ce0b +0000fd9c +00006fca +0000d857 +0000ec37 +000021f3 +000046d0 +0000e36e +0000164c +000079a0 +00004be5 +00000d57 +0000cd89 +0000bb6a +0000a665 +0000dd34 +0000806c +0000726e +00006d72 +00003f1d +0000c7cd +00002ac7 +00006859 +000079db +00002307 +00007ed8 +00003313 +00008640 +00000550 +00006f39 +0000e8e7 +00004221 +0000262a +00000f3b +0000972d +000098f2 +000026f2 +0000301a +0000da2d +00005bfc +0000bf96 +000054be +00002625 +0000243d +0000c464 +0000d82e +00005e69 +000037dc +000076fd +0000d092 +00007ca5 +00000d47 +0000b468 +0000d8e2 +00002a3e +0000a5eb +0000b243 +00008b31 +00004dd7 +00009a8f +00001d14 +00001590 +0000aef0 +0000534e +000089bd +0000f3a0 +0000f1a8 +0000852f +00004c0e +000076b8 +00004ca3 +0000af62 +0000e475 +000066ae +00007b06 +0000f21a +000056d0 +0000a546 +00008c9f +00003901 +0000dc63 +00005e35 +00005622 +0000535d +000082e5 +0000edd0 +0000638e +000033bd +00006ab5 +00004856 +00006eaf +0000315f +0000762a +00004bd6 +00007bbc +0000c522 +00005c07 +000006bf +0000de20 +0000dc22 +00002f0d +00009d02 +00008756 +000079f5 +00008cab +000015e6 +000021ed +00000481 +0000c4d1 +0000fc68 +00009aab +00003378 +00006ce2 +00005c4c +0000d9ce +00003d72 +0000efc8 +000045c4 +00008e6d +00007ca5 +0000cd21 +0000a1bc +00000dfe +00006543 +00005997 +0000c0c0 +000069f1 +00004771 +0000f24d +0000ae8b +0000fd79 +0000f6df +0000b575 +0000f42d +0000d56a +00001208 +0000f1fe +000012d7 +0000743d +00004308 +0000a06b +0000a146 +00009431 +00007415 +000056bf +000095ca +0000a98c +0000919b +00004994 +0000e6f2 +00000700 +000013f8 +00000c4c +00004cd6 +0000a628 +00002ad1 +0000e25e +00008d01 +0000b631 +0000040f +000093d2 +00005af9 +00003296 +0000487a +0000d6c8 +0000776b +0000e403 +000087f0 +00008031 +000057f8 +00004d5b +0000ef41 +0000cda1 +00004196 +000026d5 +000041aa +00003a3d +00005fa4 +00002941 +0000afed +000070cf +0000018f +00000b69 +0000c709 +00000cf8 +0000c91a +0000b392 +0000509b +0000fd85 +0000334d +0000bf22 +00009ed1 +000079da +000085fc +0000a25b +00005e07 +00009c8a +0000cbf2 +0000c245 +0000a600 +0000d509 +000029bb +00000aaa +0000a4c2 +00008279 +0000750b +0000a23b +0000dd0e +000029a0 +000098ce +00007ed0 +0000927a +0000d7fb +0000efcd +0000bbcd +00009d2d +000065eb +00005ffa +0000b4a0 +00007f37 +00006a0e +0000a058 +00000566 +0000358a +0000cfa9 +0000b389 +0000b3ad +0000de99 +00002241 +000040f5 +0000e154 +0000f08c +0000c049 +00001694 +00008b8f +0000591d +000046f5 +0000bd59 +0000f807 +00008112 +0000a92d +0000aa3c +0000921e +0000cd57 +0000839d +000035e2 +00001a50 +0000d7b7 +00005fe9 +000024ea +000029b5 +00003937 +0000b8fe +00002ad6 +00002ba3 +0000600f +0000b284 +00007194 +00000f70 +00008b46 +0000956f +0000cfa9 +00001455 +0000a5e9 +000043b5 +0000f6f9 +0000316e +00005be7 +0000f51a +00008635 +0000bbdd +0000628c +0000af26 +000086e1 +00000d0f +00008e98 +00000a38 +00008200 +00000b16 +0000f1fc +0000f5b5 +0000f55f +00009536 +0000db30 +00004d59 +0000937c +0000f67b +00003033 +000031ad +00005811 +0000a087 +00003f20 +00003599 +00001b40 +0000906a +0000be6a +00009311 +0000ed5c +0000dfaa +000056b4 +0000b8eb +00002551 +00002362 +0000b050 +0000a3d1 +0000abb2 +00005b86 +00009e51 +00009452 +0000ac5d +00005444 +0000a14e +0000d413 +000078cd +00007b86 +00009bb6 +00005c22 +000004fc +00004c94 +000031cb +00004e6b +000008f3 +000093d7 +0000ef3d +00005443 +000070ef +0000ecb8 +0000ec64 +00001422 +00006632 +0000fba7 +00004d18 +0000106e +0000da63 +00000638 +00009330 +00006172 +00001fa2 +0000ab67 +00005e96 +0000dc6d +0000b72c +00009dfc +0000d504 +000059c7 +000020a8 +0000630a +00008b5d +0000ec5e +0000140c +00003691 +00006aaa +0000fbff +0000302f +00005843 +0000aaaf +000054f1 +0000c7eb +00002264 +00009c29 +00005eab +000017ee +00006cca +00009ca7 +0000cba5 +0000cf1e +0000de04 +00002e02 +0000344b +000073a6 +0000ec97 +0000cb7b +00002f0e +0000cea2 +00007263 +0000b66f +00009795 +00002863 +0000d425 +000094b9 +0000d712 +0000bf5f +0000df16 +00005aa4 +00001faf +000083b8 +00009eb0 +00009a8b +0000ad26 +0000c167 +00008c90 +0000f410 +00003c70 +00000305 +00009c76 +0000fcf5 +00000c95 +00001736 +0000c66a +00009d9a +0000daa2 +0000c2b2 +0000d0fa +00007b13 +00006ab9 +000048f1 +00003d9e +0000a8e5 +00005042 +00009573 +0000593c +00007c5e +0000c73f +00006fa9 +000092c6 +0000fb8e +000090be +0000c383 +00005a01 +000001e5 +0000e467 +0000a897 +0000e861 +0000d46b +0000a935 +000071ea +00007611 +00007f96 +00004742 +0000b05f +00007d0e +0000f8cb +000084ba +0000b1ba +0000cc73 +00009d74 +0000fff2 +0000a64f +00004dcf +000049ba +0000f891 +0000374b +00007eb2 +0000e0df +000039ec +0000f79e +0000c047 +0000c13b +00001870 +0000b984 +0000bf1b +00003dd2 +00009438 +000072af +000054fc +0000d78e +0000d2bf +0000f913 +000066fc +0000901d +000041af +0000824d +00006195 +00005069 +0000d4d8 +00006fb1 +000014e6 +0000fcb0 +0000f13c +000090ee +0000d31c +00007041 +0000b747 +0000a760 +0000d2ec +00003ad2 +00007e1c +000093f2 +0000f83c +00009980 +0000720a +000039ae +000044e1 +0000c563 +0000681d +0000bee3 +00005383 +000051cd +000018d1 +000076f2 +00005e9d +0000f420 +000013e2 +00005eb5 +000075a1 +0000af49 +0000df3e +0000b58b +0000a238 +0000fcdd +0000d31c +0000dd04 +0000dfa7 +00002dce +00006526 +00004926 +0000e64d +0000dcca +0000b4d2 +0000eb5a +0000ed49 +0000ec30 +00004acf +000021f6 +0000a438 +000029af +00001d9a +0000d668 +0000c71c +0000517d +00000d2f +000013fb +0000ce58 +0000cb31 +000027dd +00000149 +00005cd7 +00001a3e +00002a32 +0000c63e +00002e4d +00009c0d +0000cc16 +000088c4 +00006796 +0000cdb6 +00008cf4 +00004a10 +00004b3a +0000f35a +0000d113 +00000e89 +00007412 +00009b1f +00004203 +00005a50 +0000d804 +000015c9 +00008432 +0000ac70 +0000f4e9 +00009ef2 +0000739e +000042a4 +0000a990 +00008ee6 +000039a5 +00000fbf +00005cdc +0000901d +0000adf8 +00006ebc +00003508 +00007255 +000094ae +0000bc62 +0000410b +0000d54c +00006b75 +0000a193 +00009616 +00008517 +000097e3 +00007832 +0000833b +00000222 +0000e8ea +0000d4af +00001d27 +00004ec5 +00007d6a +0000de31 +00008410 +0000bc7f +000041e1 +0000bf5f +000065aa +000012d1 +0000573d +000019ca +00005d52 +000009b9 +0000d4d2 +000005fa +0000f44e +0000b1c9 +00007767 +0000ca13 +0000242c +000003e4 +0000ef6b +00001123 +00005d46 +00007295 +0000a045 +00000d0b +00003367 +0000f70c +0000c2c9 +00008d08 +0000f288 +0000b3b6 +0000fcc6 +0000b2de +00007db3 +0000e678 +00009fbc +000090a0 +0000fa09 +00008487 +0000e0ab +0000991e +0000e7df +000079e7 +00007b06 +00006ceb +00006c02 +0000267d +000050c7 +00002e09 +0000ab1b +000052d3 +0000b99c +00002434 +0000392e +00007669 +0000533f +00001bc8 +0000bf3c +0000c9b2 +000044e3 +0000894f +00001909 +00003db2 +000007ca +00001bab +00004cf8 +00001331 +0000e6f3 +000006dc +0000e012 +00006b9c +000087f1 +0000f16f +00002825 +0000cd79 +0000eed7 +00000e84 +00005e09 +00003685 +00008f50 +00008e7a +000051fe +0000f56d +00001ccb +00003039 +0000c431 +00002525 +0000ebd3 +00005d1b +0000835d +000043e7 +0000d67c +0000d978 +0000938e +0000ffcb +0000b5f9 +0000b3cc +0000bf02 +0000f2ff +0000a34b +0000bc87 +00001328 +0000a9a4 +00005114 +00007072 +0000ebca +0000eb46 +0000f08a +0000dfb9 +00004e46 +0000e1f5 +00003387 +0000bb99 +000076f7 +00005904 +00001dbc +000054a6 +00009ab4 +0000ed5e +00007706 +0000f3b9 +0000fe6e +0000a980 +0000d0d9 +00009776 +0000a6fd +00002716 +000030d8 +0000c076 +000002f4 +0000f036 +00009287 +00009615 +000010b0 +00007a33 +00009218 +00004dd9 +0000a270 +0000b41f +0000b261 +00005f7d +0000829e +0000c2e1 +0000cfef +0000af9a +00005a2c +000024ee +00007334 +00007af1 +00005b1a +000017a7 +0000d3db +00006c57 +0000d114 +0000b84f +00007f3c +0000e73d +0000da37 +0000faa8 +0000b3eb +000030db +0000a9f4 +00002f29 +0000a46b +0000c1f9 +0000d80d +000072dd +0000f300 +0000ab5a +00005dbd +000002da +0000d8a2 +000096c5 +000002f4 +00000d5d +0000890e +00008eb1 +00001dc0 +00003a7f +000085f6 +0000568c +0000a5c7 +0000c696 +0000b358 +0000bda8 +0000bdf1 +0000a82b +00001eec +0000f6c3 +0000f622 +0000db93 +00008cb6 +00009e31 +0000a725 +00008e2c +0000fabc +0000b8a8 +0000eaa4 +00008336 +00006dd2 +000094a8 +0000d153 +0000ac4b +00007991 +00001885 +0000992d +00008f7c +00001566 +0000a712 +0000c5d7 +0000b50a +000070c6 +000064ec +00001d20 +0000f6be +0000987f +00004860 +0000a9aa +00002ae5 +0000df2a +0000fdfa +000005a2 +000044ec +00001abd +00004bab +00004faa +0000a593 +0000e93d +00003c95 +00004bd9 +0000f4d1 +00004e90 +0000ff77 +000052d8 +00007f45 +00001d70 +000001b0 +0000d12d +0000b154 +0000bd7c +000070f1 +00003894 +0000e9e3 +00000068 +0000ed84 +00006589 +00007eb2 +0000d855 +0000d733 +00009ae3 +00006c53 +0000e13c +00003ed6 +0000559a +0000cbc7 +0000cf83 +0000267d +00005aa9 +0000c7b4 +0000f5b2 +00005a36 +0000810f +00006b44 +0000333f +0000cb7b +0000d9ef +0000449c +0000be85 +0000f93b +0000f8d7 +000074f7 +00005bd3 +00008e83 +00003e1d +0000685f +0000b3a1 +000001c9 +00002565 +00000f08 +000099de +00009ed5 +0000ba40 +00001249 +00003865 +0000054c +000074ef +00001f37 +00002e8d +0000c5db +0000e145 +000000e0 +0000d7df +000067fc +000091aa +0000e421 +00000be3 +0000c268 +0000003b +0000bf25 +0000c913 +00003019 +0000200f +0000767a +00005cf1 +0000cbfb +00008095 +0000f9d1 +0000bb34 +00007d2f +00000519 +00003252 +0000d61e +00003dfa +00005265 +00003c9a +0000e9e9 +0000a750 +00003484 +00000852 +0000cd5f +00006af9 +00005ea2 +0000176d +00007989 +0000065e +0000070f +0000b6fd +00001a7f +000097f3 +00000162 +0000abb3 +00001b5e +00006140 +000018ba +0000ebf1 +0000bf58 +00001f8d +00008c20 +0000a18e +0000f9e6 +00000529 +0000af08 +00004d25 +00005818 +0000bd57 +0000cff7 +0000851a +0000ed0c +000084d6 +0000a73e +00006a2b +0000537d +0000010c +0000afe4 +00008bae +0000f673 +00001fce +0000eca2 +0000956d +0000f318 +0000e9ce +0000bb07 +0000c11a +000005ab +0000d1a2 +000076bc +0000a570 +0000138d +0000a96d +0000cee2 +00009ce9 +00000479 +0000092c +0000cf97 +00009e16 +0000bcd1 +00008f9f +0000c198 +0000ff9e +00002b13 +000007d1 +00002200 +000041d5 +00007a6a +00001d46 +0000142f +000097f8 +00009c61 +0000e8c1 +0000cfc6 +00008b03 +00007bb2 +00003faf +0000acce +0000b02f +00004a3d +00005033 +00008ff8 +00000d0b +00007c14 +0000b3cf +000098ff +00006336 +000021b3 +0000c2ab +0000152a +00003db7 +0000734d +00009186 +0000d7ed +000039f9 +00007f41 +0000a436 +00003baf +0000a666 +00000dac +0000fedd +00002ca7 +0000309e +0000eb18 +0000dfaa +0000bfdf +0000fd54 +0000ec51 +00000b49 +0000f85b +000023c5 +00001d58 +000035e9 +00007c53 +000026d6 +0000ad71 +0000b2e7 +00001c9b +0000a5ca +00004a57 +0000351c +00003023 +00001e9d +0000bc90 +000009c0 +0000e59b +00003bff +0000b6db +0000d7f9 +0000cd2f +0000c8f0 +0000eac5 +00008f04 +0000fc70 +000003f4 +0000945a +0000ccfe +00004e52 +0000abf4 +0000cef9 +0000a850 +00006152 +0000c0b1 +00002541 +000065b5 +000015b0 +000090e5 +0000ee27 +000044ea +00006dda +00004ff8 +0000292a +00002df1 +0000d2e9 +00000d5c +0000ab9d +0000a901 +0000df44 +00008785 +00009123 +00002b0d +0000f162 +0000f488 +0000013a +000060ef +0000e8ae +00007a4f +00007bed +0000c331 +00008e83 +0000b077 +0000ffad +00005c78 +00004d56 +000025c6 +00007a3b +00004c8e +0000f7f3 +0000834c +000016bc +0000320a +000082e0 +00008715 +00001aee +0000449e +0000b1de +0000b087 +0000257a +000089fd +0000db8d +0000185f +0000bf5f +00002f67 +0000052f +00008e42 +0000528e +0000b1d2 +0000b885 +0000b603 +0000b795 +00001eb7 +000015d5 +00007e70 +0000ac73 +00005776 +0000c40d +0000ebd9 +0000a68a +0000e166 +00008102 +0000d01e +000091b2 +00006c7d +000033d1 +0000aa74 +00002e69 +0000da99 +00008366 +000088b4 +0000e129 +00003169 +0000131b +0000645f +0000e4d2 +000080fa +0000a778 +00001532 +0000f247 +000068e2 +00009519 +00009362 +00008f15 +00008603 +0000fbad +0000e375 +0000554c +0000b2fc +00006f1a +0000a5bd +0000a672 +0000a532 +0000d0be +0000e371 +00005b8d +00001076 +00003ad1 +00005369 +0000245f +00001b53 +0000ffe6 +0000f076 +000067af +00008df7 +0000e090 +00005b5b +000096c1 +0000c5bc +0000b921 +0000226c +000005e7 +00001751 +0000098e +0000a8c2 +00007c30 +0000e989 +0000d96e +00000719 +0000cc3f +000072c0 +00009a21 +0000e046 +0000e838 +0000a2e6 +0000c90e +0000c357 +000002d3 +00006429 +00003404 +00005549 +00006f92 +0000fc43 +0000e1c2 +00001c60 +0000140f +0000f85d +0000c092 +00006727 +00005c6f +0000ad9e +00005d0f +00006904 +0000c4f3 +0000f855 +00000308 +00004677 +00002aad +000083bf +0000ca7d +00006aef +0000354f +00008475 +000032c6 +00002249 +00004c1e +00006f75 +00000dd3 +00000fe6 +0000a806 +0000d7cb +00009d8e +0000bd67 +000034c8 +00003cdb +0000e55d +00001b08 +0000134f +0000334e +000051c3 +00006b96 +0000af20 +0000f297 +0000f826 +0000b60e +000078ee +0000f121 +0000d0ab +000076d4 +0000784d +0000d917 +00006c3d +0000ea8c +0000067f +0000bfcf +0000c8ad +0000ec99 +0000246b +000029d4 +000004f4 +00000330 +0000fdab +0000058e +0000d793 +0000d318 +00004ac4 +00006690 +0000c91b +0000d500 +00006471 +00006b7e +000054c9 +0000c67c +0000fa18 +00005899 +00003149 +0000f09e +00007d5e +000090e9 +0000239b +0000fe2d +000074d6 +0000b806 +0000de13 +0000bb85 +000007d4 +0000e682 +00008d7a +0000ba0a +00003560 +00007af6 +0000d653 +0000714a +00004846 +0000b1a8 +00002438 +000017af +00003a4b +0000ccd6 +00004d62 +00000cdd +0000d87a +000037a1 +0000ac46 +00004fae +00009a9c +0000ad99 +0000f15d +000019c7 +00003fc5 +000010e1 +00000efd +00005ad7 +0000620b +000038ff +0000c163 +00006d6c +00005352 +0000a442 +000054d2 +0000ff71 +0000b543 +000095d0 +00006bd4 +0000c848 +00005e5b +0000ca4b +0000a79f +00004680 +00003e1f +00008d20 +00003493 +00005f33 +0000126e +0000986c +00005ce0 +000058ff +0000dfee +0000c98a +00006345 +00004c9f +00003fa8 +0000543d +000007f3 +0000c525 +0000b3b0 +0000be77 +00003990 +0000e9d6 +000054fd +00008ec4 +00009457 +00002ba2 +00004c57 +00003756 +0000715c +0000103e +0000a86e +000067ad +000067ed +000054e0 +00004306 +00008ee3 +00004314 +00004296 +00008750 +0000f891 +00008d3a +0000ae44 +00000b55 +00008e62 +0000f3e6 +0000be38 +00000e8b +0000e832 +0000e5a2 +00002f6c +00000f8a +0000f4e2 +0000ebfe +00007457 +0000cad8 +000025bb +000063ae +0000a770 +000014e0 +0000a67c +000054ce +0000eb40 +00002102 +0000cc01 +00004d46 +00007222 +0000e7c4 +00008392 +00003f49 +0000079a +00007e25 +00002cc6 +000085f7 +00009550 +00005012 +0000e812 +00005223 +0000bda8 +00004ff2 +000003f3 +0000e12e +000045fe +00004d5c +0000d2b3 +00000012 +0000aa83 +0000c4e8 +0000d2da +00007c7b +0000d5af +00009b23 +0000b435 +0000460f +00009562 +0000549c +0000637c +000021cf +0000079b +00007d1b +0000e6a2 +0000f195 +0000d8d3 +00000ff1 +000073be +0000b1bd +0000cdf7 +0000d36b +0000cc91 +00006ee1 +0000b401 +0000b960 +000088b3 +000095bc +00006f32 +000076ea +0000985f +00001f30 +000083ee +0000a531 +0000e9d7 +00003a62 +00001333 +0000dd63 +0000bb75 +00003703 +0000e4b7 +000061c0 +0000c450 +000091aa +0000b89e +000005d1 +0000fd87 +0000245d +0000bad5 +000027b8 +0000762d +00009d2f +0000920e +0000aca3 +00003bd1 +00007d03 +00002458 +0000125a +000001a8 +0000006e +0000cb5a +0000c7f8 +0000d05a +000074bb +0000522b +00001fb7 +0000a866 +00009b08 +0000b6d1 +00004be4 +0000a132 +0000db8d +00004955 +0000f8f1 +0000aeb3 +00002afd +0000627f +000036a9 +0000d7b5 +0000a404 +00008c34 +0000827e +000046c7 +0000f6f1 +00009568 +0000e4fe +00003fc7 +0000f16e +0000abc1 +00003464 +0000a405 +0000806a +000034ca +0000ae4b +00004f17 +0000ae17 +0000c0d0 +00003a7e +00003639 +00003e0f +0000115d +0000c8ea +0000d45e +0000a992 +0000cd4e +00005cb5 +00000cd7 +00005dea +00001c93 +00007667 +00004e9f +00003eef +00000583 +0000af46 +00006241 +00008fa7 +000005da +000077c1 +0000ec66 +00008305 +00000150 +00000b02 +00004eff +0000d6d2 +00003fd6 +0000c9a6 +0000330b +0000faa9 +0000d570 +00004d89 +0000a505 +0000651c +00006daa +0000a8ba +00004dee +0000d8f5 +000014b8 +0000618e +0000f2f2 +00007c96 +0000382e +0000ddd0 +000005ba +0000c582 +0000b958 +00000f16 +0000af4d +000059f4 +00008b37 +0000522f +00005fa3 +00002aad +0000f619 +000093b8 +00008bc8 +000026cc +00003ad3 +0000ebce +0000e4a9 +00000bd5 +0000ef9e +000002dd +0000f07f +0000f3d4 +0000108c +0000b197 +000090d5 +0000639a +00005da5 +00006e01 +00009f37 +0000bb26 +000040a2 +000037a7 +00006a79 +00000a20 +00001445 +0000d4f0 +00007ea1 +00006a7e +00004753 +0000538c +0000c172 +00002349 +0000752f +0000de09 +00008d96 +0000f57a +00002e15 +00001885 +00001667 +000014fe +0000c8ef +0000567e +0000fe5a +00006fd9 +000038d7 +00001bc5 +0000aa08 +00000566 +0000802a +00007a91 +000079da +00002fbd +0000fb45 +000000b0 +0000b451 +0000e12d +00005cdf +000005d9 +00008af0 +0000f7e8 +0000d603 +00004d1c +000043c6 +0000e9be +000083a8 +00000b7a +0000319c +00002a02 +0000dbef +00008591 +0000c4b7 +0000cb89 +000074f8 +00009670 +00009e4a +000000d0 +00001f60 +00009189 +0000307b +00003c3d +0000ee5b +000011c4 +00001510 +0000d27e +0000676b +000067b0 +0000e4b6 +0000210e +0000b3bb +000078d4 +0000f8ee +00005ad6 +00004d1a +00000224 +00002294 +00003cf0 +00004097 +00004f8f +0000ff0d +00000d86 +0000c0b5 +00009ab5 +0000360d +000066d9 +0000614b +000062b6 +00008a03 +0000705e +0000f6fb +00002f26 +00004521 +00004802 +0000b44c +0000501c +00002d0b +00007d8f +0000be67 +00004d6a +0000c71b +0000a227 +0000136b +0000d6e6 +0000574f +00000dec +00003c6d +000027e7 +0000a3d0 +00001dc2 +0000e50f +0000efcc +0000271f +00004b2c +000012bb +0000f1b6 +0000fade +0000a053 +0000557d +0000ad55 +00006539 +00002a25 +0000ec7b +000082e0 +000092ef +00002a9a +00007811 +0000e41e +0000a801 +0000ed12 +0000738d +000044a2 +0000f0f5 +00003edb +00005084 +00009b14 +00009ec7 +0000adce +0000adcf +000065a9 +0000176d +0000cf18 +0000c426 +000043b4 +00008506 +0000f016 +0000c256 +00005656 +0000ed9e +0000b35a +00007322 +0000ba51 +0000bda0 +00003bcb +000026b4 +00008cfb +00004ed9 +0000a7e9 +000079be +00000e4e +00009625 +0000bf2d +00003432 +0000921c +0000c1b8 +0000d38e +0000199a +00001260 +0000320b +0000f920 +00002514 +000054af +0000d760 +0000d5d7 +00004aee +0000b4cd +00009df4 +00006b6a +000079da +0000c65b +000032c1 +00005d53 +000035ce +00001fae +00000aed +000054f2 +0000c67b +0000bfbc +000037d2 +000045d1 +00009367 +0000a130 +000031ab +00007403 +0000e7a9 +00002c9d +000062d7 +00003ea5 +0000f741 +00005bce +0000ddcd +0000d086 +0000a011 +0000803b +0000479d +0000f8e3 +0000007c +0000be99 +0000a11f +00008e4b +00009d9a +00007189 +000038ba +0000dda1 +00007315 +0000c570 +0000aed2 +0000c740 +0000e6a3 +0000ff66 +000096cf +00004c39 +00003920 +00000147 +0000dec7 +00006db7 +0000af46 +0000bce8 +0000c7c7 +00006084 +00004c0c +00006864 +0000d8c3 +000036a4 +00009699 +00006594 +0000d617 +0000411f +000085e4 +0000ee9c +000067b9 +00008bdd +00004bea +0000b9a2 +0000b7fe +0000f5a9 +00005a8a +0000e59a +0000f505 +00008658 +00009c00 +0000a23d +00003ec4 +0000c503 +000078fc +00002518 +00002bad +0000f06f +0000e65e +00009dc1 +0000adfc +00001b8e +00005491 +0000fb24 +0000c3a0 +0000501e +0000fa81 +000073fb +0000fac3 +0000f970 +0000963a +0000e45c +000074f8 +0000f943 +00005d1f +0000326c +0000b300 +0000e8cf +000075c3 +00000c31 +00001339 +000027dd +0000f567 +00007086 +00008ca4 +00007c13 +0000fb11 +00009527 +0000d091 +0000224e +00002245 +0000dbec +00009ce9 +00005428 +00002762 +0000a913 +0000a918 +00006399 +0000379c +00000cb6 +00003795 +0000aeb9 +00000692 +0000515b +0000f60f +0000cda3 +0000638c +0000a1aa +00007636 +00007c76 +0000a852 +00001739 +00002923 +0000e375 +0000fbab +0000a483 +00006764 +0000ff4e +00000171 +00006bf8 +0000afa8 +00000b78 +0000324d +0000362e +0000e603 +0000f0b5 +0000b515 +0000d935 +0000fddf +0000d9b7 +000033bf +00008308 +00001880 +00002fdf +0000c600 +0000f91e +0000c232 +00006220 +0000ab85 +0000ff20 +00009811 +0000f802 +00002fcd +000036b6 +00004075 +000092c3 +0000b1a1 +0000ea7b +00003ff8 +00009e98 +00006831 +0000560f +0000d525 +00009611 +00001fcd +00001d4a +0000a4be +0000d99a +0000c83c +0000c48d +0000a0aa +00003d1d +00004ebd +00001c35 +00003379 +00008dc5 +0000dd97 +0000b129 +00005a96 +000071de +00003b5f +00007493 +0000f908 +000024d8 +0000a3c6 +0000ecac +00004ce4 +0000b16a +0000141c +000060af +00001153 +00006bd4 +0000a565 +000091ef +0000773c +00008e3c +00002e16 +0000b404 +0000be8d +0000f93a +0000056d +00007621 +0000da2b +00003a6f +0000626a +00000f81 +00002874 +00001b50 +0000706d +00006100 +0000ea6d +00001a01 +0000d36d +00005dc6 +0000c38d +00004e5c +0000f5d2 +00000d1e +00002c25 +00005d10 +000019f0 +00009765 +0000606a +00003ae6 +0000c921 +0000f01d +0000f621 +0000a21f +0000da7e +0000cf21 +0000e2e5 +00003bfa +0000eb3a +0000caf3 +00005d18 +0000a052 +0000c29b +00008631 +0000a164 +00006d63 +0000bb95 +00000227 +000046ed +0000d9ad +0000ee04 +0000487d +00006c15 +000041f1 +00007185 +0000be11 +0000a5eb +00005558 +0000b3f2 +000090e0 +00003c31 +0000a1b3 +00007d79 +0000d31d +0000dc08 +000061dd +0000dc59 +0000f564 +00008b3a +0000903d +0000d238 +00007208 +00004624 +00005f68 +00003d23 +00009b88 +0000523e +00005ae3 +00001622 +0000a021 +0000ef48 +00009206 +0000bf77 +0000f47d +0000b113 +00004efb +0000bc73 +00007789 +00006bea +0000fbe6 +0000ccf6 +0000c164 +00003d9b +0000f81f +00000681 +00002f73 +0000dd25 +00003f9a +000024f9 +00005f91 +0000faff +0000e668 +0000ecfb +0000ec64 +00001c03 +00008a56 +000019d6 +00005ccb +0000f8f6 +0000fcb3 +0000f129 +00005873 +00000cb4 +00008630 +0000361a +00005389 +00009afc +000046df +0000d630 +00000389 +000019d6 +0000545e +000049ce +00000f35 +0000879d +0000580d +0000424d +00008ba2 +0000d7ad +00008f7a +0000dfb5 +0000f86c +00003fa9 +000030de +00004817 +00008d0a +0000cf69 +000055c1 +00001a89 +0000c93c +00005d7f +0000adb8 +0000d7bf +00005aa1 +00007265 +00005b4f +0000fa42 +00008965 +00007c44 +00007d01 +00001850 +00007e17 +00003563 +00000464 +00000951 +0000d298 +0000c72a +00009d62 +0000a8f1 +0000002f +0000d3d2 +000087a1 +000071de +00005cba +000030ac +00006404 +0000ca20 +000078ff +0000bb0a +0000184b +00007b0f +0000d221 +000051ce +00000ad6 +00006ef6 +0000e82e +00009d8d +00001887 +00006e43 +0000ebd5 +0000f15f +0000c6c4 +00003667 +00005535 +00002e45 +0000539c +0000e450 +0000d5d0 +00003338 +00006601 +00004c80 +0000379e +000003ca +00004033 +00007aca +0000db3e +00006f7b +0000763e +000033a9 +0000a748 +0000a39b +00004a99 +0000f13d +00005abd +0000b1d6 +0000f2f0 +000075e3 +000054a0 +0000b561 +000026fc +0000ae6f +0000029e +0000e0c0 +00007b8d +00003e09 +000048e8 +00005e31 +00002ba9 +0000ada1 +00005521 +00008cb8 +000011cf +0000d910 +00006e73 +0000c3c8 +0000b354 +0000d3cd +000074bc +0000678b +00005fef +00001f48 +0000e0e6 +0000b7ce +00008750 +0000ace6 +00003855 +0000638c +000086ea +0000c59a +00000579 +00008b16 +000051d2 +0000911c +00008783 +00007adc +000074bf +000096c9 +00006b34 +000008da +00001831 +00003217 +0000fed0 +00001ea1 +0000c2af +000094b3 +00007332 +00009a00 +0000bd29 +00009039 +0000dbf9 +00004e50 +00001b7b +0000018f +0000c0e0 +00009059 +00008b12 +000057df +0000322c +000056e4 +000029a9 +0000512f +0000723e +000099de +0000c62d +0000a69e +00006648 +00003a26 +00000dbf +0000023d +0000201c +00005bf4 +000046d7 +00003887 +0000f320 +0000bde5 +00005c86 +00005f7f +0000a65e +000036a7 +00001bd4 +0000fd65 +000075b8 +0000233d +0000a547 +00002914 +0000b438 +00002fef +00003485 +000015fb +00000186 +000085d2 +00008011 +000025b5 +00002473 +000006ef +00001331 +00003948 +0000bcb1 +0000e313 +000023f0 +00009bf7 +00001da5 +00006f33 +00008740 +000081c4 +0000c455 +0000c979 +00008537 +0000b386 +0000fa7c +000073ef +00006f7a +0000a2a2 +000058bd +0000afc7 +0000fbb7 +0000be69 +0000f9f4 +00000344 +0000844c +0000850e +000051a7 +0000f449 +00007105 +00006a42 +0000b79b +0000a17c +00002a02 +00004d6e +0000da83 +00008417 +000016b7 +0000d98c +00006bd4 +0000444b +00004b0e +0000c8a3 +000076b4 +00002a50 +0000a4a7 +0000a4dd +0000f410 +0000560e +00003a3f +00004146 +00004dcc +00008761 +00001f2e +0000c423 +0000a316 +0000e10d +00009d0a +0000bced +0000bfd4 +0000b03e +0000256a +00005fe9 +0000d93b +0000e2ba +00005dc5 +0000a165 +00004181 +000075a5 +0000c778 +00008a91 +000064aa +0000c6f0 +0000a5e6 +0000c1f7 +0000807f +00005360 +0000e4b9 +0000e198 +0000a99e +00000b36 +0000e047 +0000d4a5 +0000cfaa +00000780 +00001211 +000016d6 +000092a2 +000009fc +0000c365 +00004f6b +0000db4f +0000d1a4 +00000823 +000061bd +000048d7 +0000eddb +0000639c +0000ad80 +0000a570 +00005a32 +00009996 +0000d898 +0000b42e +0000ccd7 +00004d6c +00001c94 +0000c9fc +0000419a +00003152 +0000b1cb +0000c3a9 +0000eb9d +0000a7b7 +00009212 +00000b1a +00005798 +0000d8c3 +00006d17 +00007d9e +000028c7 +00005dff +0000485d +0000255f +00007a70 +0000c614 +0000e4d4 +0000d7f3 +00009014 +000052ac +0000a414 +0000ec12 +00001e32 +00007275 +00004736 +00005862 +000015b2 +00009944 +0000814f +0000ad6f +00007bf5 +00003856 +0000f78a +00007cb6 +00007976 +0000b8b1 +0000e8e1 +0000dee0 +0000691d +000079a9 +00005778 +0000eb0c +00005220 +0000f57e +00002d96 +00001145 +0000d696 +00004e23 +00007840 +00006a13 +0000409a +0000a419 +00007174 +00002d2d +0000f818 +0000b97a +0000b3ff +0000a14c +00004b37 +00008312 +000092fb +0000f71a +00001363 +000069a8 +00002ee9 +0000a149 +000060f6 +00001d5a +00008266 +0000dbc1 +0000f782 +0000fd26 +0000408d +000033f1 +000004bd +00003485 +0000feea +00000447 +00001c0a +0000d131 +0000c516 +0000f4ba +000020a0 +00003308 +0000bbf4 +0000b284 +00006859 +00005809 +00005686 +000030ee +00000119 +0000a67b +0000ee6d +0000e543 +0000a2d9 +0000f72c +00006dfb +00008fe6 +0000784f +0000c1d7 +000041f6 +00004480 +0000883c +00006d9f +00006cec +00008351 +00002951 +0000e7b8 +0000362e +000055a8 +00008abf +0000c225 +00008e6a +00007f6e +0000fb5a +00003c9b +0000e3e9 +0000f7dc +00003969 +0000b985 +0000c061 +0000fb4c +0000b50c +00004121 +00002a78 +0000282d +00004f4a +0000d4c7 +000064d6 +00003911 +0000bfb7 +0000744a +000044e5 +00000de1 +00006abe +0000d777 +0000fb28 +0000d630 +00001291 +0000fdba +0000c735 +00004eac +00006caa +0000d5e1 +0000b150 +000023b1 +000045fc +00005ff8 +000003a6 +0000aaf7 +00007fbb +0000cb52 +0000dd23 +0000466c +0000cec5 +000032a9 +0000d7f0 +0000e21d +0000c7ab +0000b85d +00005d8c +00001f4d +00006160 +0000e4d8 +0000e286 +0000dea8 +0000b87d +00004913 +0000e3d4 +0000fb91 +00007d2f +00008731 +00001fd4 +00002a98 +000015be +00000349 +000024dc +00000d07 +00001fba +00009e41 +0000ef7f +0000ab9b +000099c4 +0000fcd5 +0000f25f +0000aa50 +00009ff6 +0000fcb7 +000081aa +0000a756 +000044f0 +000019d1 +00003c33 +0000571f +0000b180 +0000a5a6 +0000ac2d +00000f9a +000054ed +0000d2d6 +0000f986 +0000947a +00008fe0 +0000b6c0 +000027de +000016af +0000e3f0 +00008342 +0000fa22 +000078f6 +000051c9 +00005a9c +000035c9 +00000b8c +00002ff8 +00003f72 +00009fab +000010fe +00006455 +0000c2f0 +0000ac79 +00007e1c +00008a0c +00003308 +00007edd +0000870b +00002e4a +00002ad6 +00006d2f +0000ab7a +0000ed82 +00008725 +0000e8d0 +000013ed +0000db59 +0000e10b +00004127 +00003235 +00003f34 +0000d0af +00005c36 +0000b700 +00004f5b +00005a2b +00001ed8 +00000e8c +000034ca +0000068c +0000de99 +0000b677 +00004194 +00004af6 +0000d732 +0000deb3 +0000e3f5 +0000ffe5 +00003d9f +0000e795 +00007ff2 +0000ca8e +00002adf +00006f0c +0000f9af +00007a64 +00003848 +0000beee +00005a4d +00007cb6 +00004784 +00008e6e +0000997d +0000a875 +0000902c +000028aa +000035a6 +0000c414 +0000acff +00002a61 +0000e4af +00004788 +000002be +000028c2 +00004769 +0000fc6f +00007aa8 +0000b35f +0000299c +00003e4d +00002a8d +00004341 +000078ad +0000bcf1 +00002491 +0000c915 +0000bae8 +0000c2f6 +00004880 +0000ac83 +00007170 +00002265 +000090c8 +00002e9f +00006ec0 +00000978 +0000f517 +00004178 +0000cbdd +00002179 +00009c92 +000006ce +0000c61a +000079c9 +0000b9ae +000047e2 +00006f87 +0000e0fd +000009af +00005865 +0000bbf8 +00006e23 +000091bb +0000f692 +000014ad +00001c22 +0000d39a +0000da57 +00003ca3 +0000793f +0000540d +0000c5ae +0000ef76 +00008cbc +0000ecc8 +0000080b +000044f1 +0000349b +0000040d +000086ee +00008334 +00005d80 +000063e5 +00007d98 +0000a981 +000096b4 +00000afe +000045da +00009da3 +0000a446 +0000f11c +00009c08 +000085fb +0000d14c +0000593d +0000f00d +00007a28 +0000e447 +0000eb4e +00007598 +00005452 +0000b7a4 +00006f83 +0000c574 +00000d13 +0000985f +0000a557 +000001f6 +0000ac03 +0000acc2 +00005020 +0000908f +000076df +0000c54b +0000334d +00009a82 +0000a955 +000019ac +00005840 +0000a6af +00009f6f +000093ec +000097c2 +0000be8d +0000fc99 +00006bab +00000127 +0000b036 +0000f951 +0000f382 +000058ff +00001f9c +0000a16a +00009a89 +00008976 +000038d9 +00006cfc +000043f2 +00007e49 +0000f5a5 +00003deb +000036d2 +00008665 +000007e1 +00006c13 +00000800 +0000f51e +00009b50 +00002a0d +00000016 +00005d08 +00004065 +00002ca1 +00009f95 +00005e76 +00007236 +00001ec8 +00000325 +0000a38d +00004990 +00000c5c +000009f9 +00004403 +00000f25 +00008d5e +00004051 +00006a7a +00007ee1 +00001be3 +0000b019 +0000b573 +0000ae5a +00009e9d +0000d9af +00006df0 +0000a866 +0000d202 +000036c2 +00004dab +0000efc6 +0000da1b +0000c955 +00002eec +00003aff +0000e6eb +000058e3 +0000a00b +0000db49 +0000757a +00001f9d +0000f482 +000060a1 +0000577d +0000c9cf +00000dae +00002cf9 +00004ba3 +0000c960 +0000b522 +0000c890 +0000907e +00001f78 +000002a8 +0000e95c +00007c0c +0000de3c +0000e7d5 +00003fa2 +0000c1e2 +0000c4b5 +00009b48 +000039a8 +0000b1f4 +000076ce +00003d7f +00001083 +00005a03 +0000f37c +0000b155 +0000900a +000013a3 +00000af8 +0000421c +00002beb +0000a8e8 +0000b728 +00005153 +000013db +0000d9ad +0000581c +0000e604 +000018e9 +0000ab80 +0000f6b3 +000097bd +000055ec +00008e2d +0000cf5e +0000ef28 +0000ad1b +0000fee6 +000086fc +0000f64a +00004cbb +0000f40c +00008be5 +00005254 +00008cf3 +0000fb9c +00004502 +0000e129 +000098bb +00009639 +0000d119 +0000746d +000073f3 +0000f8d1 +00003827 +0000e446 +00001090 +0000feef +000010e6 +000053b3 +000044f1 +0000b79d +0000bc6e +00002085 +00009550 +000096f4 +000079f8 +000098fd +0000e253 +0000f642 +0000b4bd +00003104 +00002ec2 +00002cd6 +00000dfd +00009101 +0000ce54 +00002b6a +0000c51b +0000785b +00006fa2 +0000242e +00003fe5 +00000d8b +0000933d +0000696d +000094f2 +0000d5dc +000021db +00004cde +00001a24 +00002ec2 +0000f3ac +00006989 +0000194e +0000c2d4 +00003acb +00006254 +0000ecef +00001468 +0000e2d0 +0000bf2a +0000e71b +0000d7ca +00001782 +000032c7 +0000847a +00007718 +000044a9 +00003322 +00008d6a +0000b9bd +00000102 +00006e76 +0000e740 +00002988 +0000764c +000046ef +0000f7ac +00006f68 +0000f880 +00001eee +00009c3a +000081c6 +00009e1d +0000dff9 +0000d7f5 +00001e7f +0000cba1 +0000c642 +00007926 +0000aa7d +0000c413 +00001ad2 +0000193a +000030f1 +000070bd +00002652 +0000f8c1 +0000dc2f +0000b1f8 +00004676 +00005993 +0000e225 +0000ab1f +0000b006 +0000190d +00007e79 +00009ba6 +0000078b +00006c79 +0000343f +0000f743 +00009a92 +0000c18c +00003154 +00008f4a +0000a39f +0000e508 +00004d5a +0000bf16 +0000a2b2 +0000b182 +0000be50 +0000ada3 +0000746f +0000a83e +00003ccc +0000d639 +000071ec +0000f636 +0000f1d8 +00002a43 +0000831c +00008739 +00002867 +00003e3e +0000add2 +0000e930 +00005c72 +000013cd +00004b75 +0000e17d +0000e1b4 +000032e9 +0000af3f +0000b67c +0000fbfe +0000e435 +0000a731 +00004f2b +0000e337 +00007e6f +0000e197 +00006ae6 +0000ccf4 +0000d903 +0000e133 +0000534b +0000b5b0 +000015ba +0000ca09 +00008a3c +000043a8 +0000138f +0000f0c6 +00001602 +0000bd56 +0000fca2 +0000b6ce +00002d8f +0000ad87 +00008146 +0000dce1 +000026dc +00006f1b +00007235 +00001669 +0000a971 +00007a59 +00008be3 +00004762 +00004703 +0000edec +000064ef +000076e6 +0000bc37 +00006d7e +000091b6 +00002054 +00003b85 +00000ff0 +00002f02 +00002d1c +00004437 +0000b733 +000025e0 +0000812a +0000a791 +0000cbc6 +00009a8f +0000a3ed +00007e0b +0000f5cc +00001894 +0000b003 +0000eeba +00000dc9 +00002deb +00005383 +0000cacd +00001ef9 +00004758 +00004ae6 +00001f32 +0000f54d +0000bfdb +00006092 +0000fe58 +00005103 +00003541 +00009b05 +0000e410 +000079e0 +000053d7 +0000e39b +0000358d +00008c0d +00006b3a +00000ffb +00007f8a +0000788c +00003e4a +0000d824 +0000348a +00005355 +0000a03d +0000e310 +0000cd3b +00002911 +000090cf +0000b402 +00004cf4 +00003672 +0000a997 +0000e271 +00004c5d +0000fb2d +0000ce75 +0000a691 +0000cc2e +00004295 +00003328 +0000647a +00003210 +0000dbd5 +0000e7fc +00007ff7 +0000f19f +00005bca +00003fa0 +000062ee +00008391 +0000e27b +00008016 +00004067 +00006cf0 +00007e36 +000070c3 +0000be3a +00003883 +00006648 +000077a6 +00004f59 +0000644b +0000e758 +000017a5 +0000a8b8 +00006529 +00007b60 +0000c203 +00005ad5 +0000029d +00002749 +00000cee +000039e7 +00006ca9 +0000d823 +00008135 +0000dea7 +00008dd3 +000019fe +00005117 +000029b5 +00003b4a +00002267 +00006a37 +000053a9 +00000520 +0000c882 +000074ab +0000b3b7 +000088b6 +0000a4c1 +00007133 +000006fe +00004735 +0000323e +0000c886 +0000a26d +00002238 +00006bbb +0000bdc8 +00009952 +0000c087 +0000fe33 +00005619 +00008281 +00003cff +0000cb1c +0000e747 +0000261d +0000a18d +0000143c +00009fa3 +0000fe06 +0000d059 +00004b25 +000078f1 +000012df +00009cff +00001e4f +00002879 +00005fba +0000f7f8 +0000fdd0 +0000be3a +00008663 +00002e17 +0000f1ba +0000c53e +00003e46 +00005e30 +00003c11 +0000d709 +000087fd +000066d3 +0000e067 +0000b42b +00004f7a +0000ae36 +0000cb16 +000013f5 +0000c6ce +00004630 +0000f21a +00007d48 +00006393 +00000e62 +00006989 +00009a89 +000008fc +00009670 +000005af +00009ab0 +00008877 +0000a26d +0000c4ca +00005180 +000042fc +00005355 +0000da5f +0000e8d1 +000054f6 +000015b3 +0000d637 +00001509 +000056ca +00009863 +000000f9 +0000dea9 +00005c08 +00002d72 +0000a865 +00003303 +00007b2d +00001721 +0000b52a +00008e0a +0000c812 +00006309 +00008465 +00002f41 +00004af5 +00001558 +00009eb8 +00006bd6 +00001e23 +00008639 +00008702 +0000cfc8 +00007c3e +0000f352 +00007ac0 +0000e042 +00002930 +00006767 +00009e00 +0000710c +00003fad +00003a1c +0000acfd +00009f17 +00000d5e +000089d7 +0000ed5c +0000a236 +000047af +000031c4 +0000b902 +0000c7ec +00008336 +0000ddf2 +00009c90 +00000960 +000077be +0000ff9a +0000a37c +0000ce6d +00003af0 +00008380 +00000bcd +00008fd6 +00003b9d +00004c73 +00003b72 +00002a97 +000067ab +000093ee +00007140 +0000d656 +00008699 +000072e1 +00004b87 +0000d0f8 +000072b0 +0000f489 +0000de4f +0000f150 +00007ac8 +0000357b +0000b334 +000072f3 +0000d6b4 +000030c1 +0000acdb +00006d27 +0000b84b +0000fd1b +00006810 +000086fa +00002314 +00005c67 +0000547e +00009a6e +0000548d +00009f1b +00005f0a +000018d2 +00002718 +0000fdee +0000a7cc +0000222c +00007983 +0000ab96 +00005daa +000061d2 +00004532 +000000ad +00007993 +0000e217 +0000aceb +000043ad +0000b355 +00002923 +0000ee3e +0000af1a +0000aa1c +000010dc +0000bf8f +000077bf +0000da88 +0000ec00 +000044c1 +0000b510 +00009c69 +0000aa55 +00006481 +00002dae +0000021a +0000cdf7 +0000e82c +00002a0a +00001377 +000023d0 +0000705e +00008f21 +00007e7c +00005127 +0000e818 +0000a365 +0000777b +0000684f +0000c08d +0000ffbc +000022f9 +0000d67f +0000df89 +00004ea1 +00008326 +00001cc2 +0000ca7f +00009b69 +000082f8 +0000e605 +0000382d +000013a0 +000058e3 +00002c4e +0000d2ee +00003c92 +00001b37 +00003f0c +0000959c +0000bee5 +00000015 +00009c89 +0000cd1f +00000a63 +0000630f +0000b07b +00006897 +000033d6 +00004a5c +0000a9ba +0000e222 +00008f0c +0000c5b4 +0000b90d +0000aa4a +0000a6fa +000020ce +00002b1d +0000a00e +000055fd +00008374 +0000ef8b +0000bf8b +0000e03b +0000393f +00003f1d +0000d147 +00001228 +00007af5 +00003313 +0000841b +00008525 +0000337b +00003f98 +0000fbba +00004c42 +0000da78 +0000a354 +00007de2 +00006b24 +00001e89 +0000fe1e +0000881d +00009902 +0000b91a +000060cf +00003834 +0000f1c9 +000065e7 +00004832 +00009131 +00005760 +00009810 +00001517 +0000c710 +00006506 +000026d2 +0000b387 +00005b02 +0000f6d7 +0000cbe8 +00004311 +0000725d +0000676d +0000ed7d +0000b243 +00007223 +0000c5a2 +0000cdcc +00005f35 +0000892c +00006474 +0000da56 +0000ef3f +000072f3 +00004702 +000074bf +0000afca +00005cfb +00000ab3 +00002f46 +0000f244 +000078dc +00001176 +000016df +0000f52d +000064ee +0000ce23 +000044ef +00000c4e +00003590 +00003500 +000092a3 +0000da0a +00008916 +00007260 +0000e7ee +00008bcd +0000b04d +00002967 +0000401d +00002ba1 +000095ab +00009ce8 +00001e18 +000038df +0000ad18 +0000446f +0000ca37 +0000da02 +0000d653 +00006f5a +000053be +00001997 +0000bc03 +0000b822 +0000eff7 +0000c051 +0000d95b +000029b7 +0000f0ea +00007e39 +0000f068 +0000190a +00002dbc +00003502 +00005afa +0000d4a4 +000056a2 +0000557a +0000402c +00006c73 +00004e80 +0000621d +00005892 +0000f3a6 +00004422 +0000c8c4 +00008aff +0000bead +0000e515 +0000657a +00004bf0 +00003f63 +0000a423 +0000206f +00004999 +00004148 +0000ad6a +00003906 +00008e89 +000067e7 +0000c218 +0000f009 +0000e4fc +0000f156 +0000d5c6 +000062fc +0000e4bd +0000dedc +0000e76d +00008a8f +0000b5b6 +0000c5ad +00003009 +00006e2d +00003116 +0000a9d0 +000060c8 +0000beb1 +0000ab1d +00006521 +00004aef +00002a38 +00004f87 +00003c73 +0000eb4a +0000db11 +00009a92 +000064cd +0000734e +0000b3d1 +000018ae +00005ad5 +000089cd +0000fa84 +000036c1 +00000e4b +00009d54 +000044fd +00008d14 +000003ac +0000c224 +0000984f +0000aedd +0000adf7 +000035cc +0000cd54 +00002869 +0000628b +0000425f +00006c6a +0000f5e6 +0000692f +0000db57 +0000523e +00005cca +0000ca2c +0000ee06 +00008fc0 +0000b1e4 +00009c95 +0000ffb5 +00001736 +000035fd +0000b6a7 +0000535b +0000e86d +0000d32a +0000c24b +000070fb +00008e09 +000024b1 +0000d7b8 +0000889b +0000ddfe +0000d396 +0000e035 +0000cadc +00000f17 +0000f1c1 +000022fc +0000713b +000055b4 +00009dcd +00007e3a +0000cfe3 +00005991 +0000d96f +0000ee33 +00006b2c +0000f6c0 +00001c81 +00002483 +0000aab4 +0000dbb9 +0000ceaa +0000117f +00006418 +0000b688 +000071ac +000061ba +00001750 +0000c324 +0000e64a +000011a4 +000056a5 +0000ace0 +0000d5d4 +0000594c +0000744f +0000d4fb +0000ea50 +00005246 +00002faf +00003052 +00001f47 +0000d9a7 +0000bc25 +00000e34 +00001529 +0000502d +00001b8f +00003a56 +0000f15e +0000fa7c +0000665f +00000bdd +0000f4ea +0000e383 +00003b58 +00001399 +000093bb +000042fd +000030e5 +00003b52 +00007692 +00008a14 +0000c216 +0000483a +0000718c +0000581f +0000d137 +0000d281 +0000194c +0000b98c +00007a0f +0000e408 +000040c7 +000032d9 +00008db2 +0000902d +000049ac +000039bc +0000b203 +0000f6c5 +0000d16e +0000de63 +0000beca +00004428 +0000e4ef +000086d6 +0000926e +00006065 +000084ca +0000be78 +0000384f +00001f96 +0000e43d +00003bac +0000dac2 +0000e54f +00006cb2 +00005d93 +00009aaa +0000cd30 +00002fe8 +000083f4 +000006af +00008a9a +000012c3 +0000c335 +00008c1e +0000517e +00009aac +00009686 +0000db5d +00003a51 +0000e7a6 +0000521d +0000da09 +0000b31c +000004ef +0000379b +00005cae +0000afaf +00005a4f +00002e8e +00008222 +00006af5 +0000a800 +00004310 +00002a7e +0000ab63 +00009739 +00002c8c +0000a3ba +00009c8b +00008959 +00003ea0 +000045e4 +00006fcd +0000efad +0000461e +000058fd +0000162c +000015de +0000f42d +00003e70 +0000853e +0000e8fa +0000998d +00007830 +0000193d +0000e51d +000013f8 +0000c76d +0000c63c +0000f3bb +0000efa3 +00003cf2 +0000ea73 +0000a48d +0000dee4 +0000b51e +0000b3bb +0000cb16 +0000c9ed +0000d97d +00002a15 +00002ad1 +0000d8b6 +00006202 +00001164 +00007bf9 +0000f8f9 +0000efe3 +0000f43b +0000b2f4 +00008257 +00007c11 +0000c9d1 +0000145d +0000ba98 +0000f353 +0000c911 +00003fad +00001c10 +000039fe +00001ace +0000fa85 +0000601c +00007153 +00003d14 +0000269a +00006fcc +0000f875 +00000999 +0000ec3d +00007c9b +00004305 +00007153 +0000c386 +0000a359 +00003f5c +00001f23 +0000a61c +00009b29 +0000a664 +000053af +000053a4 +000012a6 +00002f13 +00006c4d +000032c4 +00007d21 +00003f85 +0000a71a +0000f8d3 +00003206 +000055b6 +0000d62b +0000e21f +0000e05d +000001d9 +0000d3db +0000e4d9 +0000786c +0000ec57 +0000aa44 +0000e8a1 +0000cd79 +00000167 +00007fc9 +0000acaa +000051ac +00007c46 +00007acf +0000a68a +00006e13 +00002c14 +0000ee8b +0000c3a1 +000018c0 +0000ec4b +000042f6 +00009723 +00006b0d +0000eadc +000029de +00001ec3 +00001a00 +0000f5e7 +0000d316 +0000e7fd +0000d2c9 +0000ab17 +0000f1ce +00000000 +00009d68 +00000299 +000084fd +0000b837 +0000876b +00004fb7 +000074fa +0000e973 +0000f8d5 +00007115 +0000382a +0000ffb7 +0000351b +00008a86 +0000d0d4 +0000b89f +0000aa48 +0000428c +00009611 +00001e6d +0000d040 +00001d6a +000059df +0000e9b1 +00007a21 +00002fe8 +00009e54 +00001395 +00009bc5 +000003a3 +0000abef +000002c2 +0000a564 +0000270e +00007b0a +00005cf3 +0000b757 +00008309 +0000826c +00002714 +00000ff7 +0000301c +00009cfb +00007e16 +000035a4 +00002550 +0000588e +0000d252 +00007ee1 +0000baaa +00001fe0 +00002f97 +0000ba9d +00009945 +0000d1a1 +0000bbd2 +0000da8d +0000530b +000076a7 +00003659 +0000a1b4 +00007c0f +0000e943 +0000e1d4 +00007904 +0000bf8a +00005fae +0000e2c8 +0000ac1e +00000a71 +0000eba1 +0000bcc1 +00007036 +000085af +00002103 +0000381c +00003712 +0000ba04 +000035bd +00009a6e +0000f031 +0000d779 +00000caa +0000bb95 +0000fa08 +0000ba7f +0000bdaa +00002159 +0000a567 +0000e8a4 +00004cd6 +0000ebbd +00004cfb +00005ef1 +000062cd +0000fbe8 +000022ec +0000abe2 +0000f82d +00005fab +0000f6a7 +00006f02 +0000061e +0000abaf +000054b6 +0000f823 +00006e08 +00008e3c +000082ca +00006037 +00006a64 +000084a8 +0000f9db +000015cf +0000fab0 +00003d5a +00002066 +0000772d +0000dc7c +0000d07a +000026d5 +0000b407 +0000c3a2 +00009937 +000007fd +0000d0db +0000a393 +000019b4 +0000cfc1 +000045e9 +0000fbcb +0000f390 +000069d3 +000053d2 +00003966 +00009f10 +00005a9b +0000c7cb +00005fcd +00002aeb +0000e430 +0000897e +00004a89 +0000eeb2 +00003983 +0000ec7d +0000fa38 +0000b9db +0000819b +00005f63 +00007e9c +0000a969 +00008cfa +0000b289 +00002fd0 +00006e39 +00003f1f +0000d062 +00000897 +00006dea +0000ce25 +00006174 +000013cd +0000c86a +00001a89 +000075f3 +0000feff +0000ec1a +00008109 +0000eefc +00000416 +0000029d +0000a8a7 +0000016b +00007c2e +00002042 +0000eecc +0000cc59 +00008d85 +0000c00b +0000338a +00009d35 +0000868e +0000b65a +0000e7fa +00001b7e +00009825 +00006437 +000060c4 +0000361a +0000c0de +00009540 +00008ebd +00004c56 +0000db76 +0000122e +00005fab +0000ac7b +0000e26b +000091ff +00002923 +00002209 +00009aa7 +000053c6 +00009f93 +0000ed93 +0000f757 +00004b0b +0000fb5c +00002036 +0000a8db +000068e0 +00000e17 +0000f4b7 +000068d6 +00002b81 +000029f9 +00006038 +0000b564 +00003ea7 +0000dd51 +0000b497 +0000cd6b +00009669 +0000a42e +0000ce64 +0000e00b +00000ecf +0000d21d +0000e87c +0000812f +000039f5 +0000160f +0000cf46 +00009545 +0000a6d9 +00001a5a +0000cd8a +0000060a +00008ac1 +0000e2d6 +00004ce9 +0000b27e +0000574b +0000ab28 +0000c3fd +00002bf7 +00006713 +0000271b +00002c0e +0000f252 +00008afa +0000272a +0000d86d +00000144 +0000e219 +0000c31a +00002971 +0000a0ce +00000643 +00004cc4 +0000350b +00008acf +0000372f +00006cf0 +00001f08 +000097b3 +0000ce4a +0000ec5d +00007ae4 +00004449 +0000e518 +0000cade +0000b145 +000082b3 +0000b843 +0000669e +0000031b +00006877 +0000f142 +00009584 +00006451 +00006bb2 +0000a0a7 +0000b2b1 +00000830 +0000016b +00005305 +0000c226 +00001951 +00008f05 +00004a7b +0000ec83 +0000b73d +0000c0cf +000086e2 +0000b4eb +0000f3a3 +000077b6 +0000e68f +00006b03 +00002934 +0000a01a +000049c5 +00007b18 +0000a61f +000063bd +000042ba +00005e60 +00004424 +000048d8 +00007c44 +00000d58 +00002857 +0000f048 +0000a120 +0000f64d +00008474 +000044e5 +00002ddf +000099f7 +0000d3d9 +00000df3 +0000376e +0000103f +0000ae24 +0000f6b2 +0000d040 +0000d722 +0000e969 +00003d10 +0000561a +00006dad +00006610 +0000597f +0000927a +00005d28 +00007e4a +000011e0 +000037a5 +0000724f +0000b32e +0000899e +0000e44c +000008bb +0000d174 +000000d8 +000077da +00006a60 +000067cc +000014b6 +0000215d +0000652f +000008dc +000072dd +0000330e +000058dc +000072d5 +000011fd +0000647f +00001eba +000056b0 +00002187 +0000cd60 +00004dc2 +0000190b +0000027c +000082ed +00007cb2 +0000869a +0000bb61 +000061f8 +00004452 +0000164b +0000794f +00002f8e +0000e3d1 +0000f2fa +0000d027 +0000da49 +0000894e +0000a6ce +00008be2 +00004632 +00006074 +0000e22e +00000506 +0000ad50 +0000a93d +00007864 +00000a34 +00003ed1 +000038d7 +00004bcc +000090de +000046d4 +0000e6a3 +000083b8 +00007f17 +0000c8d1 +0000875a +000005e4 +00000083 +000016a6 +0000384a +0000cd90 +0000f86d +00008e3f +0000dcbf +00005a40 +000042f7 +000047e0 +0000cf7d +0000100c +0000976c +00001f12 +0000ea68 +000003a4 +0000fdb2 +00002028 +00002646 +00004be4 +0000e8db +0000046c +0000349d +00009310 +000028da +000006eb +000095b7 +000041ac +00000552 +0000fbda +0000ccc4 +00003cfb +0000f38a +000034a4 +0000741e +0000d312 +0000797d +00005a91 +000013a7 +00000e97 +0000f00f +00000a14 +0000bb4e +00004c1b +0000f659 +000098b1 +000083b1 +00008b12 +00008825 +00000f89 +000036dd +0000946b +0000c975 +00000483 +00008330 +0000b0d0 +0000b93e +00009c19 +00003a5f +0000487c +00001d32 +00009dbc +00003e94 +000066b3 +000018bb +000024e5 +0000dfb0 +0000bae3 +00000103 +000018ba +00008aae +00005155 +00002030 +00003458 +0000cd27 +0000dd90 +000039ad +000009c3 +0000cef2 +0000104a +0000c1a4 +00000968 +000083e3 +0000130c +0000d988 +0000384a +0000f7b4 +0000e361 +000043ce +0000ded1 +00003602 +0000e7ba +0000a0bb +00001a2c +0000706e +0000b7e1 +00006658 +0000da5e +000024e4 +0000b318 +00002583 +000076ec +000025f8 +00009dce +0000ce20 +0000a422 +0000876c +000020fd +0000c46c +000032fd +000090ce +0000a523 +0000b972 +0000a5b8 +0000f83e +0000a2da +00005896 +000042f6 +0000c74e +00002316 +0000fc4e +0000f188 +00006c02 +0000c8fb +0000bbf1 +0000c6dc +000097f6 +00005f59 +000044a7 +0000d203 +0000af9f +000083c5 +00000f80 +00004d5d +0000aba9 +0000a955 +0000a6a2 +000000e3 +00006e80 +00004cf7 +0000cb9a +00003f11 +0000afeb +0000d56e +000070d3 +0000866e +0000c0d2 +000038ea +00009824 +000071b9 +00008920 +00002244 +00004883 +000040b2 +000041c3 +0000efd3 +0000ecd7 +0000e382 +00008cd0 +000085ed +00008dec +000012c8 +0000adc4 +00008efe +00007980 +00009e40 +0000c3e6 +0000633e +0000087a +0000bc12 +000008c8 +00007212 +0000563a +0000c2b5 +000034ec +0000a701 +0000db0b +0000577e +00004c82 +0000e451 +0000fbb5 +0000bbc9 +0000364f +00004d3e +0000c030 +000082c2 +00009eaa +0000b9d6 +00000372 +000099d1 +0000c8a1 +00004e74 +00008e69 +00002da0 +00000f38 +0000d0d6 +0000b005 +000095ca +0000f8d1 +0000f4dd +0000046c +00001121 +0000dea7 +00007ae2 +00003d51 +0000bc9c +00004a74 +000099b0 +0000dd5f +0000cedb +00004c33 +0000ce21 +0000f8cf +0000c259 +00002a00 +0000ca58 +00002d19 +0000b82d +0000ec1b +0000de98 +000021c6 +0000fd7d +0000670b +0000d5bf +00000464 +00003d7f +000085df +0000df63 +00009194 +00008a2d +0000b690 +0000c188 +00005d3d +00000585 +00007a82 +00002bfa +00002be3 +0000957a +00003d29 +0000a74d +00004f12 +0000ac7a +0000b2c8 +0000396f +000016f4 +0000d09d +00002155 +000079e7 +00008b02 +00002b67 +00001579 +00006fac +0000bbd7 +00000c3a +000025b3 +000032a4 +00002020 +0000e75b +0000859c +0000eab8 +00008eb0 +0000fb9f +00004b45 +00006690 +000087b0 +000068b1 +000068bc +000023f0 +00009ef4 +00002003 +00007bae +000043ae +0000f968 +0000ba4f +0000b526 +0000834f +0000efa9 +0000dbc9 +00003b74 +0000f63e +000007b0 +000070e3 +00008828 +0000d8a8 +000097a9 +0000adbc +0000643d +000075f7 +000083e2 +00005a26 +00004454 +0000bce8 +0000a9df +00009452 +0000e715 +0000bb4b +0000aa8a +0000d41b +000037ba +0000db57 +0000e587 +000098eb +000096a7 +00005ca7 +000097a6 +0000cc4e +0000ca31 +000022d5 +00002e72 +00008311 +0000f97f +000093b1 +000002e6 +00006716 +0000338e +0000df1f +0000cd3f +0000b3b7 +0000244e +0000aa8a +000043ed +00004ed5 +0000b9ea +0000ba09 +000077a3 +0000287c +00009c25 +0000d10c +00009e8d +0000ab34 +000077df +0000a4c2 +000020a1 +0000a6e7 +000040b8 +00005a0b +0000d70f +0000c06a +0000aacb +0000a915 +000090d2 +00000faa +00003e9b +00006095 +00000e68 +00003674 +0000890c +0000a2a4 +0000c69e +0000d9e0 +00000988 +0000d534 +0000e685 +0000085b +0000a042 +0000e02d +00001e81 +0000b650 +00005e97 +0000f328 +0000ee7f +00001b73 +0000bb90 +0000acc7 +00004346 +00005aaa +00004e84 +00001daf +000056e7 +00007e97 +000051db +0000c123 +0000e64f +000074c6 +00004fed +0000253e +0000edfb +00005170 +00007c08 +00009ccc +00003fca +0000c5ea +00005991 +0000f4c6 +000063ee +000053ad +00007341 +0000c769 +000056fc +00006602 +00000491 +0000a6f2 +0000d724 +00001c4a +00009731 +0000f9f8 +0000127a +00002ee7 +0000b6b1 +00000365 +0000a872 +0000f8cb +0000fc43 +00003012 +0000106b +00004f9b +0000d497 +000063ff +00009762 +0000747f +000081e6 +0000a12c +000046c7 +00000789 +0000fc0b +00000216 +0000266c +000087bf +000070cb +0000a1d1 +00006b9a +000089c7 +00004635 +0000abbe +0000473e +00006f2e +0000a125 +00006cea +00002541 +00000854 +000091e2 +0000e104 +00004ef5 +00002af8 +000028e7 +0000d4f8 +000002ab +0000e75c +0000d5bf +0000592c +00003261 +0000a615 +00009209 +0000dd56 +00003b98 +00001871 +00007a9b +0000eff8 +00000a44 +00008584 +000098c3 +00003b79 +000038dd +00009dd1 +0000bda9 +0000fae1 +0000df93 +00009f92 +000071d0 +0000c031 +0000e49e +000035a5 +00001abb +0000065d +0000bfb3 +00001b13 +000098ac +0000a8e7 +0000c292 +00002d3d +0000be88 +0000fb19 +000018bc +000036a5 +000025d6 +0000d8df +0000d043 +00005c56 +000000e6 +0000ab3f +00005db9 +0000b7f1 +0000ad0e +0000fa75 +00002d4a +00004856 +0000e710 +0000c7af +0000f2e6 +000002eb +0000a397 +00008861 +00008b96 +00006f8c +0000ade0 +00003d46 +000061f0 +0000d90f +00004e76 +0000bef5 +000067a6 +0000bc72 +00005c15 +0000fa1c +0000d638 +0000c0a4 +000038b0 +0000755b +000008ca +000020ec +00005c8e +00001abf +0000e110 +000013fa +0000318f +0000ded9 +00004965 +00005989 +0000208d +00007f7a +000085fb +0000b8ad +0000d2de +0000340e +0000272d +0000d8be +0000c9f5 +0000c993 +00008ef1 +00007ee1 +00008f28 +00004434 +00001b69 +0000da30 +0000dd8c +0000c086 +00002898 +00002885 +000047fc +0000ee5e +00003b31 +00009de8 +0000fd39 +00001f4c +0000c68b +00001892 +00006c2b +000082b9 +00001fb2 +0000cb9e +0000aa46 +0000c999 +00004f97 +0000aa4b +00009e08 +00000bcc +00009667 +0000fdf0 +00000f9e +00006917 +000091f9 +0000307d +0000e1a6 +00007fb9 +00001960 +000075a9 +0000d410 +000072ad +0000ffa0 +00009cbd +0000531e +0000d97c +00000f7e +0000f4fd +0000f48a +000093bb +0000b270 +0000ceae +000064c6 +00001a28 +0000d4b4 +0000c2c9 +0000a65e +0000875d +0000b87d +00008b3a +0000a57a +0000322f +00008a47 +0000d5d5 +0000417f +000053a6 +0000a84c +00001bd6 +00000ed6 +0000d298 +0000af0f +00002813 +000032c4 +0000eee4 +00007d15 +000095c0 +0000db78 +00002648 +00007fb3 +000031df +0000f624 +00004ce0 +0000bb03 +0000c942 +0000e543 +0000733c +00001ef0 +00008d3e +000018f8 +0000d41c +0000db71 +0000d8ee +00008b97 +0000a3ca +000085d3 +0000c121 +0000443d +00003b14 +0000012e +000078e1 +00002199 +0000b7e5 +00003bf9 +000034a1 +00004ece +0000cd80 +0000f4ba +0000d602 +0000e471 +00001e58 +0000d7d6 +00004a42 +000057ad +0000198e +00006886 +00002541 +00007986 +0000f607 +000046ea +0000a331 +0000e83a +00000529 +00008537 +0000dada +0000f4c3 +00003733 +0000de0d +00008a88 +00001e7a +00004b37 +0000bde4 +00008992 +000066d5 +0000c4b4 +000031a4 +00008887 +0000df51 +000060d4 +0000ec53 +000069f6 +0000f36b +0000653e +0000b5e8 +00001dda +000010c8 +0000c299 +0000b53f +000089a0 +00006f74 +0000a49f +00002726 +0000a6d7 +0000dc47 +0000a9d9 +00003494 +0000a273 +00008764 +00009cfc +0000b9f9 +000066be +0000f8db +000029e0 +0000f1b1 +000099dc +00006d6e +0000a819 +00001392 +0000baf9 +0000fd71 +0000b534 +00001ba4 +0000a811 +00000fca +0000f890 +000009f0 +0000765b +0000bb18 +00000ad6 +00000d71 +00002753 +0000d6f0 +00001b16 +00002c28 +00006463 +00009f43 +00008b7f +00001a4b +00000b2d +0000ebdb +00005fbb +0000129d +0000f477 +00001e04 +0000f6e5 +0000a1d9 +0000ffb4 +00000647 +00002b28 +00007748 +00000d2b +00002452 +000090f5 +00006c72 +000030c6 +0000c2f3 +00002fe0 +000015f1 +00000779 +00009243 +0000af18 +00004f60 +0000b94d +000081e0 +00008f81 +00005473 +0000d208 +0000bd52 +0000ad70 +00001921 +0000ba7b +0000cc8f +0000e402 +0000b2fb +00003c68 +0000fa99 +00004c19 +0000c5a0 +00001b0d +00004445 +000030f4 +00000449 +00006a52 +00003118 +0000746a +0000fa80 +0000ee8a +0000184a +0000bcc3 +00006dee +00006ee9 +000073ec +00006633 +0000cb51 +00008483 +00000425 +0000d1f4 +0000308c +00000ffd +0000a498 +00004cff +0000c5d8 +00001fd2 +0000d5e1 +00006866 +00003819 +0000b144 +00001d3f +00003d51 +0000464b +000055dd +00007c4c +0000b693 +0000741b +000067a0 +000062e6 +000099e8 +00001392 +000015d1 +00009d32 +0000aacd +0000f7ec +0000345e +0000dfb7 +0000e0fc +00004387 +000057e9 +0000b3a5 +00004c83 +0000e2f7 +00006873 +0000a539 +0000e180 +00006736 +000074a6 +0000b638 +0000057b +000010f9 +00002fbe +00003698 +00005860 +0000ff1d +00002416 +0000453e +0000d317 +00009240 +0000444b +00005ae2 +0000f5c2 +0000376d +0000250f +00006110 +00005f92 +0000ebef +0000c98c +0000f747 +0000ca47 +0000ec46 +00008c72 +0000a844 +00000342 +00002038 +00004f9f +0000f159 +00001c48 +0000f5fe +0000bc6a +00001df6 +0000add5 +00007e9f +0000fe8c +00001949 +0000a51a +0000bd5b +000003ac +00007802 +0000b796 +00003c3d +0000e986 +00001c2f +0000364c +000017d3 +0000e4b7 +0000f547 +00009aa6 +0000d3fa +00008a27 +00007e42 +0000c6e6 +00006bd3 +00000513 +0000bbe5 +00009445 +00002ed2 +000063b6 +00009d1c +0000e8aa +00001cf5 +0000c493 +0000bf7f +00002e08 +00009411 +0000ce62 +0000b9f7 +0000a554 +00004047 +00007c94 +00002f7a +0000af22 +00006196 +0000ea85 +00000bf1 +0000c3db +0000988b +0000673e +00006d3b +0000759d +00008c13 +0000bbe3 +0000da4b +000075af +0000da71 +00002ebf +00008a6d +0000379f +0000e74a +00006cea +00009ea6 +00006bfb +000038dd +00002495 +00004f38 +00002ca8 +00003651 +0000b9f2 +00002f44 +000054e2 +00003927 +000020c2 +0000c88a +00001ed0 +000003cf +0000847c +0000f14c +0000c0c3 +0000cf56 +00000917 +00005e52 +0000640b +0000423c +00008e75 +00001306 +00006f76 +0000c264 +0000006c +000071bf +0000bf66 +0000b424 +00005d71 +0000ce1b +0000058e +0000587c +00003de3 +00008385 +00002a49 +00001c64 +000045f2 +0000b1d6 +00002996 +00004b3e +00008c2a +0000e217 +000085b4 +0000366b +00009ea0 +0000f565 +00000956 +000003fa +000070b7 +0000dfed +00003384 +0000767a +00001b8f +0000d217 +0000470e +00001ff0 +0000fb0b +000091c0 +000097ad +000091e4 +0000517e +0000e59f +00004eb7 +00003c96 +00003bf2 +000014c9 +0000817e +0000cf4e +00006114 +00009c4f +00001347 +00000bd1 +000064bf +00005d05 +00007ce2 +00001eef +0000ca23 +0000a35e +000033eb +0000b039 +00008e92 +00008b73 +00000b9b +0000fad1 +000066e7 +00006322 +0000894b +0000615a +00002993 +0000cc53 +0000c687 +00000f0e +00008f45 +00008156 +00001acb +000057ed +00001435 +0000bd68 +000066dd +0000aa13 +0000640e +00006b55 +00007bc6 +00000223 +00005a76 +00005c37 +0000b76e +0000f4df +0000423b +0000e85c +000090d3 +00007fda +00009d1b +00007c45 +00006de6 +0000f344 +00005e30 +0000b3cb +0000bd02 +000066e4 +000020f6 +0000c55d +0000ec09 +00004c25 +000086fb +00001f64 +0000771d +0000cf47 +00008622 +000039c7 +0000e8f8 +0000d9b6 +00001193 +0000bd8a +000046e9 +0000a778 +00002742 +00004491 +0000026c +000001bf +0000ec1b +00001383 +00002a64 +0000509e +000020c7 +00004fca +000035f7 +0000d5d9 +0000d91e +000053b6 +00006306 +000082d5 +00000029 +0000e2c1 +00004248 +0000fbae +0000d2d2 +00001fed +0000ab02 +00007967 +00001b2b +0000484a +0000f065 +00005d3c +00009657 +00005394 +0000d283 +00006714 +00008f19 +0000bcf8 +000044ef +0000a112 +000056f7 +0000d5f7 +0000c4f1 +0000324b +0000e80a +00002564 +00009963 +00006a92 +00009965 +00007487 +0000f62c +0000796e +00007020 +00004c64 +00009d56 +0000622d +00003700 +0000c515 +000037cd +0000df12 +000026c4 +0000a954 +00003fb6 +000005a9 +0000890e +00001015 +0000f669 +00009e3c +00005fec +0000ae50 +0000800d +0000405e +0000bc03 +000042dc +0000decf +000066a4 +00000b57 +00009e77 +000027bf +0000cb74 +00004ab9 +0000e9e2 +0000eb47 +000080f5 +0000a3ce +0000ec29 +00006b48 +00005626 +0000a1b7 +00003301 +0000d8d2 +00003b0a +0000c662 +00001f49 +00007380 +00007601 +0000ea6d +0000f7b3 +0000046e +00009c33 +000071b2 +0000437c +0000ced9 +00005f25 +0000fe73 +0000cf57 +0000b051 +0000616d +0000ed23 +0000de68 +0000ca9d +00006482 +000082cf +0000296b +0000b12b +000041ad +00005827 +00006df5 +0000b432 +0000401c +00002b2d +00006fd3 +00008366 +00007a15 +0000e179 +00006e8f +00000257 +00002348 +00002526 +00003111 +0000c867 +0000a23d +0000a65d +0000e961 +00006666 +00008eec +0000ab7c +0000528a +00002bcc +0000c86b +000039e2 +00008a9a +0000c592 +0000f3c2 +0000c15a +00004ac7 +0000b0ad +0000d5da +0000d421 +00003fac +00001a28 +00001a94 +00001595 +000063b0 +000066dc +00003af7 +0000297d +00005d87 +00003ccf +00005481 +00000def +000004d2 +00008827 +0000e39e +0000e9e2 +00004ee2 +000095c9 +00007336 +0000fa50 +0000059a +0000fd93 +00007361 +0000e807 +0000ca72 +0000b632 +00008936 +0000700d +00000798 +00004ca0 +0000c9c1 +0000e8ae +00009131 +0000d93d +00007212 +0000cd24 +0000d8bd +0000ec89 +0000ce72 +000019e1 +0000b498 +00004d7c +000022b1 +0000e37b +0000dd9c +00000382 +0000859b +00003234 +000064e4 +0000c616 +0000c189 +0000cc25 +000083ac +00008bfa +00006c13 +00003807 +00004754 +00009410 +000086e3 +0000e29e +0000bb83 +0000e1d9 +00001ba5 +0000f0c3 +00005468 +0000c787 +0000651a +0000e80c +00007b1c +0000b7c0 +0000374c +0000f81a +00003e23 +000038f2 +000078dc +00004e7e +00004812 +0000744d +00009f77 +00007f48 +00005e4a +00007a62 +00004167 +0000ac2e +0000c9e2 +0000f756 +0000ee45 +0000b456 +00002ca3 +0000b0c2 +0000a0cd +000056c0 +0000642c +0000c72b +00003bca +0000d153 +00003d36 +00005519 +0000a430 +00002683 +0000d8f2 +0000a5d6 +00002a48 +0000d39b +0000c68f +0000ddc4 +00001406 +000061ac +0000f2d8 +00008e5b +00003a76 +0000db12 +0000aff9 +00004fbf +0000cc55 +0000cf9f +00003761 +000019fa +000067bc +00003167 +000027c3 +0000b7db +0000dcf7 +0000f428 +0000a138 +00004d6a +00000c74 +0000f953 +0000c17f +00008c06 +000071d7 +000072bb +00006264 +0000ce1d +00000e2a +000085d9 +00001c3e +0000f488 +00008332 +000099ba +0000ae91 +00007f81 +000051dd +00005986 +000010d4 +0000fd42 +00004dd7 +000015ad +00008d4e +0000883b +0000783b +0000cdaf +0000681a +0000aeee +00009367 +0000cc88 +0000b449 +0000bf6b +0000e1e8 +000061b7 +00001926 +00001063 +0000a294 +0000e0ea +00006994 +000081ec +0000fbb7 +0000b248 +00000f94 +00000fd0 +00002765 +0000dd5a +00006de3 +0000ef92 +0000d2ef +00001897 +000079c0 +000053fe +0000c370 +0000078a +0000eecb +0000906c +0000f187 +0000f1a2 +00009f09 +0000f591 +00006e1f +0000db96 +00008104 +00006201 +00008269 +00008d73 +00003e10 +00002045 +00009ceb +0000af43 +000022a8 +00004c94 +0000bdb2 +0000c65b +000072f6 +00009c32 +000033a3 +00007d42 +00004571 +00000062 +00009cf0 +00004039 +000025a1 +00003f09 +00003ca6 +0000d86c +0000e0fe +00003ae1 +0000d762 +00004fb3 +0000fff6 +00004556 +00006924 +00000705 +00008d0e +00007401 +0000263b +0000897a +0000ec20 +000093be +00005960 +000045fa +0000a3c2 +0000f36c +0000d0df +0000f987 +00001ac7 +0000e040 +0000acf0 +00003425 +00006bdf +00004dc6 +00008124 +00000262 +0000915a +0000c376 +0000dafb +00006585 +00004b09 +000049ef +00005f92 +0000e457 +00004045 +0000b1d2 +0000d279 +00001e88 +00000009 +00002e3e +000077a3 +0000ecc0 +0000a13a +0000dce3 +00006277 +00003744 +0000e508 +000075c5 +00006403 +00004d40 +0000dd6c +0000fc8f +00006a50 +000026b7 +000039d1 +0000ed91 +000042d0 +00009b04 +00007bcf +00000058 +0000d1f4 +0000360b +00007612 +000039e9 +0000f1da +0000f602 +00009759 +0000a899 +00004a24 +0000dbd6 +0000a39b +0000c38c +000092e4 +0000d83b +00009d44 +000007c8 +0000d4b3 +00003f53 +0000c09c +00000cf5 +000057e1 +00008cfa +00009349 +0000ebb3 +000014c6 +0000e3ac +000029eb +00006f98 +00009737 +00005013 +0000e5e5 +00002ec5 +00006714 +00007b31 +00000d3c +00004124 +000027ff +00001527 +0000be97 +0000f140 +0000c227 +0000e2a0 +0000e366 +000060c0 +00000642 +0000f6dd +0000e220 +0000ba81 +00005e99 +0000445d +0000f2a4 +00002c4f +00003f3d +00004e28 +000016c1 +00008240 +00002757 +000063b8 +0000d8d1 +0000ddae +0000259d +00007185 +00001280 +0000a1cf +0000f1e1 +0000102c +00001daa +00004ff3 +0000cdc3 +00001c3b +0000f162 +0000ad59 +00007e87 +0000c09b +0000cd10 +00002cad +0000d201 +00007d9a +000033b2 +00003522 +000040ad +00005695 +00001943 +00008128 +00005edb +0000f03e +00004438 +00006eca +0000ef07 +00000990 +00000527 +0000bba7 +00008747 +0000594c +00008683 +0000c68b +0000dbdd +00007a2e +00001682 +000010aa +0000e0ec +00002fb1 +0000ed1f +00005878 +00001548 +0000ed7c +0000103b +00004828 +00006866 +00002b68 +000017df +000051bf +00004d72 +0000b02e +0000b49c +0000f2d3 +0000ad80 +00009cb2 +0000fa13 +000039e5 +00008ada +0000a1e6 +00008f91 +00000758 +00004778 +00006b5c +000008d2 +0000240e +0000a08d +0000e86d +0000c8e7 +0000e79e +0000913e +0000bdff +0000f132 +0000c833 +00006e6d +0000caf5 +0000fe8d +0000ce04 +0000adb4 +00004939 +0000d68a +0000846b +0000e96e +0000b55f +000026d5 +0000a2a5 +0000d3ef +000046f6 +000018b6 +00004625 +0000ebd3 +00006370 +00007ab3 +00003895 +0000e374 +000015ab +0000a252 +00005b6a +0000cd75 +0000341b +000067fc +0000f322 +0000407b +00007f96 +0000befd +00002c1d +0000c3fc +0000a4bc +00008ba8 +0000d315 +0000f82f +0000aa01 +00007998 +0000db3c +00000b23 +0000f459 +0000b411 +0000ebf1 +00002cec +0000ac7f +00008284 +0000d01e +0000d4f7 +0000eee1 +0000eb31 +00004130 +0000ca7f +0000d02b +00009de7 +000027b4 +00000218 +0000e06e +000088e7 +00000b8b +00008269 +000076ed +000099e7 +00000dc9 +0000a1f7 +0000b084 +00004d32 +00006630 +0000101c +0000aab6 +0000ccec +0000fe44 +00003b16 +00002551 +00008274 +0000640b +0000c53b +000054c0 +00001be2 +0000ee63 +0000db4b +00004eed +000027af +00008cff +00005dde +00001cd0 +00008874 +00005e00 +0000effc +00001a99 +000022cb +0000c32c +00001ccb +0000f076 +00004752 +000050cd +0000e663 +00000e01 +00007ace +00009028 +00002fbe +0000324a +00005e6b +00002f99 +000093cb +00002a7c +00009a21 +0000da48 +00005ba1 +0000912e +0000cb33 +0000918a +000065d0 +0000f848 +000098d2 +000001d6 +0000f0d4 +00008790 +000030de +0000ed61 +00005aa3 +0000b9d0 +00008ecc +00006659 +00000765 +000082a6 +00000ea2 +000032bb +0000c144 +0000c6e8 +0000ee1f +000053d2 +00000359 +0000b1b1 +00007df9 +00004b4d +0000c1c5 +0000100d +0000e53e +000057fb +000060e1 +00007d3d +000078dc +00008425 +0000ad8d +0000c9a7 +0000c93c +0000ff88 +0000c8a5 +0000a65d +0000a808 +0000deed +00001792 +00003f48 +0000880e +0000fb67 +00006bfc +00000df0 +0000b93c +00004b29 +0000b49d +0000ceeb +000022cb +0000ae01 +0000a931 +000023e1 +00003d79 +0000c775 +0000038a +00000c37 +00002fee +00002078 +0000f7bf +0000fe60 +00000f40 +00006ac9 +0000bd84 +00000bbc +00006592 +00005fe9 +00001c95 +0000192b +000040d7 +000055b7 +00000e4d +0000d633 +00002bb4 +000042a9 +0000a653 +0000bcca +00009284 +00008ba9 +00009473 +000003c0 +00003675 +0000958a +0000b18b +00000dcb +000070cf +0000b425 +0000299c +00007f31 +00002855 +0000b114 +0000f500 +0000c40c +00007ac4 +0000c40a +000072bb +0000713d +00003c78 +000076ce +000015e9 +000089f0 +0000902e +00008ed2 +00003857 +000053c7 +0000f8e4 +0000b674 +0000442e +000003a5 +000067dd +00003fd0 +000086ca +00002d1a +0000e8c1 +0000fb40 +00001eaa +00007e66 +0000ade0 +0000bb71 +0000967f +0000f32c +00005e97 +0000c123 +0000df67 +000047b4 +0000a9cb +0000e87c +00007c33 +000016dd +00003e93 +0000120a +0000cfe4 +0000ac9d +00006cf2 +0000e154 +0000a135 +00003736 +0000d0c1 +00008b1b +00000552 +0000a0f8 +000088ac +00000d32 +000003a6 +0000fcad +00009b53 +0000c9f7 +000064d2 +00000ea4 +00004c90 +0000216d +00003eb9 +00001c5d +000044d8 +000041f7 +0000efb8 +0000ccce +00008cba +00000ab3 +00005af3 +00008350 +00007e85 +00007971 +000057cb +00003f29 +0000f007 +0000be4f +0000666b +0000a9b6 +0000f66f +0000aef3 +0000db82 +00009d35 +0000c654 +0000f363 +0000d50b +00000e2d +000051ce +0000e684 +00006a47 +0000e17a +000066c2 +0000c42d +0000a8cd +0000d8f9 +00002f3c +00005ee7 +000010b7 +000060cc +000015f5 +00003743 +000061fe +0000a74a +000030f3 +00006ed8 +0000ace0 +00000986 +00007450 +0000b0d8 +0000b77d +00007e7a +00000f12 +0000ca4e +0000ea82 +00004ad1 +00007439 +00004bf7 +000016fc +0000e74e +0000b1d8 +00002fba +0000874e +0000a3dc +0000d85b +00001bc4 +0000ed3e +00003132 +000053e7 +00007d44 +0000df29 +0000db22 +000039df +000054c0 +0000b657 +0000dd7f +0000ac82 +00002e13 +0000c069 +0000dda9 +000096b1 +00005e03 +0000d5ef +000032b7 +000021ce +00003f83 +00008816 +0000463f +000067c1 +0000ca82 +0000377e +0000f7c4 +00000314 +00007e6d +0000862a +00008cbd +00003f3e +00003a48 +0000b691 +0000573e +0000cafd +00003c64 +0000a9d1 +0000dd46 +0000eedc +000023be +00004f03 +0000eaa4 +000069d4 +00006cf4 +000075a9 +00009786 +0000360f +000084e5 +00001544 +0000ed9f +000097c7 +00002ae3 +00003c03 +000075f4 +0000f84d +00007c97 +0000f695 +00009944 +00002f26 +0000a572 +0000a31f +00005d12 +0000fb50 +0000cbcc +0000414a +0000a750 +00008ea2 +0000759b +00007173 +0000d2a9 +00002d51 +00003ed0 +0000f506 +0000fd6b +0000038f +00005b51 +0000a1e5 +00001d10 +0000734d +0000fe8f +0000db01 +00008068 +00003c22 +000062c5 +0000c00c +00000a64 +0000ff44 +0000c9cc +00006645 +00000f81 +0000c1b2 +0000719c +00008c72 +00005fce +00002e74 +00001663 +00005bec +0000a79c +00007afa +00003c3b +0000e8d4 +0000f0c2 +0000efa2 +00001a8c +00004364 +0000ae8a +00003655 +0000a2fe +00000c60 +00005e3c +0000b151 +0000be18 +00009eaa +00006644 +00003c01 +0000777a +0000fff5 +00007e02 +0000d807 +000073b3 +0000ea92 +0000882f +0000e85c +0000a9c0 +00006266 +000069e7 +0000bc8f +0000fa22 +000066fd +00000857 +00004e29 +0000dd9b +000074b7 +00003703 +00003232 +00000584 +0000a720 +000000b4 +0000e0cc +000067ce +00006e5e +000054fd +000094fc +0000d093 +0000e9c3 +0000c469 +00002689 +0000b358 +00001982 +00009543 +00005ffd +000095e2 +0000397f +00001b02 +000072c8 +00000eb1 +0000b73f +0000da4e +00004b84 +0000f11d +000054ff +0000a3b3 +0000a854 +0000e10c +00002be0 +00006ee1 +0000f365 +0000284e +00005f3f +0000609d +00000396 +00004795 +00007323 +00000548 +00000534 +00005d14 +000057d0 +00006a0a +0000ecde +00001ab2 +00005c78 +00005e9b +0000f688 +0000a5eb +00005b11 +0000c9ae +0000d29a +00005b44 +00008f42 +000099fa +00004338 +00000f69 +0000aa77 +00007de6 +00000b9d +000007e4 +0000d713 +00002bd0 +000033a0 +0000857c +00007cc5 +0000a4fa +0000c159 +00005a28 +0000b7f4 +00007d11 +00002add +0000a4ae +00009654 +0000d05b +0000e224 +00006bdd +00004a9a +0000327d +00007d0c +00007363 +0000a94c +00007fe7 +00001b77 +0000444c +000060b1 +00001bdd +0000cd8f +00000318 +00007de8 +0000c522 +0000dc29 +00004d77 +0000f115 +0000cb43 +0000f547 +0000f9a3 +0000e2b6 +00000e7d +00005eb8 +0000315a +0000cc14 +0000d44c +000070da +00000277 +000064d4 +00001a91 +0000bb80 +00001f2c +000097ad +0000b35e +000056ee +000027de +0000d034 +00001362 +00000301 +0000789d +000013d2 +00005cec +0000d66c +0000143f +000077d8 +0000d19d +0000601c +0000e718 +0000a6a9 +000074af +00003cbd +00003b57 +00004615 +000047dc +00004256 +0000d900 +000030c7 +00002cec +00008410 +0000eb81 +000094d4 +000015de +00009014 +000066f4 +00002973 +0000cdb2 +00006991 +000046f0 +0000cdcc +000043d1 +0000e0dd +0000a10c +000002e9 +0000e41a +00000bb3 +000024f1 +0000d2c9 +0000258a +0000bf9b +0000441b +0000d693 +00001987 +00001067 +0000db2c +00002de8 +000065c9 +0000f4d9 +0000d6ed +00005951 +0000b2e6 +00005362 +0000eee2 +000009d8 +00003978 +0000d905 +00002f88 +000017b2 +0000b7ec +00001c5a +0000ae2d +0000f219 +0000baea +0000aeaf +0000606c +0000fa38 +0000c25c +0000dd49 +0000b144 +00005b40 +00006d1e +000004c8 +00000dd5 +00001198 +00007540 +000078a8 +000043b6 +0000f331 +0000e6fa +000020e4 +00002af5 +0000aaf7 +00009c2d +00000fb5 +0000b5ac +0000b963 +0000a692 +00007178 +00001f3c +0000ac2d +0000f281 +000058ad +00009529 +0000df1f +00000c60 +0000081b +00005392 +00003805 +000092a6 +00007d12 +0000cf4b +00006fc1 +00007e8b +00001bc8 +00002799 +00009a7b +00000d4e +00007fe5 +0000b989 +0000c0f5 +0000b422 +0000931a +00004eed +00007cfc +000028b6 +00002bec +000002f4 +0000aafa +00002860 +0000968f +00009665 +000062a9 +000047e5 +000089ed +00008d78 +000088e2 +0000b5e7 +00002ac6 +00001151 +00006c93 +000079ec +0000def1 +00002f19 +000000cf +00005a07 +0000d2c0 +0000aebb +00006600 +00003125 +00003281 +00005b38 +00009437 +00001ff5 +00003a21 +000036a8 +000018a4 +0000a275 +00005cec +0000b3ce +0000f821 +00003416 +0000e76f +0000af56 +0000e8e8 +0000cc8b +00009d7f +000082ad +00003566 +00001f33 +0000f652 +00002a7d +0000ba27 +00002721 +0000b8ca +00001ac4 +000090f0 +000029c4 +0000d9d2 +0000fa90 +00001aeb +0000fa38 +00009ee1 +00002159 +00003bfd +0000e728 +0000269c +00004ffb +0000b934 +00005d5d +00009998 +0000bf4e +0000cc5c +0000e8e8 +0000653d +00003e64 +00001eb1 +0000dee8 +000002c6 +0000ba5e +00007cae +000099f9 +0000ec64 +000029eb +0000b504 +0000d339 +00008282 +0000765e +000034a6 +000041f8 +0000c324 +00009c6b +00001201 +00004bfc +0000d569 +0000de7f +00005949 +0000306d +00008b2f +000082b9 +00008bef +0000b763 +00001ad5 +00003688 +0000652e +0000100b +0000731b +0000d1e2 +000015c5 +00002770 +0000ab26 +0000d41d +000048bf +000061ec +000030a1 +0000946e +0000636e +0000412a +000075fd +0000bffd +00009276 +000020d6 +000002d8 +00005fa1 +00005605 +0000d3e4 +0000e87b +00003f3d +00005f20 +00008c7a +0000bc7e +000030b6 +0000b019 +0000187b +00005b86 +00004490 +0000121d +00001cb1 +0000d62f +0000b224 +000011e9 +00009896 +00000a04 +0000c980 +0000d59d +00009cbf +000088ab +0000e8db +00003da9 +0000bde6 +00008f1e +00000fb3 +0000e2e4 +00006e92 +0000ef14 +00004985 +0000a3bb +00000965 +0000ec7e +0000ca28 +00009286 +0000f602 +0000312d +000035c7 +000036f6 +0000f299 +00001890 +00002e79 +000034ab +00002c10 +0000b59b +0000e17f +000088e2 +00006ecf +000014c4 +0000ee19 +0000b14c +00005a28 +00005c27 +0000a005 +00002df9 +00002062 +00008bca +00001795 +0000f073 +0000fd61 +0000bffa +0000996c +000069e8 +00002df3 +0000f7d4 +0000dfd4 +00000a7f +0000d1b9 +000081e0 +0000e7bf +000023cb +0000c1b0 +000050d7 +00005359 +0000405d +0000055b +00009a85 +00001c46 +00002273 +0000308f +000042c9 +0000ef7d +0000bbcf +000093da +0000b4ba +00002cc0 +000090a3 +0000c991 +0000ebe4 +000023b7 +000017a8 +0000c975 +00008fb0 +0000c8a8 +0000c94e +00004d01 +000022e1 +0000bad6 +0000b00e +0000ee53 +0000693b +00001673 +00006bdb +00000bb4 +0000453e +00002c42 +0000bab6 +000019c6 +00007010 +0000d8ce +0000b861 +0000ae49 +00008d78 +0000d540 +0000272b +00002d8a +00003e06 +0000fbe1 +000033ea +0000a468 +0000f151 +00001a2d +00004707 +0000f904 +00005a59 +00008a90 +000094b3 +00003c04 +0000880a +00006fc0 +00003e38 +00008484 +0000c3e2 +00000bb6 +0000f66a +0000609b +000078a9 +00004c4a +00003b3c +00008485 +0000835f +0000476a +0000566f +00000272 +00007034 +000000f6 +00007764 +0000f4ea +000045b1 +0000743b +00005813 +000025db +00009ff4 +00007b0b +0000ff14 +0000dc41 +0000fe18 +0000cc75 +000050db +00009a70 +000043b3 +0000ed27 +00004201 +00003701 +00009079 +00008b5c +00009cbd +00005a57 +0000affd +00006083 +00006b71 +00000acc +00008398 +0000526e +00003a61 +0000917d +0000e862 +00002e33 +0000827e +0000a1af +0000bb5d +0000f6a3 +0000af60 +00004cdd +0000bdb2 +00006064 +00005253 +0000ed5f +00003b13 +0000b5ae +00003488 +0000d1b8 +0000743f +0000fb9e +00001a6d +0000127c +0000efa4 +0000d33a +00002a17 +00009cdc +0000f21e +00003002 +000006da +00001bd0 +000081de +00009e2f +0000d3fb +000009e0 +00007566 +0000728b +0000707d +0000d58e +000030b0 +0000ddec +00005e17 +0000a263 +0000d26e +00007e4e +0000e547 +0000d095 +0000b36d +0000a78c +00002880 +00001551 +00003a13 +00003dbb +0000f87f +00008dab +00002407 +00009b23 +00005bbc +0000d620 +000087ef +00009bdb +0000dafb +0000d0c4 +0000f154 +00008900 +0000c501 +0000640c +000018a3 +00003d92 +0000bb64 +00001c3a +0000d94d +00007eeb +0000fa7c +00003961 +0000280d +000022dc +0000ee76 +00005414 +0000eb49 +00003d65 +0000c787 +000062af +0000b792 +00003011 +0000df3c +00008941 +00009246 +000014ec +0000e0f0 +0000be15 +0000fc55 +00009f22 +0000c758 +0000ecdc +0000a720 +00002b36 +0000e138 +0000e278 +0000557d +000058db +00002f38 +0000eb67 +00008ad7 +00002872 +00008cd1 +0000aeca +0000ca73 +00003621 +00003662 +00007011 +000048cd +00004c8c +00005e5f +00008145 +00001121 +00002933 +0000a3a8 +00004ff2 +0000c105 +0000ec68 +00005af8 +000016af +00001c33 +00004eeb +0000bd74 +0000dd4c +0000da65 +00005724 +00002e63 +00001196 +00003159 +0000e7fc +0000e9fd +0000a2c9 +0000bcf4 +00002358 +00008f5c +00002993 +00008783 +00003a32 +0000178f +000046c1 +0000141c +0000e456 +0000ebce +00000288 +00006d23 +000059b7 +0000ecc7 +00009672 +000058e8 +0000476c +00005d17 +0000abe4 +0000f66e +0000da4a +0000c0d4 +0000b948 +00002447 +0000991e +0000e447 +0000c7db +0000339a +00006887 +00005bb7 +00008c14 +00008947 +0000b26e +0000ee60 +00005ab0 +0000ef21 +00004b24 +00007e83 +00002457 +0000775a +00004e16 +00002097 +000041ec +0000f3fd +0000cc2f +0000339d +00007b76 +00002494 +0000c5ca +00006c91 +000053a6 +0000dbe9 +00008a53 +0000e6fc +00004204 +00007fea +00003779 +00006ca6 +000025ba +000065a5 +0000b211 +00004669 +0000dafd +0000ab73 +0000128a +00000821 +00007d14 +00005332 +00001f09 +0000e9c0 +00007f06 +00007eab +00000d21 +00005f7e +000064e4 +0000d012 +00006530 +0000c32d +000087b0 +000010ab +00008159 +000000aa +0000ede9 +00005f89 +0000c219 +00005770 +0000e2b4 +00004a71 +00003288 +00006346 +000095a9 +000052de +0000f62f +0000be19 +0000ad37 +0000811e +00007a80 +0000ace4 +000055b4 +0000c79a +000005f6 +000069cb +0000f704 +00001833 +0000deca +0000bd48 +00005ae5 +00002dc7 +0000e34f +0000b87c +0000add6 +00002bd2 +00000dea +000082a7 +000064a2 +00005631 +0000feb2 +00004bb3 +0000b08d +00004302 +000044a0 +000095eb +0000cc19 +000008a8 +0000c073 +000028c9 +00000a75 +000009eb +00001b23 +00001eec +00003383 +0000223c +0000e9ff +0000a128 +00005685 +00001415 +0000ba65 +000000b8 +0000dd63 +00003b7f +0000df1e +0000028e +00004a9b +0000a6ad +00007954 +00004dcb +0000abc5 +0000d6c6 +0000d730 +00000f4a +00006ac2 +00008ac0 +0000e214 +00000457 +0000c781 +00001668 +0000f672 +00003e7e +0000e46d +0000e77e +00001f47 +0000127d +0000ef77 +0000fafc +00004f38 +0000c45b +000091bb +00002477 +0000d147 +0000a292 +00006fd1 +0000299f +0000cb32 +00006267 +000024b3 +0000d3e1 +00004b68 +0000af5b +0000c146 +00003a2b +0000fca8 +0000ffba +00007a87 +0000a4cf +00003939 +0000e050 +0000d053 +00008d81 +0000d7c0 +0000093b +000016d2 +00005d7b +0000abc1 +0000addc +0000ec82 +0000abc0 +000053b5 +000099e7 +0000c6dc +0000dd7c +00008ec3 +0000cd9a +00005fa4 +00002a8a +0000f41f +00006b0b +00006cd2 +00002810 +000085fc +0000e699 +0000ad18 +00001b45 +00003a2f +00008c84 +0000f310 +00007653 +00003261 +00008d99 +00007904 +00000158 +0000fdf1 +0000e506 +00006759 +00005186 +0000df64 +0000894c +00001798 +00005069 +0000af89 +00007a51 +00003e1a +0000b548 +0000982e +00005e95 +0000c450 +000072a5 +00008078 +0000817d +0000bdac +000039e0 +0000a4e3 +000024cb +00008130 +000056f8 +000074d7 +0000372f +00009191 +00004670 +00006fe3 +0000a1f6 +00009e07 +00008750 +00005c98 +00007fe1 +000085b4 +00004b42 +0000d304 +0000af11 +0000e7ae +0000c6dd +0000b4ca +0000501d +000069ab +00000400 +0000ccdb +0000e446 +00007f48 +00003854 +000070d3 +0000dcc2 +00001bf6 +0000c1f3 +00009df2 +00008d34 +00005982 +00000c27 +0000abb5 +0000933d +0000bd3f +0000be4a +00004e10 +0000e52c +000065d1 +0000b6cd +0000414b +0000d9d1 +00000b99 +00007455 +00009976 +00009b6f +00005db8 +0000af00 +00004f8a +000097cf +0000e5c2 +000003c6 +0000461e +00009f71 +00003c01 +0000b3fa +00009fc3 +000085de +0000f663 +00009aee +0000e0fc +00003519 +00005c01 +00009bb6 +0000f7dd +00004634 +0000874c +0000e906 +0000e33f +000050fe +000040d6 +0000a935 +0000539d +000044da +00007cba +00009862 +00003f8e +00003cab +000004aa +00006ab5 +000005df +00005feb +00007893 +0000d0bf +0000574c +000082db +00006fef +00001ffb +0000c1db +000057d4 +000025a6 +0000c176 +000057d6 +000023bd +0000b8a2 +0000a88a +00008c63 +00000796 +0000aaee +000033c6 +0000ffc1 +00001130 +0000e989 +0000f9ea +00009521 +000064ff +000046cd +0000250d +0000cc1f +0000f308 +0000f177 +00001de1 +0000f7db +000048f4 +00001252 +0000690f +00008db8 +00003347 +00005a85 +0000b8bc +000065b1 +0000ffaa +0000ec75 +0000542d +00006653 +00005264 +0000a958 +00001787 +0000b65b +0000a0e7 +00008d58 +00006daf +00002dec +00008387 +0000645f +0000c650 +0000616c +00003045 +0000c185 +000029fb +00003ffd +000090ca +0000c314 +0000ae68 +0000df93 +0000856f +0000cd34 +0000acda +0000b0b7 +00000779 +00000538 +0000bca1 +0000f3d7 +0000fc62 +0000f783 +000093b9 +0000ea47 +0000ac52 +00007218 +00000f90 +0000dcd8 +0000edad +00003dbe +0000c7e5 +0000b213 +000037c5 +0000ffcc +0000adcc +00006e13 +0000eeb0 +00002e96 +000058ce +000095fc +00005a35 +0000a274 +0000c42d +0000111c +0000d9e0 +0000ed6c +00005e44 +0000c19c +00000835 +0000437b +00006c0d +0000aee2 +00009f01 +00005d85 +0000e2bd +00003b87 +00000ecc +000060d6 +0000f98a +000080f0 +0000db6a +00007554 +0000d58c +00008a8b +0000efb1 +00005444 +0000d1c1 +0000caa8 +00003a44 +0000b9b5 +0000052b +0000c2f1 +0000058e +0000428c +0000c914 +00007a88 +00009ccb +0000e12d +00002172 +0000fbbb +0000f040 +0000b2c3 +00000965 +0000b366 +00000a8b +00009f2d +0000c5dc +00002be6 +00004915 +0000cd86 +00008058 +00003db5 +000069a3 +0000974e +00008bc9 +00006fa7 +00009f0c +0000443a +0000d594 +0000e0c0 +0000ff05 +00007894 +000024b6 +0000b5b0 +0000bb18 +0000e050 +0000e902 +0000a3ec +0000b6b3 +000040ad +00007c86 +0000d469 +00002c5d +0000ba84 +0000d90c +0000f7f7 +00003403 +0000a731 +0000e3bc +00000739 +00001c71 +00001a1b +000090df +0000c83d +0000a9dc +0000b0e1 +000041be +0000d3bc +00006b9b +0000fc22 +0000dea8 +000073ef +00007af6 +00006ae9 +00004f13 +0000570b +00001517 +000042b4 +000011da +0000af5b +0000a21e +0000ce1f +0000d7a5 +0000faf9 +0000db50 +00008c32 +00002167 +00004d29 +0000e26f +0000ca44 +00000500 +00009352 +00003c24 +0000b289 +00005a02 +0000ef9a +0000bf99 +0000793f +0000b090 +0000e31d +0000ad23 +0000f272 +00009462 +0000a1c9 +00003e1d +00007a67 +0000bde6 +000089d9 +00001fd0 +0000baab +0000f381 +000058eb +00006b8e +000075b8 +00007cf3 +000058c0 +0000cdde +00002340 +00002ad9 +00005d90 +0000aed1 +0000c719 +0000144b +00001008 +00004d73 +00000cc6 +00004ca5 +00009ce1 +0000e05d +0000519e +0000f364 +0000841e +0000ed68 +0000e79c +00002026 +0000e1e2 +00002a7c +00007ac2 +00004cd3 +00002aeb +00005986 +0000232a +0000ffdb +0000f6af +0000b187 +00004daa +00009895 +00001111 +000086c9 +00004523 +00003fc7 +0000adc0 +0000042e +0000c666 +00001e43 +0000372d +0000e7a1 +0000a8c4 +00001bae +0000d326 +000053ad +00004531 +0000875c +00005714 +0000e82c +0000e614 +00002d57 +000005cd +00007417 +000029ae +0000797f +00001117 +0000b27d +0000e124 +00006acb +000078ba +0000a48f +0000962a +000038ad +00008141 +00003ad8 +0000814e +0000ac94 +00009c4d +00001f89 +00005adf +0000518b +00003c95 +000093f2 +00001078 +0000bbff +0000af7d +0000730d +0000092c +00004b9d +0000b652 +00008b35 +0000424f +0000e95a +00003920 +0000a0f4 +00002edf +0000638c +00000d30 +00008cf9 +0000e38e +00002a35 +00006922 +00000728 +0000b96d +00004d61 +000040a3 +0000d0cc +00003136 +0000bfa5 +000061ca +00000fe8 +00008136 +0000fec7 +0000ce14 +0000cdab +0000d7d5 +0000647c +000068fa +0000aa01 +0000fcc4 +0000814c +0000b62d +0000f646 +00009ac1 +00000392 +0000016e +00008915 +00001c0a +0000cc9f +0000ea0b +0000bd3f +0000a35c +0000f5ff +0000ebf9 +00002dd8 +00004ba6 +0000a8d3 +0000208b +0000e16b +0000784f +0000c95d +000010cd +0000b64d +0000a021 +0000989b +0000137f +0000020f +00009cd0 +00008e0a +000050b1 +0000760d +0000292b +0000cb8b +000024f8 +00007b23 +0000d7de +0000ad63 +00003e4a +0000567f +00006ce1 +0000185d +00006c7b +00008992 +00002381 +0000480a +0000bf55 +0000ff21 +00000b09 +0000ff29 +00002c4d +0000b778 +0000440d +00001c21 +000095e8 +0000c5bd +00008852 +0000b3aa +0000aeaa +00009062 +0000075d +0000f38c +0000d1b6 +000066b4 +00004197 +00002f80 +00008b07 +00009d91 +00002c19 +00009a33 +00002949 +00008536 +0000871a +00004c68 +00005355 +0000aa36 +0000f0f2 +00005c3c +00003b48 +0000f387 +0000dfca +0000e685 +0000a93f +00004fb7 +00004be3 +00000c54 +0000b7b0 +0000c33c +0000747f +0000069a +0000bb68 +0000c9e8 +0000c96f +000091e7 +0000711d +00001d7b +0000a33a +0000144d +00002aaf +00001353 +000013e7 +0000ff63 +00005600 +0000e33e +0000ba68 +00001066 +0000576c +00004970 +000022a3 +00003079 +000051e7 +00001590 +0000dc1e +0000d70d +000016b2 +000058ec +00001145 +0000f05b +000016ba +000005cd +0000095b +00006a0e +0000cf8d +0000f1e6 +00009efb +0000a7ff +0000cdf9 +00004de6 +0000554a +00004318 +00000043 +0000c42d +000055bc +00009459 +0000b201 +0000909a +0000407f +0000adf9 +00002b95 +00000383 +00005987 +000084a4 +00008f46 +000001be +00003c37 +00002ae3 +00002dfc +000033dc +0000dfa9 +0000209a +00002d98 +0000b676 +0000559a +0000d8aa +0000faee +00003d9f +00004df5 +0000b6b7 +0000a160 +0000bd79 +000008fa +0000e704 +0000c218 +0000c9e3 +0000020b +00009279 +000084e1 +0000ff50 +00003d13 +000010c7 +00007ca2 +0000e6b3 +0000c093 +0000daa2 +00001979 +0000e696 +0000664b +00001e2d +0000e270 +0000f34a +0000cc81 +0000f774 +000050df +0000d039 +0000d1f1 +00006f12 +0000c75e +0000d457 +0000a651 +000080f3 +0000be64 +000043b7 +0000197f +00008d34 +0000e081 +0000703a +00007349 +0000b527 +00007034 +0000f362 +0000bdd9 +0000c672 +0000f16a +00007344 +00000cbb +00007656 +0000b094 +0000849c +0000ea8c +0000cf0e +00006163 +00005bfb +0000b742 +0000d14e +0000af23 +0000201b +00006112 +00006bea +000038b1 +0000cf53 +00008202 +00000b98 +0000121a +0000ca25 +00008c0b +000024ce +000085d2 +000034f5 +00004dea +000039c5 +00006f8e +000082d5 +0000ba83 +0000fea0 +00002abe +00009b7b +00007cf2 +00005da5 +0000aeaa +00002e54 +00008330 +00003da9 +00001228 +0000e4b1 +0000495f +00000c8b +000050f8 +0000451f +00004fb3 +00002fd6 +0000ccbc +000039d6 +0000c4fc +00009d8a +0000a396 +00004c47 +00000fd3 +0000fef5 +00009660 +0000c7d8 +00008061 +0000dfba +0000d883 +000015ac +000014c7 +00008af3 +0000c374 +0000c39b +0000da2d +00005873 +000007d1 +0000c841 +0000146b +00004c24 +00009614 +0000b632 +0000f64d +0000098f +000018f1 +0000b04b +00005486 +00003d7b +00007b52 +00001124 +00005d35 +0000bfac +000093ed +0000d7a1 +0000fe0c +0000cecc +0000b07e +00000aa8 +00004323 +0000e868 +00005aa6 +000065ed +00009858 +0000df11 +00005060 +0000c1e8 +00007760 +0000f0a3 +00004a1c +0000c8e8 +0000ac6f +0000bea2 +0000c87a +0000ab41 +0000fb80 +00002abf +0000c3a4 +0000a4a1 +00009041 +0000397b +0000b648 +00004481 +0000429e +00003ce3 +00001e31 +00008bb7 +000059e9 +0000a979 +00002c81 +00001127 +000018a3 +00001733 +0000ff8d +00007f9a +0000faea +0000109c +00006055 +0000dea7 +0000317e +00007219 +00009d9e +000038cd +00008371 +00003b47 +0000beae +000050a3 +0000606b +0000d47f +0000e7a3 +00003726 +00008d97 +0000ea7b +00006971 +0000b532 +0000772e +00002212 +00009776 +00009a0c +0000d09e +0000061f +00001cbc +0000211c +0000cd56 +0000b180 +00002aca +0000c0b8 +0000dc31 +0000d73a +00007c27 +0000d41b +00006f7c +0000eaba +0000c46c +0000b274 +0000fba6 +00004a36 +0000c805 +0000e51b +0000f683 +00006d32 +0000f584 +00004ede +0000413d +0000391f +0000faa1 +0000367a +000006d7 +0000be1e +00006ed2 +00004625 +0000b95f +0000bde2 +00004d83 +0000b248 +000077b0 +00007eb3 +000099f3 +0000d94a +0000c5e1 +00008c8e +000026ab +0000ce88 +0000224e +00002a69 +00001a9b +00006260 +0000a141 +00005595 +00006dd2 +0000596d +0000593c +00005d3d +000037d9 +0000a4cd +000009e5 +0000300b +000049ad +00008d9d +0000078e +0000a1ea +00002d58 +0000e586 +00001560 +00000be6 +0000452b +00006e71 +00007825 +0000c82f +0000649a +0000cb56 +00002baf +00002c3c +0000dcb4 +00006c32 +0000c4e7 +0000c30b +0000963d +00000717 +00002a75 +0000a987 +00007369 +0000505d +0000ee09 +00000f03 +0000d338 +0000c3f6 +0000b045 +0000fbe3 +0000b069 +000071df +00009947 +0000a05b +0000761c +0000dfb3 +00008fd6 +00008d4e +00004407 +0000315a +00007928 +00005958 +0000fc54 +0000eade +00006b4d +000053e7 +00001516 +0000bd5e +00005c3c +00000884 +0000c767 +0000f11b +00007778 +00007430 +0000d61f +00001eaf +0000e45f +0000eee9 +0000c633 +0000095e +0000670f +0000eb4c +000002a7 +00008c85 +0000e5f5 +0000ce54 +00000631 +0000ae2f +00007df4 +00004140 +0000446c +000008c7 +00006f2e +00007f93 +00002cb5 +0000dde7 +0000cc71 +00004335 +000040a6 +0000444f +00007741 +000010b7 +0000d82d +000002f1 +0000927e +0000c5b4 +0000cd48 +0000cb28 +000035b2 +00006b53 +0000e95f +0000a409 +0000caf9 +00006ee8 +00008e3d +000078d2 +0000218d +000082ba +0000b4ad +0000dc80 +0000deed +000088df +0000faad +0000347c +000098aa +00000a44 +00001b51 +00009c75 +000080ce +0000dbc9 +0000ca37 +0000f549 +00002ee9 +0000b389 +00008ca3 +0000b11c +0000afb9 +00009037 +0000ca37 +00000e8c +0000a575 +0000f4a3 +0000ef50 +000094fb +0000e2b6 +0000195c +00001aff +0000c40b +00008a03 +00005670 +000072a2 +00000828 +00005bf7 +0000274f +0000d51f +0000263e +00007e11 +00004ace +000047bc +0000708c +0000a418 +0000a147 +0000169e +00003690 +00002629 +00008add +000042dd +00000222 +00002d5f +0000a7dd +00007840 +0000539d +0000c11d +0000fd40 +0000649a +0000af37 +00001efa +00009f4d +0000eac0 +0000ed72 +0000674a +0000245b +00004b25 +0000e0ab +00004845 +000041b4 +0000fc15 +000081d0 +0000f1d7 +00001496 +00008b8a +0000f62f +00003c03 +0000b953 +000084ae +0000e069 +000089f4 +0000f298 +0000f2ee +00008e85 +000093a2 +0000c2ca +0000bcb7 +0000b721 +0000e01d +0000f98c +0000afd0 +000097c3 +00005a7a +0000d75d +0000b954 +0000664d +00000b57 +0000095f +0000634a +0000278f +0000a10c +00005f32 +000051e3 +00001cf7 +0000106f +0000bcff +00001a4f +00001b63 +00003844 +0000eb91 +00006d3c +00003d17 +00001140 +0000089d +00002eec +000080ca +000094b8 +00007922 +0000b6f1 +000007db +000023eb +00005feb +00001188 +0000c5a1 +0000d9e8 +0000ba32 +0000bc90 +000091df +0000d21d +0000645f +0000fa25 +0000b057 +00000d7e +000068ed +000072de +0000ff75 +0000404e +00001b6e +0000819f +0000202c +0000728d +0000a1a5 +0000231b +000008de +0000fcff +0000acd1 +000022e9 +00009575 +00000cd4 +00004509 +0000c042 +0000c68f +000077f9 +0000a8c2 +0000a455 +000081d6 +00004bfa +0000626e +0000a447 +0000c56d +00002192 +0000d63f +000068ca +00006086 +0000ded1 +0000aa09 +0000b559 +00006310 +000031e8 +00008946 +0000a90d +0000968e +0000ae94 +0000e733 +0000f31f +00007203 +00001825 +00008117 +000094fb +00001b09 +0000022a +000082a3 +00006bfd +00002602 +000091bb +00004e75 +00005cca +0000e765 +0000c963 +00000cb7 +00009a4e +0000483a +000069a7 +000041e4 +0000224d +00002fa1 +00001037 +0000cfab +000029a8 +0000b38b +0000dfb1 +0000e1ec +0000d22f +0000628c +00009a0c +000069df +0000241d +000018c9 +0000b7a7 +0000e954 +0000e534 +0000f20c +0000a4ee +00007014 +00008776 +00000af6 +0000f0a6 +0000cd67 +0000097d +0000e354 +0000d4d7 +000017af +00004277 +0000538a +00002453 +0000cc32 +0000b3c2 +0000272b +000046e6 +0000e8f5 +00009a20 +00008eab +00008e1c +000082c3 +00009897 +0000ba2c +0000cca9 +0000794d +0000f6e8 +00000f74 +0000ba79 +00000b6b +00000da9 +00008d00 +00004f55 +00002d81 +00001a01 +00000c32 +0000de2e +0000a3fc +00005300 +000034a5 +00005b0a +000003fc +0000ba99 +000012a1 +0000d588 +0000dc0c +0000c43d +000062aa +0000d211 +0000670c +00008b14 +0000c433 +0000cb9d +00004b30 +0000f1da +00002570 +0000c81f +00000721 +0000a655 +0000da49 +0000898f +0000cf41 +0000a26e +0000f06a +00008592 +0000bd0c +000064f3 +00007277 +00006dc7 +0000529a +0000bbe8 +00006c5d +0000b2b0 +00007440 +00009a86 +0000a2c4 +00000b3b +00001a56 +000014d1 +00000fa0 +0000aaec +00001d14 +00003ad9 +000055e7 +000070aa +00009c96 +00002d8d +00007e5d +0000f823 +0000a6ff +00006736 +00006f8a +000017dc +0000deb9 +0000d198 +0000b2ce +0000f5d8 +000002da +0000e3a0 +0000388b +00007ce4 +00005ba2 +0000a0c9 +0000ed80 +00009c7d +00002d21 +0000f412 +00001336 +00005d5f +000071f6 +00008094 +00006d92 +000074ac +00004031 +0000a1c2 +0000778b +00009fb5 +00005a5a +0000f542 +0000f0f6 +0000b267 +00008e8b +00006862 +0000377d +0000e255 +0000a5b8 +00006338 +0000fcaf +0000785d +0000c50f +00004b5b +00000b31 +0000b2fa +0000437a +00003838 +0000ed11 +00004326 +00001542 +00005311 +0000653b +00006dea +00000fcd +0000fc98 +0000ce04 +00007c83 +00006788 +00007224 +00008ee4 +0000c532 +0000e9e0 +00004c8e +0000fb41 +000036a1 +00009569 +0000d2ab +00000c66 +0000efed +00005222 +0000b965 +00008892 +00000f54 +0000abd0 +00008b78 +0000492d +0000817c +00009086 +000092c6 +00007216 +00003b5e +00003546 +0000bd58 +00008c17 +0000e8cd +000062c2 +000097f2 +0000947a +0000b9a7 +00008c3b +00001852 +000033b5 +00009797 +0000328b +00009105 +00006d40 +0000ae84 +0000353d +0000c8e5 +00003a87 +0000d199 +0000d3da +0000d032 +0000a2fb +0000e6f2 +0000413f +0000cd4c +00000343 +000023e0 +0000cb29 +00009b0a +00005591 +000083d9 +0000b7d3 +0000b2bb +00006d0f +000083ff +00001bb6 +00007bb7 +00003677 +0000cd4b +0000096f +0000baa0 +00002fc2 +00001756 +00009dbf +00007a3a +000068f8 +00002dc4 +000089ac +000067e4 +00008026 +0000a24d +0000dcc4 +00003db3 +00002a6e +00005569 +0000f181 +0000742a +0000fc38 +00009f97 +00007dc8 +00001597 +00004287 +0000854b +0000e98c +00002d0c +0000df66 +0000ff72 +00002d90 +0000eaf9 +00003b80 +000002a3 +00009c49 +00003963 +00007ecf +00008ee3 +000016e1 +000019c3 +0000626d +0000b215 +00005058 +0000c67d +00000d86 +000006fc +0000e0c5 +000031fb +00008f6f +0000daee +00000abb +00001d66 +0000a8cf +0000c418 +000034c6 +0000a101 +0000b5fb +00001268 +00003915 +0000a022 +0000ac60 +0000251b +0000cd31 +000042cd +00003d19 +00004ee3 +000063eb +00002eee +00004ea1 +000092b4 +00000b55 +0000db33 +00001fa2 +0000232b +0000e8e6 +0000f584 +000084ec +00002e9b +000015c1 +00007720 +0000d481 +000021b6 +0000bd0f +0000a055 +00002fcd +00002ad5 +00007e88 +0000b5db +0000fef3 +000067e4 +00003711 +0000f9ce +00008f42 +0000c8ed +00007180 +0000fa47 +0000e6c6 +0000513f +0000e17b +0000ef96 +0000fefb +0000013b +00006a7e +0000841d +0000b89d +000052ba +000054fb +0000ffcc +0000fa9b +0000d21e +0000739b +00007134 +00008e29 +0000fdf4 +00001aa3 +00000e4a +000069f4 +00003743 +0000eb72 +0000a6bb +00003e71 +00002c74 +00009626 +0000a1e6 +0000354f +0000c8dd +0000d35f +0000a27b +0000f60b +000052ec +0000f81b +000089ae +000021a1 +000002ec +0000a5c0 +00003417 +00009760 +0000fc77 +00003839 +0000ea29 +0000f4d7 +00003479 +0000b438 +00001e4c +0000e2eb +00006ddc +00001799 +0000b29a +0000e20a +000016d5 +00000de7 +0000a033 +0000677a +000070ca +00002af7 +00007a3e +00003dcb +00000cdf +0000edb2 +0000e67c +00003a6b +0000471c +0000ecf1 +0000c58a +00007a58 +00000a3c +0000b081 +000064b3 +000077f0 +0000c615 +0000d845 +0000b36f +00006be4 +0000229f +0000537b +0000167d +00008b4b +000099a2 +00008a17 +00001298 +0000d4d3 +0000c100 +00007a4b +0000a8d9 +00003f12 +0000af9c +0000ec28 +0000dea8 +000039fa +000086e6 +0000fd5e +00008b60 +0000956b +0000cb05 +0000671f +0000257f +0000fee3 +0000d39f +00000022 +0000e986 +00006850 +00003f48 +00001cc4 +00005473 +0000ca06 +0000f384 +00008f48 +00008bd9 +0000d1cc +0000d37b +00002a3f +0000ed99 +00003111 +0000f738 +0000c703 +0000a13f +00005c3c +0000cd9d +0000f25d +0000a4fb +0000ad21 +000032e7 +00008147 +0000703a +0000fa8f +000025da +00006fcb +0000e5e0 +0000d706 +00006589 +00008c0a +0000f024 +0000c886 +0000e1c4 +00008f58 +0000c009 +0000eb82 +0000881e +00006785 +00004a75 +000092f4 +00009799 +00009237 +00003cf0 +0000978a +000044f0 +00008c72 +000043fa +000027fe +00001981 +0000783a +00001d08 +00000c83 +000016d6 +00003a50 +00008e1d +00006f5b +000048cf +00007217 +0000fd02 +00005c85 +0000106d +0000cd9a +00000022 +00004a94 +0000113d +00005ba7 +0000e304 +00001e9b +00004b5f +00009e13 +0000b865 +00003d45 +00008c32 +00003e21 +000033f1 +00005b01 +0000ff9e +000064fe +0000259e +0000dd5a +00004bfd +0000da63 +000010a5 +0000bf64 +000042a6 +00002b5d +0000f81e +00000b52 +0000cf18 +00003b29 +00001b38 +00001b25 +00006ec0 +0000c039 +0000fb12 +0000f27f +00001b67 +00004f53 +0000a438 +00002396 +0000323b +0000b6d9 +0000d5bd +0000d144 +00008178 +00008c23 +00003ff1 +0000803a +000046b3 +0000ed08 +0000b4bf +00008955 +000087c3 +0000977c +0000c3a6 +00001f09 +0000d04c +00009953 +0000db52 +0000f7f9 +0000fde9 +0000fa24 +0000aad6 +0000d0a9 +000064f6 +0000e148 +00001c88 +0000164b +000037f7 +0000da02 +0000e47a +00002cb5 +0000bba8 +0000f7b9 +00003c90 +0000e174 +000071a1 +0000bc60 +00000843 +0000bdfa +000003a6 +0000c2f6 +000039f1 +00000af2 +00005989 +00005029 +0000f3de +000076b5 +0000e3bc +00000b16 +00007ecb +000070d4 +0000c477 +0000402b +0000d031 +00006cd5 +00002182 +0000302e +0000fa1a +00005dfc +000088a0 +00000d56 +00007e9d +0000de3e +0000f098 +00006897 +000020f4 +0000925b +000080e1 +0000f0fb +00006e3b +00007d04 +0000e9e9 +0000872c +0000d7c7 +00001337 +0000b802 +0000c70e +0000d921 +000003ac +0000aae9 +00004989 +0000439e +0000c367 +0000bbf5 +00000064 +0000699c +0000116e +00001cd9 +00002e4d +0000835b +0000c395 +00001915 +00009cf5 +00004775 +00004e75 +000063ff +0000d358 +000050fb +00009a7b +0000fd6a +00002e1a +00008d2e +0000d81c +000076f0 +0000dc0d +00008ad7 +00002526 +000003e2 +0000e0cf +00002544 +0000f532 +00005dc8 +00005f91 +0000a4df +00004f36 +00002796 +00008ff2 +00000f6c +00001237 +0000196e +0000df09 +000001de +0000931b +0000df0c +0000de82 +00000dd7 +0000059c +000032d3 +0000c3df +000034bb +00004259 +00005f71 +000059e0 +0000ba70 +00009c07 +0000f231 +000065fe +000029b4 +000095c4 +000007dd +0000a410 +0000041a +00006c8c +00003e4d +00009a11 +000037a2 +000085c4 +0000f490 +0000a5f9 +000013f4 +0000f4f4 +000023b6 +0000c7c7 +00001939 +00006a92 +0000ba39 +0000c9a0 +00009a96 +0000fdf9 +00000406 +00008811 +0000ce46 +00006479 +0000492b +00003c71 +00008553 +00004787 +00006d1e +0000cb62 +000064f1 +00008c15 +0000f8a7 +00003976 +00001b77 +00007cfd +0000986b +000087ed +0000aafb +0000ea96 +0000ba17 +00005b9d +00008388 +00007169 +00009225 +0000efeb +0000c6fe +000039fe +0000744e +0000f7f5 +0000b087 +0000a3cf +0000088c +00002fd2 +0000b9b2 +00006d5e +000086cb +00007ff1 +0000b72d +00000b62 +0000c32b +0000b49e +0000c723 +0000011d +000074f4 +0000eba3 +00008b30 +00002bc9 +0000c217 +0000fa0b +0000c7fd +00001330 +0000b95d +00002c01 +0000247d +0000715b +0000052f +0000e0d8 +000062c3 +00004362 +0000c100 +0000a524 +00000c7d +0000d562 +0000fb5b +00008d05 +00002d11 +00005b8d +00000e84 +0000b084 +00005352 +0000ca5c +000099ce +0000cc15 +0000b4df +0000bfdf +00008579 +0000273c +0000ed18 +00007034 +00001b45 +0000034d +000071be +0000a716 +0000dd97 +0000f44b +00007726 +0000108d +00006747 +0000a5f2 +00009e1a +00001ac3 +00003e04 +0000aed1 +0000654d +0000e2f7 +00002165 +0000338e +0000f878 +0000bf8b +0000008c +00003c4d +00009715 +00001937 +00006079 +0000be2c +00006cb7 +00006560 +00009ce9 +00007ae5 +00005504 +00003383 +00000de8 +0000f897 +0000a551 +00005fcb +0000bbfa +0000f39e +0000b5d8 +00009cc3 +0000ece2 +0000cc9e +00008498 +0000a626 +0000730d +0000949f +00006bc9 +00008ed1 +0000499e +00007952 +000085fb +0000bbd9 +0000a717 +00007372 +0000f9ca +00003eb9 +000050a0 +00003946 +00000740 +000060a4 +000097f7 +00009743 +000050c7 +000050f9 +00000df5 +000070ce +000079c9 +000008d5 +000093b9 +0000cb1e +0000d4e6 +000095c2 +00001473 +00006440 +00007bd1 +00001d8c +0000d1cf +00007834 +0000cac8 +00000d34 +0000ccfb +00007635 +0000d9ae +000004fe +00007657 +00008816 +00002cc6 +000033a5 +00006db9 +0000edc8 +0000770e +0000f2af +0000bd59 +00004ef8 +0000e836 +000095db +00009960 +000044d5 +0000203b +00007fb6 +00004e08 +00007def +000082a5 +0000ad4c +0000a66f +0000fe3b +00004359 +00000afc +0000b5fe +00001d33 +0000271b +00000465 +00008e70 +0000041a +000091a4 +0000e17c +00000c82 +00008e5d +00001b5c +0000a2c0 +00008536 +00008e15 +000088b5 +00002e84 +0000bdc3 +000096aa +00009d24 +0000c16b +0000381c +00008f91 +0000e3bc +0000b3f3 +00007e1b +00007135 +00002768 +0000c728 +0000b54a +0000adf4 +0000f4c4 +00004a78 +0000654e +0000e940 +00001f99 +00008ca7 +0000faf1 +0000bee6 +0000e5f3 +000029d9 +000031ca +00007c6b +0000eae1 +0000e8cc +00002e8b +0000ce92 +0000e2c9 +0000f929 +0000b3e7 +000084fb +00006b65 +000084ef +00007c1e +0000ade5 +0000295e +0000a70f +00006126 +00006c14 +0000e583 +0000f917 +00009d77 +000008ce +000003a6 +00000a06 +00000f74 +0000e3ff +00004d13 +0000303e +00000971 +0000047c +0000a8ae +00004897 +0000d865 +00002a64 +0000faa8 +0000148f +0000cb2d +000065a3 +000005dd +000009a0 +0000247a +00005290 +0000c8ce +000083aa +00000159 +00003eb6 +00007036 +00006c90 +0000de5d +000097b0 +0000994b +00008adf +0000056b +0000262e +00003d92 +0000c9a8 +0000ce22 +0000b714 +0000425f +0000eb6e +00003ae5 +0000f4c1 +000045e8 +00003a3b +00001c86 +0000526d +0000e405 +0000e6cd +00008690 +00000bab +00004c87 +0000e8ee +0000fe31 +00005a0e +0000a532 +000052e1 +00006dc2 +000022e8 +00005158 +000029f8 +000086f5 +00007f2d +0000d913 +0000f643 +0000dd9a +00009f77 +0000ca1e +00005b62 +0000a50e +0000ae23 +00008c50 +00002c7c +00009a40 +00007198 +0000c3b7 +00008d48 +00004461 +000090a8 +00007a4d +0000d248 +00005628 +00007b0e +0000eb59 +0000f216 +000099bf +00001817 +00006a65 +000079d7 +0000714d +00007d5e +00007fd8 +000016cc +00009621 +00000fb0 +0000f52f +0000e707 +00006078 +0000900e +00002adc +0000e33a +000081eb +0000b6d0 +0000b1bb +0000d3ab +0000bc78 +00001ee6 +0000c22d +00006243 +0000c02a +0000633a +0000cad3 +0000fbc6 +0000f968 +00007031 +0000f0e9 +0000521e +0000b9d8 +00002b51 +0000fc3c +000071d0 +0000c5ee +0000b2fd +0000c8c9 +00007c90 +0000f115 +0000985b +0000f7f4 +00003aef +00001278 +00009556 +00003b62 +0000d732 +00003c6d +000060bf +00003373 +0000333f +0000c07e +0000628e +00007b5f +00006bb1 +00002a16 +00002a47 +00001cec +00003a0a +0000f9c5 +0000a978 +000045c9 +00000808 +00008b30 +0000545e +0000b88b +0000a610 +00002f98 +00006aa8 +00001341 +0000b6c7 +00002705 +0000ca53 +0000a5b5 +000001c0 +0000c20e +0000ceb4 +00003142 +0000ff4f +00009648 +0000dd94 +00008c4d +00000f7c +00004f49 +00006475 +0000c361 +0000ee13 +00004658 +000027f3 +0000f1eb +000015d9 +0000aab2 +0000e746 +0000ac8e +0000e4d9 +0000a47b +000075e4 +00007724 +000073dc +00007c40 +00008d43 +00006053 +000032f6 +00007125 +0000b8bc +00007cc7 +00007d3d +000087b0 +0000dd8b +00009c11 +00007595 +00003b30 +000000f3 +0000311c +00009ea7 +00009bcb +00000e7f +00000847 +0000bff0 +00000323 +00008062 +00000524 +0000c9f5 +000029a5 +0000ec00 +0000c1cd +00008b95 +0000066e +00009486 +000089de +00002a77 +000083d9 +000045b3 +0000cb12 +000070a1 +0000b2a9 +0000d8ba +0000b57d +0000212a +0000c5d0 +0000e540 +00006563 +0000a608 +0000c0da +00004080 +000090b4 +00008903 +000062f9 +00000c8e +00005225 +000049cb +000078ad +000039b9 +00004bec +00004324 +00005e10 +00007c09 +0000fc18 +0000713f +00001bbb +0000d6e7 +0000bc8a +00000b25 +00002858 +000000dc +00008894 +0000014c +000078c6 +00000172 +0000422c +0000934c +000028e2 +00008b37 +0000d0cc +00004eab +0000a6f8 +0000b3df +000013ea +0000e8d4 +0000ebc6 +0000cc89 +0000430f +00008627 +0000e929 +00003c1a +0000f597 +0000202a +0000f967 +00005dc6 +0000ac04 +0000dcdc +0000b918 +000085ad +000054fe +0000492a +000055b1 +00006da1 +0000e7eb +0000887b +0000faae +00006c06 +0000cf27 +0000ab66 +0000a75c +0000f6d3 +00007be0 +00007f83 +00005740 +000014b5 +00001d91 +00004a5f +00000727 +00007e52 +00001719 +00000189 +0000c0cc +000051aa +00006b77 +0000b1c9 +0000771f +0000599a +0000fc25 +0000e771 +00001d38 +00000b7b +0000130d +000009cb +000001d8 +00007ce7 +0000522c +0000bbb1 +00001997 +0000b39b +0000c2c2 +0000733c +000081b0 +0000d178 +00005e33 +00009a94 +00002565 +0000bea4 +00000a7e +0000abe7 +00001010 +000013cd +0000a457 +0000da75 +000095c8 +0000268e +000050e2 +0000fac7 +00001cac +000039ea +0000f10e +00007bff +0000f0cf +0000f4f5 +0000308c +0000644b +00002cc0 +00006191 +00004a6b +00006274 +000060b4 +0000d556 +00003d52 +00002693 +00004252 +0000468b +0000c959 +000053e2 +00004f1b +0000af51 +00003184 +00007db0 +0000eb75 +000040e0 +00005adc +0000c16e +00002852 +000048e8 +0000832c +0000afe7 +0000f981 +0000cb19 +00006955 +0000578d +00004691 +0000f0be +0000614e +000061ee +0000e05e +00009084 +00006dbc +00005646 +00000539 +00003059 +0000192a +0000332d +0000bdb8 +00000370 +000068e5 +0000b171 +0000fc17 +00008660 +0000e377 +0000a1fe +00000849 +00007b6c +000044be +0000f8fa +0000629f +000028de +000019a8 +000037ed +0000e714 +0000db8c +00001d8d +0000091e +00009b32 +0000cf7c +0000d328 +0000fdf9 +000030a5 +0000c0a4 +00007333 +000082ab +0000e65b +000085ca +0000ea9f +000038d0 +00001254 +0000378a +0000426d +00000b44 +00003e0b +00008576 +00006b2c +00006d16 +00005f81 +0000fb57 +0000060f +0000559b +000058e2 +00001892 +000065aa +0000ab9e +000088d6 +00003ba6 +0000a049 +0000f1b5 +0000d567 +000083d2 +00002ded +000025af +00003b8a +0000ead3 +00002be4 +0000e529 +00008d4c +0000f8cb +00000004 +00000940 +0000a89a +0000943e +0000b583 +00000b60 +000010ad +00009035 +00000d76 +0000a53b +00007410 +0000ba85 +0000dce4 +00003dd6 +0000494f +00004c2a +0000f287 +0000d5bd +00004c3e +0000bdcc +00005e1e +00004457 +000045e3 +00002264 +00009371 +0000b854 +00002901 +000049f8 +000082e3 +00008d42 +00002d42 +00000e82 +000061ad +0000a83f +00003300 +00004cef +00007bbd +0000adc9 +000059af +00002c43 +0000092a +000082b1 +000052eb +000074d9 +0000a152 +0000aa2f +00009c1a +0000d9c8 +00008592 +0000330e +000068be +00003662 +00009da1 +00000608 +0000a75a +0000e1d6 +00009f89 +0000872c +00002afd +00005af5 +00007d43 +00009c7b +0000a36f +0000ae11 +0000d1ef +00004b93 +0000adf7 +00001701 +0000a8ad +00004083 +0000e8c7 +00008142 +0000971b +0000afbd +00009306 +0000a838 +0000c56b +00002529 +0000e378 +0000b992 +00001e1f +0000f256 +0000dfcb +00007bcb +00007f64 +00000dcb +00001e80 +00001acc +00006809 +0000557c +00007d73 +0000dcae +00003a1a +00004658 +00007709 +0000209e +0000cd1d +00005aff +00005ff6 +000029da +0000a9e8 +00006391 +000077a8 +000058d7 +0000ea1d +000048a2 +00005554 +00009485 +00005544 +0000cb49 +0000db9b +0000c5aa +0000f583 +0000f458 +00007cd8 +0000666b +0000fdfc +000004a6 +00003a09 +000047ea +00007cc3 +0000d887 +00006657 +0000d008 +0000f27a +0000d05d +0000d943 +0000114b +0000898c +00007048 +0000d96f +00003edb +00004702 +000008f8 +0000aa07 +00001ea7 +00002f05 +0000dba4 +000044ca +00003ba5 +0000f6f1 +00004b13 +00006ab9 +0000ba36 +00007f11 +0000cbd8 +0000d55a +0000d0a7 +0000edfd +0000659b +0000f2a9 +000005d4 +0000e78d +0000b791 +0000359c +000077e7 +0000f979 +0000920d +0000a552 +00008d3e +0000f78f +00005730 +0000f4d7 +0000916b +0000a18f +000074bd +00000d96 +0000e054 +00008ca6 +00006907 +0000965c +0000617b +0000ea85 +0000e50b +0000a104 +00003559 +0000681e +0000bd9a +000048c5 +000096e3 +0000fdfb +00007daf +0000ab14 +0000132e +00002c3b +00008bdf +00004537 +0000592e +0000bc29 +0000f4ee +00006068 +0000039a +0000c17a +00000d7d +0000d6a2 +0000deec +00003523 +00003ce1 +00001a0b +00004d84 +000023d5 +00006310 +000008fd +00008bec +00000a16 +00006bb5 +0000b8b2 +0000b736 +000097fd +0000c063 +0000008c +00009782 +0000b68a +00006be5 +00002fff +0000509c +0000489f +0000e0b4 +0000f91c +00003ac2 +0000c19f +00007482 +000039ba +00004582 +00004ba2 +0000c2f3 +0000ed43 +000066c2 +0000d752 +0000496b +00003118 +0000b7da +00000f65 +0000ae02 +0000e5a2 +000002db +0000c9b7 +00004394 +000015f6 +0000a1f3 +00003003 +00007cf6 +0000f024 +0000b2e8 +00007a3e +00002143 +0000b81d +0000c0c2 +0000b490 +00003354 +0000bf3e +00002586 +0000aaef +00009e4d +00000a7d +0000861c +0000b45a +0000d954 +0000f12f +0000ea52 +0000b7b0 +0000d45d +00009e73 +000012f9 +0000642a +0000a021 +0000ff3f +0000d02d +0000377b +0000632d +00004705 +000039b3 +00008b83 +00004e17 +0000d501 +0000cb7b +000085aa +000060cb +0000e422 +00008844 +000061df +0000f303 +00000f92 +0000645e +0000332d +000011d5 +000055e4 +00005a8b +0000d3f7 +0000e466 +0000e4d9 +0000acff +00005fd0 +000069bc +000097cf +0000f487 +000030f9 +000001b2 +0000b2c7 +0000bfc6 +0000fb78 +00005304 +00000411 +0000a499 +00003fd1 +0000408a +00003696 +0000c59c +0000ef64 +0000bf82 +0000bec2 +0000edc6 +0000bc62 +00008106 +00006c0c +00008430 +00002f6d +0000809c +00004923 +0000b9f3 +00003ccc +0000a9ec +000014b6 +0000742e +0000b348 +0000e78c +00003ce0 +00009427 +00007ea6 +00000cf8 +0000e72f +00005f89 +000057c0 +00002d9d +00002f50 +0000eacb +00001c42 +00001314 +00006658 +000087b1 +0000a7fe +0000a46c +0000bcb1 +000059ec +0000a9ed +000030a0 +0000609f +000053dd +00008ec3 +0000ae27 +0000bb6e +0000fd78 +00003b2d +000021ab +0000173a +00008743 +0000efaa +00007dd1 +0000975b +0000cb7c +00005003 +00006e37 +00000d6b +0000ff5a +00002868 +00009f7c +0000d4f4 +0000f66c +00002a29 +00003678 +00007fbb +0000fa1e +00007a0e +0000488c +0000bca3 +0000575b +00008a53 +00004715 +00008340 +0000e44c +00005a43 +00006ffa +00002df9 +0000e03d +0000d56f +00007565 +0000ccd9 +0000afa1 +0000ac8b +0000ae8f +00009af2 +0000162c +0000a1e6 +000043b3 +0000206e +0000b11e +0000e385 +0000e724 +00002b33 +000093a0 +0000acdb +0000cd7a +00006832 +00000746 +00000a26 +0000b6e8 +0000074d +000092b5 +00003768 +0000fba9 +000073e3 +0000885e +0000b500 +0000ad99 +0000cbf9 +00007f68 +0000cbe5 +000000e0 +00006006 +000038ac +0000db80 +00004dc9 +0000255b +000091da +00006b23 +00001db1 +0000a52d +000048b9 +00009929 +0000d51a +00009240 +0000708b +000021aa +0000acdf +0000cf32 +00009b8e +0000d6db +0000cc82 +00004f62 +0000da9f +00000501 +000077a1 +0000dc7b +0000d4fa +0000dc10 +00006088 +00006aa1 +00005a1c +000098e4 +000051df +00004536 +0000efc9 +0000c81b +00008184 +0000fe49 +0000fe2a +00009c8d +0000fe74 +0000aa9b +00005c0e +0000f369 +0000cbe2 +00009450 +00001d51 +00003fed +00005b6a +00002e2f +0000a55a +000038c1 +0000385f +0000b196 +00001fbd +0000c0f1 +0000d640 +000070fd +0000a102 +00002685 +0000944a +00008924 +0000517d +000070bf +00002827 +00003adc +0000245c +000017f1 +0000c1c4 +0000d76f +0000bcbf +000020f8 +00005a40 +0000c9ae +00004301 +00003659 +0000c000 +0000e595 +00000c16 +0000f8f6 +000011df +0000c89c +0000150d +0000626c +00007b83 +0000b49d +000074b4 +0000b30c +000024cb +0000ef5c +0000055a +00000575 +00006664 +000050b8 +0000a8af +000041cb +0000757b +0000496d +0000a7c8 +0000ca96 +0000d88c +00004c84 +0000d13f +0000dd39 +00001759 +00002558 +00001778 +0000400c +000037f7 +00006445 +000090fe +00007819 +0000bb02 +0000abee +0000dd0b +00006070 +0000e8f1 +0000492b +00000e26 +00009d27 +00006284 +00001f8e +00005ce7 +000003f2 +0000065a +00009490 +000004d6 +0000b45b +00005d9f +0000c35d +0000cd72 +0000399e +0000e1e2 +0000e339 +0000e14d +0000b023 +00008e04 +00006a8d +0000b554 +00008898 +00004c4c +00001892 +0000e22d +000022aa +0000f398 +0000af99 +0000f1b9 +00001961 +0000a5af +0000c9f4 +000042b9 +0000bb16 +000080b1 +0000d385 +0000448c +0000c711 +0000b5af +0000d1e1 +00006d16 +00002ac3 +00003657 +0000451f +00006abd +0000189c +00004bc8 +00000b43 +0000348d +0000728a +00008884 +0000d042 +0000ba7b +00002210 +0000a783 +000034b2 +000017c7 +000097fe +00000a64 +00007510 +0000497a +00004dd3 +0000a8bf +0000fbad +00008b0f +0000c2ab +00006295 +0000e735 +00009b2e +00000de6 +0000c7e9 +00002fdd +00004a93 +0000c158 +00008768 +0000b529 +0000d70e +00007526 +0000d643 +00001e57 +0000b4a3 +0000f743 +0000431e +0000176b +000086d1 +0000b887 +00008620 +000014d8 +00002bf0 +0000b169 +0000002b +000067c8 +0000dcb3 +00009859 +0000bb25 +00000d08 +000081ce +0000e3bd +0000dd75 +00004093 +000081b1 +0000b185 +0000a28a +00007fba +00006871 +00004e6d +00000a95 +000043ec +0000ebc8 +0000b6cf +00009357 +00006028 +0000ed33 +00000b22 +00006c14 +00008afc +00003c39 +00009213 +0000b6a7 +00003f38 +0000facc +0000823e +000087af +0000bd34 +0000a5c8 +00006d89 +000077e7 +000096bb +0000e398 +0000c81d +0000b894 +0000cf93 +00005af8 +0000a502 +0000bd17 +00003caa +0000f3d5 +00006256 +0000aba2 +00006bdf +00000450 +00009f2a +0000e707 +0000fe69 +00000be1 +000074f9 +000023ac +0000ffe8 +0000be98 +00008ec6 +0000999b +00006406 +0000427f +000057d1 +000005b6 +000074ca +0000a11c +00001bf5 +00004c08 +00009b79 +00008f13 +000093a1 +0000ad36 +00008e29 +0000cbe7 +0000f571 +0000a114 +00008be3 +00007be1 +00004768 +00008853 +0000f174 +0000fe09 +000049cc +00008759 +000010d0 +0000e051 +0000f59f +0000fc29 +0000d524 +0000b3d2 +000028b5 +00002181 +00005d77 +0000a32d +0000b977 +00009103 +000057fc +0000cd14 +0000cc32 +0000768b +000087f6 +000084e1 +0000e41c +0000669f +00008048 +0000bb63 +0000c9e5 +0000ea04 +00003c98 +0000f1ba +000001f6 +0000f06d +0000e31f +00003858 +00002c4a +00007c4f +00009911 +0000dc27 +000047e5 +0000f9d0 +0000f3a3 +000083c9 +000073f4 +0000d2dd +00002fbd +00009efb +00006883 +0000f25a +0000fa3e +00002a13 +000080d5 +00000875 +000032e6 +0000e7a8 +0000d163 +00008214 +0000b3e5 +00005250 +00006374 +0000456c +0000c7fd +0000a884 +00005055 +000065b3 +00009956 +000094a0 +00001636 +00001fed +000082de +00001307 +000020a1 +000092e3 +0000ce5a +00005864 +00001e97 +0000c433 +0000090e +00009a0b +00006049 +0000a480 +0000b905 +0000ba7d +00001670 +0000e4c9 +0000dcfe +0000fc0a +0000ed49 +00008ed8 +000040f3 +0000fb91 +00001d31 +000072d1 +00005147 +00005563 +0000aad8 +00009bea +0000b68b +00007646 +00003125 +00001e39 +0000a3ed +00003a95 +00002ea6 +0000afa8 +0000623d +00001d85 +000070b2 +00009e06 +0000419d +0000da82 +0000d61e +0000d438 +0000bbc5 +000082b8 +00004398 +0000c08d +000030f0 +000028ad +000043a3 +00000e2f +00004967 +0000640b +00006c2f +000043b4 +0000a5a8 +00000f7c +000043d4 +000078d4 +00002733 +0000c2ae +000000ab +00007536 +0000bbf0 +0000aa76 +00001ac9 +0000aa63 +00003640 +00008110 +00004c59 +00009adc +00005b9e +00008884 +00003955 +00006046 +0000f3f2 +00008925 +000098e1 +000022c3 +00004372 +0000f634 +00005a44 +0000735d +0000f935 +00007aa1 +0000df83 +00009b9c +00003c56 +00004ff1 +0000079c +00001437 +000021c1 +0000e13b +0000625c +000074e7 +0000749d +00005c4c +000087dd +00004f56 +00005b56 +0000f2ea +00002da0 +00008737 +00000345 +0000ae36 +00003343 +0000d96f +00001cff +0000d62a +000080df +00006470 +00009337 +00000f42 +00003100 +000023a7 +00008651 +00007038 +00002042 +0000700b +00003684 +0000f1e7 +00009c84 +0000b7d6 +000026aa +0000bb51 +00008dcc +0000bf51 +000061a7 +0000ebbc +000012b7 +0000dcc7 +00006c74 +0000a4f7 +00002a9b +0000c19b +00000ec7 +000037ba +0000a73b +00008276 +0000bc61 +0000742b +00004025 +0000b098 +000008f3 +0000407d +000041ac +00007650 +000030ae +0000b7b3 +0000f17e +0000c887 +00005f66 +00003283 +000062e1 +0000df76 +00000061 +0000bc55 +0000148e +00004878 +000000c2 +0000966a +0000522a +000002f9 +00008e8d +00008b1a +0000f35d +00003a8e +00007e56 +0000f336 +0000b291 +0000501f +0000218b +00006f8c +0000f549 +0000043f +0000f75a +00007888 +0000801b +0000b49c +00004240 +000005f7 +0000304c +0000be92 +00002c30 +0000b0e7 +00007506 +000038da +0000c35c +0000d1ab +0000e238 +0000565f +0000b9a2 +00003bfb +0000d70a +0000e431 +00007096 +00005b37 +0000d1fc +00007492 +00007c28 +000090cc +0000ac9a +0000e92e +000065f2 +0000d6af +000098de +00002e68 +00001b21 +0000c807 +00005a22 +000019d0 +00000efd +000051e7 +0000d3c0 +00007db0 +0000bc16 +00006e39 +0000a748 +0000a3c6 +0000ce0d +00006ab6 +00003c5d +0000810b +0000ddfc +00005e11 +00002c2c +000014b2 +00004b83 +00008d34 +0000aa8a +0000842c +00005c70 +0000a2a1 +000026a9 +0000a668 +00006d0a +0000b9fb +0000fd77 +0000d019 +00009afa +0000619e +0000b794 +0000d32f +0000f4d7 +0000d468 +0000eced +00004367 +0000d84c +0000cd9b +000087fa +000031fe +0000c8e8 +000078db +0000c290 +0000b281 +0000a982 +00002051 +0000d663 +00009ac0 +0000e247 +00005af2 +0000fb1c +00000fe0 +00009d62 +00009cda +0000ec13 +00009290 +0000e446 +00002bd2 +0000cfaa +00005c54 +0000079b +000099f1 +0000fa45 +0000fffd +00005bc3 +0000085e +0000a6e9 +00005f39 +0000f407 +00009433 +00005f70 +00002746 +0000a663 +00006f7d +00006e66 +000026c2 +000041ea +00004860 +00005484 +0000ee9f +000081d7 +0000c057 +0000dbc7 +00005032 +0000e732 +0000d59a +0000022b +00006a6a +0000431c +00004098 +00009609 +0000181d +00005bb7 +0000e7a3 +0000fe0a +0000b17e +0000b5b4 +0000aaac +000046c7 +000032ab +00002c7a +0000e923 +00002fff +0000f963 +0000a2f0 +00004400 +00009374 +00006bd5 +0000b6e7 +00003de0 +00001f62 +0000fa93 +00000127 +00007b47 +0000fe9d +00000fa6 +00000c14 +00008504 +0000a846 +00009cbb +0000cafd +00000eb2 +0000b129 +00001a7a +0000fc02 +0000e35a +000018b6 +0000eaf7 +00007e9f +0000ba36 +00002b9a +00003141 +000048d9 +00005591 +0000b5fa +00005018 +00004503 +00006dca +0000df4c +000061bd +0000022d +00000a77 +0000a9d1 +00001ae1 +0000c12a +00000ba5 +0000afb3 +0000aabf +0000083d +0000c53e +000057b7 +00004a45 +00008760 +0000ae84 +00005b9e +00004c6d +0000b730 +000047b9 +00001a1e +0000a1ab +00003c78 +000077e1 +0000ce78 +0000e07f +0000ba58 +0000c49f +000067b6 +0000052a +00005d11 +0000e63c +00005983 +00003a7d +00000770 +000059c7 +000060a6 +00001dcc +0000acd5 +0000b356 +0000d51d +00001002 +000001e0 +0000926a +0000c3d2 +000045ce +00004db7 +00003a71 +0000a9fc +000068fc +0000c5f5 +0000f164 +00004c15 +0000bcde +00007f32 +00006691 +0000c273 +0000d7e8 +00009c2b +00008373 +00007586 +0000245c +0000058e +000028bd +000063ad +00007a1a +00009465 +00007976 +0000aab5 +00004399 +0000391c +0000763d +0000eeee +0000bff3 +00004930 +0000caae +0000d9ec +0000141a +0000bd76 +0000cedd +00008ad7 +0000fd28 +0000cb06 +0000deff +0000726f +000015d5 +0000e0c2 +00004b29 +0000b4a1 +0000c102 +0000b386 +00004130 +0000c9be +0000eb72 +0000a2ee +000032af +0000b95e +0000f698 +000060be +00005926 +0000e8d0 +00003e8a +000064f2 +00001a3f +0000173c +0000cd15 +00005863 +00002e2d +0000c158 +0000ebeb +00004013 +00008a2d +0000c6c3 +000067f8 +0000d315 +0000312e +0000cb01 +000077d3 +0000051c +00002c8d +00006c14 +0000574f +00006f9e +00000ef9 +000008b3 +0000ca30 +0000e3a4 +00008376 +0000702a +0000d7fa +00000e31 +00008a7d +000075cd +000032e5 +0000b94c +00002740 +0000021a +0000dbee +0000d3ac +00005f0a +0000db6a +0000b607 +00000900 +00008cc5 +00000054 +0000da6f +000054d8 +00002a96 +00000d9f +0000a01c +00009a33 +000053a3 +0000c9e1 +00006854 +0000e9a6 +00005ff4 +000090b8 +0000625a +0000c025 +00001c77 +0000f7a4 +00009846 +00002871 +0000411d +0000a680 +0000b6ee +0000491e +0000e723 +0000fd9b +00002300 +00008940 +0000e16b +0000c377 +00000d32 +000015b2 +0000f908 +0000b41d +00005790 +00003102 +00002fd9 +00006c1b +00003df4 +000057fc +00004b4c +0000c91c +0000d394 +0000e4d1 +00000944 +00007a22 +000087b5 +00007ae4 +00008115 +00001962 +0000037e +00002532 +000052ac +0000c9bc +0000d2e7 +0000b15b +000065cc +0000f1d5 +00006ad0 +00007151 +00001b73 +00004ed3 +00003e2e +00002d9c +0000f35b +000038b4 +0000b460 +0000b247 +0000c79c +0000924b +0000f2a0 +0000006b +0000e06e +00007ed9 +00008a94 +0000ce55 +0000420d +00009744 +00004004 +0000a057 +0000b6b5 +0000f8f6 +000037a7 +00006428 +000036cd +00002855 +00000116 +000018f8 +00007120 +000060da +00000de2 +0000ae15 +00005546 +00008cd0 +000047c1 +0000e233 +0000a221 +0000beab +000097e3 +00008d5b +00009a83 +0000a603 +00005163 +00005f73 +000021ed +00001a2b +000090d9 +0000bd66 +0000a372 +00007472 +0000c30c +000006ef +000044bb +000013f6 +0000a4c4 +00002f07 +00000723 +00000520 +00002dbe +000030e9 +00009902 +000066bf +0000f8b9 +00008bbe +0000b908 +0000c6c4 +0000daae +00008eeb +00002dbf +00005098 +00007b1c +0000e0f3 +00007332 +00004716 +0000df81 +00004b09 +000060ac +0000e428 +0000fbe5 +00007336 +00002899 +00005801 +00007a8f +0000cf23 +0000348a +000041f4 +00000cdb +0000b984 +00007f54 +00009cf0 +0000b724 +00003421 +00002f57 +0000b999 +0000a9db +00008082 +000052dc +00008003 +000059b9 +00005937 +0000c098 +0000d30d +0000a911 +000035c1 +00003e4e +00008f58 +0000143e +0000a321 +00002f90 +00003fe3 +0000f33c +00005afa +00002ea5 +00004244 +00000253 +00006365 +00001186 +0000b485 +000083ee +00002151 +0000fd03 +00002699 +00006d8a +0000e795 +00004803 +0000a97a +000031ca +00002c94 +000010e8 +000075e0 +0000d9a7 +0000308c +00008711 +0000049f +00003ec4 +00007e7b +00000a79 +0000129e +000058df +000051ae +0000637c +0000aa6f +0000b064 +000089e7 +000074ba +0000ed90 +0000281c +0000782a +0000e914 +0000f73d +00007002 +00006e24 +00007fd4 +0000d2f0 +00009336 +00009a39 +0000b8e9 +00002077 +0000330b +00005a29 +0000c34f +00009faf +0000213b +0000ce5d +0000af8d +00007de2 +00002b3a +000017d4 +00000858 +0000debb +000008a7 +00004aa5 +00001355 +0000bae2 +00007edf +0000bf30 +00001bfc +0000ce38 +0000ebac +00000be5 +00004a98 +0000efb0 +0000891b +000091b0 +0000a96b +0000ccc8 +0000dc6b +00002500 +000019ee +0000a2a3 +0000e221 +0000fd73 +00001c35 +00002ec5 +0000a939 +0000b620 +00006a71 +0000b16a +00002f77 +0000c880 +00008a90 +00007b83 +000070db +00008bf9 +000097ff +0000224e +0000bc32 +00006409 +0000a78f +00001291 +00007ec9 +0000670b +00004775 +0000dd72 +0000d93d +000067a9 +0000bd6b +000038f6 +00006f6e +0000eadf +0000c4f5 +00004e14 +00000592 +000034a7 +0000afbe +00008e75 +00009c65 +00003547 +0000ea21 +0000ebcb +0000de7e +0000c133 +000005c3 +0000eacb +0000d0bd +00001da0 +00008c5d +0000abc8 +0000d7e1 +00008ba6 +0000fc97 +0000a2ee +00004536 +00001c10 +00007310 +00005a17 +0000bc6b +0000b5c4 +0000a17a +0000364d +0000e482 +0000d8c9 +0000d5e3 +0000dadd +0000f5e0 +000047fa +0000f40e +00006414 +0000d784 +00006346 +000062ff +00005399 +00009d54 +00009ca1 +00001096 +0000a866 +00005993 +0000118b +0000cd53 +00003c65 +00005370 +0000f33b +00006238 +000021a3 +0000d4b7 +00000768 +0000e2cc +00005933 +0000e0aa +00002bb3 +0000dd3b +0000dda2 +000045eb +000065b4 +00002a9b +00009b12 +00009d90 +0000a99f +00004149 +00008ef5 +0000e959 +00002193 +00000b72 +0000e968 +0000a2fd +00005f7f +000063ae +0000aa29 +00002ea0 +000083b1 +000079c6 +0000d337 +0000c262 +000001fc +00008196 +0000eb05 +0000f511 +00004f86 +00002e0e +0000285a +000045fe +0000632c +00009a52 +000041c8 +00000fed +00009292 +00006301 +00007ac6 +00007926 +0000f5ca +0000d193 +0000286c +000012a8 +00001b9f +00001bdc +000087cb +0000f87d +00007880 +0000d9ad +00005fe7 +00006529 +00009a04 +0000f29a +00008953 +0000ca3c +0000c91e +000065d5 +00001567 +0000a007 +000064de +00006e39 +00006f1f +00003e6b +0000dfe1 +0000a463 +00006f93 +000030cd +0000ea57 +0000e0fb +0000000f +00009725 +0000f2af +0000c111 +000083ce +00004636 +0000c6e1 +0000cea1 +0000e358 +000078d8 +0000c057 +00006f39 +0000b375 +00000471 +00008479 +0000dab2 +000091cc +00002282 +000003d4 +00007c19 +0000711d +0000015d +000001e6 +00003eb2 +00002f18 +00006df5 +00000f88 +00006912 +00006dd8 +000008ce +000081f8 +0000c86b +00004aff +00009813 +00009082 +00000de5 +00002e6e +000028c6 +000062cb +00006027 +00008aa1 +0000d111 +000079ba +000042f4 +000008a6 +000005bc +00007d04 +000016f2 +000039b8 +000094b8 +00001752 +00009387 +0000887c +000076d8 +00002b10 +0000bcdc +0000c3d7 +0000ca9f +000049d4 +0000f803 +00003d6a +00006a34 +0000f869 +0000ff35 +000015ab +0000ae72 +0000e978 +00009834 +0000efa1 +0000111c +0000f8d2 +00000ecf +0000e63c +0000802e +0000515c +00009584 +00003d4e +0000544e +0000c798 +0000ce3f +0000e82a +0000fc61 +000012cc +0000dd41 +00009fd8 +0000903a +00002ed1 +0000881c +0000e2f1 +00009c6e +0000d079 +000002da +0000d91d +00009943 +0000769e +00002d3e +0000b427 +00009ec7 +000041c3 +00002222 +0000c8ba +000007fc +00005c6a +00001aff +000038bb +0000d731 +00005df2 +0000c98b +0000a942 +0000cd6c +0000b229 +0000697d +00001e35 +00004703 +0000af76 +0000ec6c +0000b583 +00000f4a +0000a59c +0000c1d5 +00009bfb +00007d66 +0000cf2c +0000dd3c +000035c6 +00004c40 +0000af8a +0000bc69 +00001487 +0000bf95 +00000799 +00004fd3 +0000f50e +0000c82b +00002b06 +0000c71b +00009eb3 +0000f91e +0000202e +000063af +00001e55 +00004059 +000094ad +0000938f +000076ef +00007420 +00005084 +0000b62c +000081d3 +0000e7c9 +000071e6 +00000d6c +00005887 +0000598b +00005b9f +00007ccb +00004239 +0000ab6e +0000e022 +0000d89b +0000bedf +0000f962 +0000d32d +0000a76c +0000e9ef +0000be6c +0000cc1b +00005786 +00000eb8 +0000dafb +000082c6 +00000a93 +0000e85c +00006e59 +0000d116 +0000395a +0000a76a +0000953d +0000032b +000091a6 +0000771c +00003f7d +0000c023 +00009045 +0000dc2a +00006ee0 +00007588 +0000792c +0000e748 +00005111 +0000cf59 +00006fb8 +0000d543 +0000c6b0 +000066b3 +0000910f +000056b4 +00000983 +0000711c +000012a5 +0000595e +000043ca +0000da6c +000086da +0000cd2a +00000ab0 +0000d359 +000089c1 +00007ebc +0000cddd +00007e9d +000037fc +0000c1b1 +00006e28 +000006c6 +0000f1c3 +00004478 +00004d6a +0000069a +00000c03 +000007ca +0000496e +0000ce24 +000031a3 +000013c7 +00003404 +0000ae5f +000056e1 +000024ae +00007a35 +0000157e +000040db +00002939 +0000cc90 +0000e5d6 +0000c32a +00000c93 +0000baa3 +00001169 +0000f5a9 +0000e5f7 +00005386 +00002410 +0000c453 +000047e6 +0000fd91 +00000644 +00005b4a +00000904 +0000d72d +0000e289 +000010f4 +00008090 +000012c8 +0000b777 +00009040 +0000d07e +0000dfe2 +0000c066 +00002507 +00007733 +00009345 +00007eb5 +00002c8d +000002dd +0000e051 +0000cdb7 +0000cc26 +0000606c +00001575 +00000b3b +00002ff5 +0000e030 +00006dba +0000feb7 +0000b3d0 +0000aa10 +0000a979 +0000c911 +0000783f +0000fb64 +0000402d +0000c709 +000005a4 +00002870 +00009d63 +0000f045 +0000bf74 +0000fb7e +0000face +0000dcfa +000009a3 +0000ab97 +00008977 +00003c35 +0000221e +000044dd +0000bc11 +000024c4 +0000d072 +0000fc88 +0000a46a +00004ac3 +00002d8a +00008a7b +000075fe +0000c3c6 +0000e595 +00004282 +00005fa6 +0000a3a0 +00007606 +0000472b +00000e6d +00005bce +000009b5 +0000d03e +00004b83 +00006783 +0000b523 +00001eda +0000474b +00006e51 +0000f4da +0000592d +0000438f +0000a771 +00006ff3 +000027cf +00006ed3 +0000e7af +000020b2 +00008ebc +0000e2c7 +000063f5 +0000254b +00001bec +0000d7d6 +0000d272 +00009ac8 +00002591 +00003fdb +00003466 +00000402 +000071ec +000019f3 +0000328d +0000decc +000042cc +0000f16d +00009625 +00002930 +00005ea5 +000019de +0000089e +0000b8d1 +00005149 +00005a52 +0000e3de +0000666f +0000be45 +0000d99d +00007d2d +00002f89 +00003edb +00003dcf +0000f4c4 +0000901d +0000e597 +000010c5 +000033ed +0000768b +00002190 +00009bdc +000063d6 +00005398 +00005b3d +00008cc1 +0000c6f8 +0000e88e +000044f1 +0000d564 +00007f1f +00009580 +000078f4 +0000590b +00006a15 +000009aa +00009f40 +00001883 +0000deef +000057f7 +0000f4d5 +0000af71 +0000b5f3 +0000919d +0000aeb9 +000014c6 +0000a524 +000028e4 +00005290 +0000b050 +00009b6d +00007e04 +0000bed3 +0000f76e +00006466 +0000182b +00003cb0 +0000e2dc +00007ec5 +00002c9d +0000957e +0000afac +0000af64 +000035f6 +0000c5db +00007d56 +00007aea +00007d8b +00008865 +00007ae6 +00005019 +000098ef +00009211 +00007553 +0000ccb4 +0000fd91 +0000fa2b +0000b8f0 +0000270b +0000ec82 +0000e7ea +000057fc +00006624 +00001855 +00003faf +00000854 +0000b288 +00008720 +0000a9da +0000ea48 +0000a9a7 +0000529e +0000ed49 +0000aa8a +00003de8 +00000876 +0000376f +00009142 +00004d51 +00008c97 +00008c0c +0000303f +0000b8d0 +00007fba +0000368e +00008249 +00006624 +00004c09 +0000b704 +00006d92 +0000366b +000019de +000044bb +0000bb4a +00009b48 +00000ea4 +0000a077 +0000b652 +000014b0 +000057da +0000904f +0000c308 +00008152 +0000a436 +0000ff26 +00006241 +0000cba1 +0000cce6 +000059c2 +00003155 +00001d7f +000090f1 +0000638a +00009953 +00006487 +000064bc +00005ce0 +0000ff55 +000090f7 +000077a9 +0000f519 +000067ef +00009939 +000031da +00002215 +00009fc1 +0000ec0c +00008c13 +00009780 +00001b2c +00009b53 +0000c3be +000037a4 +0000df21 +0000297a +00000d07 +000077e8 +0000e515 +00008381 +00000527 +000052e8 +00008c11 +000051a7 +0000c6bf +00000232 +0000c8e5 +000068b3 +0000a25a +0000599b +0000e77c +0000c5e1 +00009d15 +00003e3d +0000cf4b +00001f68 +0000f75b +0000530e +0000dce4 +0000b3a4 +00001dc8 +0000951d +000082a7 +0000a0e5 +0000862f +0000416b +00000297 +0000afd4 +00001ab7 +0000fe63 +0000b34a +00001a7c +0000f520 +0000e2f7 +000061fa +000079fc +00004c23 +00009559 +000083ba +0000a4c8 +000094f8 +00005b53 +000007c2 +0000e797 +0000faf1 +00009644 +0000bceb +0000a2ed +00006f44 +0000c4b8 +00005c36 +0000f745 +0000b2e6 +0000c3a2 +00006a86 +0000c9da +0000dc92 +0000a938 +0000436e +00000c12 +0000fce8 +0000336b +0000bf25 +0000cf88 +0000a2b3 +00006402 +00000596 +000050b4 +00002143 +00008452 +00004094 +0000c239 +00009af9 +00006a9c +0000ff2b +000042cc +0000f1e1 +00007b03 +00003c12 +000007bc +0000e079 +00007e28 +0000193c +00006621 +00009c90 +00000764 +0000828d +000004a5 +0000864a +0000c4a1 +000009aa +00001fea +00008540 +0000b1d6 +00006ce9 +00006b97 +0000d5c4 +0000ca1d +00005b68 +0000ba02 +00002842 +00007072 +00002839 +0000347b +000014c2 +00006a0a +00003ebb +00008e33 +00000489 +0000e44d +00004a04 +00003bc8 +0000d3df +0000a072 +0000217a +0000596e +00008dec +0000feb6 +0000ac81 +000089bb +0000c4bc +00004339 +00000f4e +0000b70f +00002762 +00000e75 +000092da +0000419d +00001838 +0000a35b +0000d9f3 +0000c41b +00002544 +0000350c +0000156c +00003902 +000064b6 +00001631 +00000975 +0000ea82 +0000d78d +000043f7 +0000d605 +00003706 +0000145a +000066aa +00009473 +0000d45f +00009c1d +000001ed +0000fc25 +0000db8f +00005b4b +00002e93 +0000605f +00008735 +0000f8f1 +0000d81e +0000793d +00002e90 +0000b5f2 +000017d2 +000089bc +0000a731 +0000be6c +0000e774 +000094f2 +0000d742 +0000ef39 +000005a4 +0000745e +0000e26c +00003192 +000085d4 +0000c15a +0000fb6a +0000aecd +000025e4 +0000a3ad +00008428 +00003e47 +0000235c +0000b73d +0000c697 +00008f5c +0000ea45 +00005ae1 +00003010 +0000b193 +000097a4 +0000da55 +00009962 +0000bcd7 +0000e994 +00000546 +0000c57a +00007be0 +0000d6ff +0000e58e +0000e0ae +00001ab1 +0000a8f9 +0000667a +00006aa6 +0000fb3a +00000fb9 +0000df46 +000094e7 +0000f21d +00004d34 +000092aa +0000bffd +00004d8d +00002f57 +0000558d +00006145 +00002853 +000033e1 +0000aed8 +00003b54 +0000aaeb +0000cfdc +0000e266 +00007307 +0000fccb +000074b6 +00007917 +0000ab28 +0000c7c3 +00008d00 +0000f804 +0000f0ba +00004f7f +00004ac2 +000098cc +0000e1e2 +00003f2a +0000c178 +0000f50d +00001972 +00006f2d +000065ae +0000b6ef +00008912 +00000515 +0000ac33 +000080da +00000c0d +00006f93 +000022fd +00005e47 +00001cb6 +0000e09c +0000fd99 +00002fb4 +00003675 +000007b1 +0000af4c +0000a3b1 +00000e71 +0000ee39 +0000cccc +00006f18 +00006cdb +0000f80a +00000f94 +0000965a +000010d5 +00002a06 +0000f4e8 +0000b9f3 +0000a8fe +0000f8e2 +00001265 +000077ff +00008a9c +00000202 +0000da44 +000014e7 +00007164 +00003080 +0000292b +0000bd34 +000031e8 +0000f04c +000097af +0000d284 +0000e89e +0000316d +0000296b +0000d599 +0000cf88 +00001d66 +000093b6 +00009f8f +00008485 +000080b3 +0000f603 +0000bc26 +00002a34 +00000a73 +00007dda +0000d73d +00006d81 +0000a41f +0000106f +00004f60 +000019cd +00004378 +0000b3dc +00001f78 +000085be +0000b87f +00001200 +000071a1 +0000c2a0 +0000199e +00000ef3 +00004d19 +00001876 +00008fe4 +00000d25 +0000f153 +0000f8a2 +0000656e +00001912 +0000902b +0000312c +000057e1 +0000d6a3 +00003935 +0000cab2 +00005113 +0000aa25 +0000e82e +00009aed +0000c7c9 +000036de +0000c894 +000035db +00002a9b +0000ed51 +0000e051 +0000878e +0000d6f4 +00000310 +00007393 +00000091 +00003e36 +0000ce39 +000093b7 +0000def2 +0000fafa +00001e8e +00006b63 +0000bdf1 +0000b875 +0000ce35 +0000f9b3 +00003643 +0000edf6 +00006408 +00000e8a +0000f903 +0000908b +0000419a +00007a0b +00006f36 +0000fcc4 +000076c8 +00008120 +000087f9 +00007a8f +0000f4f7 +0000c937 +0000ff4a +0000dd42 +00007bef +00000d0d +0000076f +0000e1b8 +00003a53 +00000ca2 +0000df06 +00000c92 +0000bbbc +00004a4c +00007daa +0000ea9f +00005e72 +000046e8 +0000213f +0000b556 +00002ac6 +00000f2b +000025db +00007d80 +0000860f +00000c16 +00003251 +00001df2 +0000db3f +00002888 +0000635b +00005878 +0000f321 +00007ded +0000bf63 +00009ece +00005631 +0000da15 +0000dcee +000029c8 +0000b217 +0000901f +000045a6 +00002091 +0000dcc2 +00002694 +0000c002 +0000b210 +0000af1e +00009490 +00004994 +00002266 +00009171 +0000e01a +00002bef +0000ee94 +00003357 +00000f95 +00003632 +0000483a +00008958 +00008050 +0000c536 +00004b7a +0000ca23 +0000d438 +0000e450 +000070fb +0000c56a +0000c8ad +000070c3 +0000de09 +00008e59 +00006871 +0000c9c5 +000054da +000013b3 +00002abd +0000372c +0000a6ec +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/src/hexfiles/queens.c b/src/hexfiles/queens.c new file mode 100644 index 0000000..73361aa --- /dev/null +++ b/src/hexfiles/queens.c @@ -0,0 +1,151 @@ +/*EightQueens Program using two Stacks , Stacks are implemented using arrays */ +#include "cse148.h" + +#define print_port 0x3ff0 +#define print_char_port 0x3ff1 +#define print_int_port 0x3ff2 +#define print_long_port 0x3ff4 + +#define uart_port 0x03ffc //for 16KRAM +#define uart_wport uart_port +#define uart_rport uart_port +#define int_set_address 0x03ff8 //for 16KRAM + +void print_uart(unsigned char* ptr)// +{ + unsigned int uport; + #define WRITE_BUSY 0x0100 + + + while (*ptr) { + + do { + uport=*(volatile unsigned*) uart_port; + } while (uport & WRITE_BUSY); + *(volatile unsigned char*)uart_wport=*(ptr++); + } +} + +void putc_uart(unsigned char c)// +{ + unsigned int uport; + + + do { + uport=*(volatile unsigned*) uart_port; + } while (uport & WRITE_BUSY); + *(volatile unsigned char*)uart_wport=c; + +} + + +void print(unsigned char* ptr)//Verilog Test Bench Use +{ + while (*ptr) {*(volatile unsigned char*)print_port=*(ptr++);} + + *(volatile unsigned char*)print_port=0x00;//Write Done +} + +void print_char(unsigned char val)//Little Endian write out 16bit number +{ + *(volatile unsigned char*)print_port=(unsigned char)val ; + +} + +void print_num(unsigned long num) +{ + unsigned long digit,offset; + for(offset=1000;offset;offset/=10) { + digit=num/offset; + putc_uart(digit+'0'); + num-=digit*offset; + } +} + + +// ********************************************* + +#define NUMQUEENS 9 + +typedef struct +{ + int x,y; +} position; + +void SolveProblem(int n); +int N=0; +int begin (void) +{ + N = NUMQUEENS; + SolveProblem(N); + + return 0; +} + +position head1[NUMQUEENS*NUMQUEENS]; +int stack2[NUMQUEENS]; + +void SolveProblem(int n) +{ + int counter1,counter2=-1,counter3=-1, i,j; + int counter=0; + int d[100][3]; + position Position1,Position2,Position3; + for (i=0;i<100;i++) + for (j=0;j<3;j++) + d[i][j] = 0; + + for(counter1=n-1;counter1>=0;counter1--) + { + Position1.x=0; + Position1.y=counter1; + head1[++counter2]=Position1; + } + + while(counter2>=0) + { + Position1=head1[counter2--]; + while(counter3>=0 && Position1.x<=counter3) + { + Position2.x=counter3; + Position2.y=stack2[counter3--]; + d[Position2.y][0]=0; + d[Position2.x+Position2.y][1]=0; + d[Position2.x-Position2.y+n][2]=0; + } + + stack2[++counter3]=Position1.y; + d[Position1.y][0]=1; + d[Position1.x+Position1.y][1]=1; + d[Position1.x-Position1.y+n][2]=1; + + if(counter3==n-1) + { + counter++; + PASS(counter); +// printf("\nSOLUTION # %d:",counter); + for(counter1=0;counter1<=counter3;counter1++) +// printf("(%d,%d) " ,counter1+1, stack2[counter1]+1); + Position2.x=counter3; + Position2.y=stack2[counter3--]; + d[Position2.y][0]=0; + d[Position2.x+Position2.y][1]=0; + d[Position2.x-Position2.y+n][2]=0; + } + else + { + for(counter1=n-1;counter1>=0;counter1--) + if(d[counter1][0]==0 && d[Position1.x+1+counter1][1]==0 && d[n+Position1.x+1-counter1][2]==0) + { + Position3.x=Position1.x+1; + Position3.y=counter1; + head1[++counter2]=Position3; + } + } + } + +// printf("\n\nFinished! Found %d solutions.\n", counter); +// print_uart("\n Done.\n"); + DONE(counter); + +} diff --git a/src/hexfiles/quickSort.c b/src/hexfiles/quickSort.c new file mode 100644 index 0000000..8fecd09 --- /dev/null +++ b/src/hexfiles/quickSort.c @@ -0,0 +1,2058 @@ +//  quickSort +// +//  This public-domain C implementation by Darel Rex Finley. +// +//  * Returns YES if sort was successful, or NO if the nested +//    pivots went too deep, in which case your array will have +//    been re-ordered, but probably not sorted correctly. +// +//  * This function assumes it is called with valid parameters. +// +//  * Example calls: +//    quickSort(&myArray[0],5); // sorts elements 0, 1, 2, 3, and 4 +//    quickSort(&myArray[3],5); // sorts elements 3, 4, 5, 6, and 7 + +#include "cse148.h" + + +int array[20000] = { + 395, 18966, 10791, 14818, 18774, 47284, 26825, 8781, 36252, 57306, + 51833, 50417, 64041, 11625, 6493, 43264, 47998, 52447, 21278, 21297, + 9244, 3626, 7244, 42465, 9983, 10142, 49263, 57683, 49082, 58626, + 59563, 9752, 33328, 62917, 54030, 31626, 11244, 44110, 29818, 31858, + 45342, 8305, 36650, 60361, 57139, 50941, 26594, 37788, 20239, 45170, + 25527, 61448, 234, 49360, 30840, 18533, 39876, 21130, 63928, 63616, + 60038, 54938, 60537, 52224, 53431, 9134, 43895, 28137, 37079, 18048, + 17934, 3647, 56924, 50499, 41928, 32706, 54598, 26681, 5767, 12248, + 37217, 47457, 42316, 31369, 48919, 36174, 63905, 64676, 8175, 1811, + 31145, 42494, 40102, 43355, 47298, 28122, 7912, 9877, 2260, 43876, + 3243, 49740, 38457, 13400, 57129, 13716, 6217, 33728, 61304, 10400, + 49280, 19162, 12999, 29553, 45646, 60951, 16439, 30633, 60796, 36928, + 39507, 1406, 13622, 28084, 54830, 24009, 7609, 27084, 55832, 830, + 2714, 53343, 3580, 11447, 34736, 28001, 63321, 51881, 1695, 15526, + 23259, 12727, 43778, 5061, 40341, 40037, 28468, 51223, 49118, 54757, + 35771, 29407, 60357, 32781, 43858, 38275, 12594, 34410, 5522, 65529, + 43418, 28782, 39484, 31549, 56670, 25796, 42789, 23038, 58561, 53188, + 37566, 12633, 24196, 48898, 50495, 7100, 63008, 9217, 2672, 2029, + 54176, 20485, 62996, 46627, 61208, 6918, 58610, 9554, 57797, 18369, + 50305, 21124, 28355, 55016, 27439, 19555, 40293, 7033, 60238, 48339, + 57140, 33324, 60060, 10940, 23431, 7685, 43117, 21989, 6844, 11274, + 64631, 12028, 27408, 57359, 14394, 3506, 31008, 60589, 29962, 59139, + 46589, 22609, 30906, 26003, 17638, 20848, 64231, 58932, 19108, 54232, + 46302, 31364, 39047, 4386, 17963, 13235, 52472, 44612, 38847, 5987, + 41915, 2115, 1981, 16552, 53188, 53765, 18401, 53039, 22706, 32068, + 30861, 26234, 5612, 159, 43634, 8009, 33111, 44234, 37079, 60693, + 48049, 58086, 52873, 39349, 15501, 40428, 59231, 39204, 18411, 57666, + 32873, 5978, 58227, 15984, 14517, 49750, 42318, 50156, 1213, 62013, + 22178, 50961, 38512, 61852, 936, 6958, 64475, 18378, 45931, 26555, + 15988, 1897, 41246, 28583, 27907, 17163, 28955, 15309, 6702, 59888, + 31604, 14980, 63903, 28397, 58936, 34389, 58836, 47977, 59716, 42440, + 14466, 4226, 13762, 13911, 65447, 38989, 6979, 5252, 14635, 35130, + 42101, 45830, 57138, 56725, 34177, 29470, 12033, 49448, 22019, 61449, + 13450, 63230, 12455, 20366, 17557, 39234, 29277, 51639, 44941, 46406, + 62264, 47516, 38629, 26017, 45800, 43354, 28453, 11376, 37586, 60195, + 22677, 28604, 22473, 29040, 6276, 6652, 52588, 47185, 7477, 32945, + 34076, 45540, 38503, 54063, 19095, 51172, 19584, 29564, 2102, 9305, + 32853, 47953, 29887, 37741, 48536, 53053, 34024, 28767, 10719, 16873, + 14953, 12176, 31309, 26998, 2748, 14267, 23007, 26883, 46964, 56386, + 43605, 4170, 4663, 27299, 43450, 54122, 50778, 23817, 42642, 15551, + 57879, 58367, 36000, 23277, 31085, 6463, 13393, 52476, 23701, 19881, + 65224, 11933, 31772, 1103, 59527, 50827, 13474, 19629, 56503, 30989, + 23597, 19958, 45844, 8344, 35627, 62857, 57975, 36497, 47874, 55939, + 37377, 38447, 62550, 34926, 21217, 41855, 26326, 33558, 27843, 32897, + 58563, 30076, 18134, 2672, 23551, 7652, 6007, 47391, 34509, 43273, + 42111, 64127, 44587, 20081, 32294, 33853, 63433, 8155, 48786, 56712, + 22949, 7636, 63488, 33520, 60740, 50024, 39822, 9834, 64654, 23286, + 49826, 44400, 49735, 36381, 58619, 44869, 30758, 49874, 42322, 21846, + 60564, 63380, 32428, 40892, 31234, 61622, 48191, 12254, 55783, 43227, + 50686, 56116, 60757, 5761, 27587, 26662, 13623, 30899, 31000, 63251, + 21545, 31970, 31650, 47469, 37876, 45006, 17963, 47361, 2213, 52127, + 23115, 37204, 35656, 38160, 689, 7465, 26182, 6603, 34835, 14880, + 11608, 16847, 35504, 58488, 56103, 50701, 10878, 3317, 61058, 48659, + 18422, 43741, 57659, 5855, 51064, 44060, 62110, 25814, 24923, 34183, + 57231, 41677, 10389, 17725, 49727, 36931, 37380, 10538, 62347, 32946, + 26199, 56229, 26609, 36346, 43164, 38969, 41519, 16382, 7014, 39553, + 62842, 56138, 44698, 22828, 29016, 43249, 49110, 12065, 28954, 43382, + 46975, 13500, 26874, 17632, 11366, 11061, 10957, 43505, 22551, 31778, + 31844, 44655, 17853, 28435, 31109, 8865, 50613, 55131, 56193, 43478, + 53165, 39918, 57458, 18974, 40313, 39782, 11283, 1308, 26738, 36384, + 39996, 60403, 21387, 43139, 43768, 16478, 44018, 57184, 38980, 21724, + 19038, 53365, 13671, 65032, 32507, 28940, 52201, 34567, 37360, 50369, + 14897, 15130, 33417, 65120, 65471, 46675, 20230, 36077, 37634, 20324, + 44799, 46781, 64214, 3218, 51826, 33985, 17822, 46537, 5995, 57315, + 6139, 46538, 10318, 57992, 11558, 40063, 54468, 32822, 17136, 13424, + 51008, 50511, 35667, 15693, 6445, 4348, 23987, 64939, 18358, 52005, + 33207, 64972, 27441, 14492, 3519, 23959, 15145, 12121, 29441, 22438, + 2310, 36064, 61763, 41854, 31579, 28863, 19511, 35034, 29644, 23859, + 15470, 38432, 10650, 32747, 46586, 3061, 8909, 34020, 48805, 12268, + 22013, 30067, 11719, 55385, 24252, 17761, 20424, 41796, 20131, 53296, + 1843, 27257, 17656, 10364, 42354, 42685, 38649, 15229, 21804, 37915, + 62152, 60073, 36920, 2396, 34546, 56694, 47932, 5268, 55453, 27965, + 41191, 36569, 37454, 11578, 9927, 63759, 13653, 45232, 13767, 5087, + 14293, 20777, 28745, 50374, 47476, 55003, 61404, 64073, 39067, 1319, + 58522, 24902, 21098, 11579, 36897, 56678, 46908, 2262, 46636, 54959, + 7634, 16171, 15565, 36406, 24350, 5599, 22089, 52210, 33966, 61485, + 11919, 22443, 46003, 24105, 46679, 53008, 32430, 40482, 50644, 35560, + 26550, 37408, 28949, 25953, 64872, 19340, 2045, 21381, 40043, 28399, + 56285, 58314, 32670, 53715, 33963, 54216, 26849, 54469, 65506, 3845, + 52349, 7036, 41717, 35052, 2353, 25876, 31601, 33757, 55002, 26227, + 22190, 63326, 46525, 43213, 19249, 59246, 51316, 36418, 15777, 40698, + 8820, 48804, 31588, 57193, 13623, 24151, 9430, 14162, 42506, 37201, + 49446, 53837, 30325, 60482, 62924, 13287, 2370, 38349, 64426, 28591, + 11820, 63987, 3007, 36973, 65062, 4497, 40322, 114, 62020, 24576, + 61665, 58635, 16202, 59610, 64981, 32527, 8144, 32732, 33698, 49816, + 22286, 62695, 37288, 30670, 54858, 48673, 33769, 22239, 3803, 57912, + 3352, 45323, 6872, 1223, 34906, 22747, 34402, 38609, 27804, 39793, + 9099, 19817, 23440, 15611, 62178, 8308, 58949, 35393, 51869, 13191, + 49505, 45406, 1633, 10986, 49918, 45964, 10672, 36542, 42015, 25112, + 65263, 65082, 8142, 63604, 23827, 5393, 43475, 1387, 24040, 1264, + 38674, 30063, 28414, 1315, 12674, 45070, 18224, 55895, 53702, 55939, + 27346, 55418, 47209, 29033, 34934, 57535, 187, 35723, 39003, 64957, + 42818, 14294, 18547, 24503, 15839, 34928, 57104, 39670, 34687, 64737, + 24106, 10835, 54650, 7535, 20815, 22481, 41099, 14729, 20519, 52582, + 30573, 23385, 57251, 12251, 64782, 41596, 64450, 17865, 20594, 22478, + 13311, 19651, 55335, 11358, 58459, 16018, 2912, 13697, 46338, 59547, + 29361, 22530, 10909, 55133, 47718, 62583, 45363, 14359, 20608, 50863, + 11196, 62086, 43085, 2631, 45636, 23756, 56739, 5723, 51385, 2924, + 4537, 49754, 63569, 24434, 31649, 1523, 21914, 38064, 50790, 26156, + 7455, 12834, 63166, 57745, 12468, 56947, 45676, 11657, 57183, 47769, + 25397, 14020, 483, 33947, 20348, 63184, 5610, 58483, 25212, 53451, + 41422, 25870, 65058, 50995, 51972, 47983, 53381, 64729, 43301, 7668, + 18179, 4852, 32667, 36273, 55545, 5714, 42980, 5112, 1054, 52649, + 38534, 5627, 29821, 23308, 1167, 136, 29197, 17017, 59336, 44530, + 19950, 1935, 33654, 2064, 10562, 46173, 31692, 34911, 4006, 47957, + 30192, 22595, 65356, 50783, 28328, 62315, 6327, 9482, 37281, 20049, + 53265, 43997, 64348, 41697, 39468, 63180, 28598, 9521, 12051, 8390, + 44818, 623, 7244, 8811, 46116, 11225, 174, 10715, 50269, 36836, + 27609, 23978, 56558, 33664, 64341, 40175, 42619, 15731, 61258, 61052, + 47454, 26082, 4313, 28967, 40085, 56303, 25623, 48967, 35145, 62331, + 49060, 55099, 40188, 36108, 1003, 47908, 50254, 35274, 19448, 6578, + 18539, 15780, 4592, 29212, 35092, 41360, 57650, 17705, 3401, 10223, + 53257, 1388, 46225, 13582, 39690, 39053, 29413, 24009, 56337, 23489, + 41279, 44618, 30418, 48475, 36026, 23279, 62750, 40316, 36454, 23882, + 11445, 51174, 38314, 40769, 10434, 63727, 44886, 30294, 61687, 65425, + 45893, 20737, 26693, 44702, 58027, 38970, 7480, 28432, 57601, 59155, + 34286, 25983, 4490, 55937, 49586, 15531, 896, 56123, 39481, 51001, + 14048, 25530, 7800, 51835, 9167, 25697, 53536, 25704, 3751, 34743, + 1208, 40619, 53923, 14543, 40789, 57559, 27939, 28105, 8183, 5047, + 45979, 22641, 24451, 26563, 15902, 16132, 10073, 53423, 12419, 57107, + 22417, 26654, 11313, 56449, 63191, 2421, 21645, 56998, 9696, 39114, + 61436, 7574, 37000, 34554, 53143, 16764, 38538, 17625, 18283, 38431, + 1499, 30597, 8553, 46215, 57507, 57300, 38129, 58553, 18649, 1771, + 60661, 39325, 19322, 57840, 9933, 35073, 58725, 48855, 29592, 438, + 15318, 60505, 9801, 16856, 41597, 44803, 14421, 44844, 43322, 13675, + 12023, 62369, 2936, 23933, 51998, 8185, 64291, 15603, 36341, 5761, + 57671, 32483, 48140, 40956, 20038, 51314, 10536, 44190, 29622, 30374, + 46756, 25396, 8661, 10074, 3713, 49249, 58025, 40778, 50450, 43010, + 27832, 48974, 43837, 27315, 18273, 23204, 47982, 57707, 6870, 57446, + 43806, 24898, 50615, 59930, 51963, 59732, 62027, 57704, 32693, 3261, + 34141, 3814, 15717, 15043, 57521, 33807, 36761, 10003, 37666, 29267, + 22528, 13348, 45145, 41288, 3503, 57784, 43564, 54782, 26381, 24961, + 9616, 7536, 30818, 37856, 58684, 3093, 61686, 52936, 33757, 9366, + 49385, 61634, 22511, 47003, 4495, 25097, 31551, 44735, 6789, 50645, + 36858, 54434, 64853, 15797, 32112, 19484, 29121, 16661, 65131, 2190, + 44208, 10975, 31526, 14677, 35762, 48958, 18668, 47704, 44850, 2942, + 2383, 48065, 52535, 8720, 33537, 62152, 9942, 21673, 61010, 50603, + 43576, 44681, 20294, 1210, 60228, 37081, 5970, 57998, 48361, 29485, + 59571, 64858, 54537, 59946, 62828, 27481, 34053, 13889, 5082, 56423, + 12513, 48281, 17141, 684, 53012, 60427, 63525, 38003, 23279, 12359, + 12478, 22809, 50087, 46356, 57626, 54048, 33281, 56244, 64338, 14691, + 40601, 25701, 59288, 37220, 37582, 28164, 59444, 56513, 3661, 36301, + 33561, 27589, 19257, 24893, 43812, 34587, 15092, 7192, 752, 49822, + 30093, 46214, 13144, 27467, 30150, 28505, 61322, 41560, 19392, 44650, + 57540, 55134, 36933, 801, 21423, 29617, 690, 42770, 8532, 43216, + 56191, 40132, 60863, 29688, 32109, 55950, 30255, 2259, 53067, 411, + 18678, 62798, 10340, 17164, 57587, 28808, 22920, 13628, 48810, 26342, + 64693, 31519, 47357, 62787, 54627, 16323, 24457, 9541, 43881, 43001, + 59552, 56523, 43663, 31757, 50398, 4738, 61617, 27568, 37482, 31127, + 38028, 28151, 51659, 63110, 3880, 57212, 7780, 20241, 37346, 8354, + 11406, 42829, 29461, 38391, 44880, 32214, 31588, 3250, 6077, 63779, + 32167, 42331, 65515, 30708, 17264, 34442, 37771, 30440, 30777, 47559, + 43050, 64811, 56619, 46225, 57091, 57932, 44941, 12154, 51838, 24364, + 52168, 3439, 45385, 31762, 46867, 13590, 10514, 3548, 22975, 24144, + 25310, 5200, 54305, 62941, 41737, 27537, 30743, 22815, 65242, 58029, + 37177, 52215, 6594, 59731, 17822, 43724, 15410, 9890, 31048, 31402, + 48662, 27420, 15917, 39302, 24305, 36793, 64226, 28832, 50582, 62488, + 4582, 11009, 384, 28112, 29577, 4644, 34767, 39653, 9335, 53937, + 50656, 43351, 25317, 2916, 903, 50644, 46167, 43021, 61163, 33677, + 50699, 43696, 52223, 44837, 43526, 9420, 57753, 7878, 39608, 17081, + 24112, 12622, 3918, 27434, 36407, 52903, 35477, 38098, 56848, 56117, + 11910, 5156, 49583, 12392, 48754, 17202, 15308, 19569, 22987, 34231, + 24260, 2833, 55692, 19248, 49421, 55692, 23110, 53540, 32596, 63421, + 7368, 34693, 1589, 30787, 34667, 6447, 32150, 53721, 45559, 14989, + 20384, 62404, 34358, 32859, 58715, 61285, 7955, 41093, 21222, 26862, + 45165, 40751, 19791, 8497, 57726, 35278, 25621, 2708, 9186, 33395, + 6199, 31521, 12615, 64808, 2808, 11706, 31152, 12659, 7730, 58473, + 60517, 57847, 84, 40406, 9595, 48186, 15866, 28626, 43516, 45698, + 37672, 14607, 31922, 53720, 54818, 31730, 62869, 13870, 30186, 54401, + 26062, 56988, 9815, 39799, 45671, 17350, 62414, 26743, 44946, 45658, + 39072, 17757, 42643, 35766, 36352, 33967, 4170, 44710, 62111, 19762, + 11873, 27211, 230, 3225, 52494, 32023, 28367, 9048, 6021, 49652, + 45408, 15256, 2302, 19844, 48582, 56643, 42078, 56708, 33290, 61758, + 48260, 40010, 61298, 1463, 46899, 23149, 4215, 17812, 21655, 32899, + 17501, 13578, 9590, 6917, 23157, 25935, 63988, 33359, 59115, 29031, + 13058, 16580, 52044, 46619, 32869, 63759, 35993, 22281, 13663, 11908, + 45312, 17108, 65020, 61860, 65341, 2171, 24314, 37567, 6541, 28615, + 2645, 40116, 36426, 59102, 45679, 31188, 6184, 63222, 42457, 17637, + 26634, 4643, 7617, 26690, 29314, 11344, 51448, 52512, 56036, 45388, + 48542, 31979, 46597, 35324, 39787, 46798, 32405, 687, 18589, 39762, + 46358, 33935, 21370, 45086, 17784, 13362, 41601, 40413, 27731, 42832, + 48433, 43581, 30144, 911, 38773, 36883, 20437, 63611, 27050, 30619, + 35515, 9873, 48975, 61661, 108, 42362, 34376, 61996, 65038, 31573, + 35023, 64713, 26855, 63277, 23543, 43428, 63741, 138, 27870, 66, + 17227, 36387, 30319, 6586, 60833, 38321, 18897, 45529, 1561, 59102, + 29552, 26725, 6193, 33628, 59046, 38015, 14730, 9747, 31237, 44065, + 59452, 52082, 40515, 25031, 13524, 11495, 22979, 47659, 20971, 16745, + 12988, 31784, 17252, 62770, 21000, 31936, 1857, 27275, 51339, 26937, + 12834, 13759, 33086, 53602, 39260, 9777, 2519, 21491, 17586, 20106, + 64535, 61202, 33203, 7690, 29104, 38642, 37551, 32000, 36048, 21654, + 4951, 65109, 48974, 20148, 52464, 22594, 6768, 16052, 21556, 30241, + 37206, 62423, 27333, 38241, 47111, 42613, 48719, 65428, 10519, 64862, + 16185, 5027, 23537, 51042, 59655, 28705, 21959, 35565, 30287, 12476, + 16147, 11722, 6741, 20688, 34760, 5896, 64070, 25877, 58394, 19706, + 49165, 65145, 3047, 12632, 16598, 10249, 50880, 40322, 53533, 37384, + 28312, 16613, 32882, 7202, 45833, 17270, 5835, 37050, 1853, 20060, + 19845, 33632, 50303, 15530, 24057, 25694, 43870, 54858, 40887, 64369, + 3451, 5877, 36962, 39829, 35312, 41822, 16168, 33273, 58973, 11886, + 46555, 61970, 11920, 45226, 39345, 49356, 58576, 29618, 56725, 8411, + 44231, 5091, 39, 41519, 31497, 47643, 61271, 30341, 62277, 61340, + 19353, 11546, 16135, 36361, 25797, 14202, 18215, 28046, 60047, 43383, + 55762, 37661, 60062, 50742, 59186, 49363, 22090, 3172, 40637, 27257, + 59895, 37766, 55063, 12325, 61449, 44141, 51877, 8449, 63875, 54983, + 53935, 10275, 17983, 15826, 27441, 9628, 14028, 3628, 26943, 21901, + 49631, 5831, 60946, 51058, 34680, 18168, 16563, 51074, 48019, 48046, + 22594, 15407, 49798, 11711, 32995, 6600, 63741, 45918, 9542, 11839, + 49552, 59049, 26322, 42756, 37374, 41823, 52846, 54031, 23049, 44463, + 65515, 49917, 15853, 46123, 64017, 35154, 15998, 16092, 3397, 64733, + 2269, 15726, 21204, 65337, 51158, 1676, 3806, 26991, 28890, 47687, + 1761, 57529, 42644, 6575, 30433, 62818, 60201, 1412, 61234, 3529, + 31761, 62982, 1250, 49028, 53645, 56809, 24261, 22688, 23492, 2661, + 18717, 31495, 46974, 45673, 39398, 52219, 13436, 1775, 20412, 55742, + 10097, 46699, 62826, 31060, 8609, 62813, 29712, 65120, 51221, 15878, + 40432, 53035, 42104, 6455, 23509, 63172, 59794, 25156, 1824, 30529, + 50526, 38936, 6768, 15907, 31433, 60770, 749, 48344, 7434, 59885, + 31794, 18265, 26264, 36622, 32980, 31476, 50637, 4406, 31123, 3876, + 22805, 22695, 62749, 37532, 13522, 39748, 53881, 46691, 60624, 33153, + 26646, 59672, 64352, 26745, 53617, 9303, 16403, 29470, 11613, 60980, + 28693, 15498, 14066, 45284, 61987, 7541, 17172, 43644, 16426, 5824, + 19615, 54993, 34942, 37677, 22828, 21885, 59571, 21042, 8323, 60931, + 15388, 60898, 32925, 29706, 6109, 36363, 59476, 60870, 11951, 31327, + 33449, 32372, 63024, 24010, 36018, 14083, 2021, 11490, 57374, 3792, + 22477, 49533, 23654, 26250, 64162, 23900, 30866, 38709, 54703, 26116, + 59301, 12106, 12873, 52141, 55719, 40955, 52971, 44372, 845, 15507, + 42994, 60395, 13894, 36348, 20977, 32433, 62500, 7258, 24498, 31388, + 1509, 61653, 60874, 57073, 49694, 12661, 3544, 22774, 19395, 22822, + 27482, 20672, 45973, 44506, 9259, 45350, 34344, 46797, 28317, 64726, + 61584, 38116, 18223, 25221, 17832, 16175, 65515, 41538, 19966, 50574, + 11103, 46564, 27742, 56175, 64821, 20130, 16634, 3253, 55921, 22977, + 5427, 7860, 29511, 56936, 64006, 16217, 24727, 42639, 8982, 50279, + 57094, 17686, 43090, 23772, 57786, 10994, 30786, 46706, 22193, 40322, + 58611, 47425, 5823, 32768, 54653, 39809, 39714, 530, 17966, 12142, + 12995, 25984, 24917, 60740, 4364, 11521, 6828, 23402, 12384, 9774, + 55139, 38571, 41531, 57496, 19653, 56479, 34536, 17397, 24457, 6696, + 34521, 6005, 28088, 40984, 18342, 27699, 3381, 16368, 63141, 64710, + 59630, 12995, 41762, 46072, 1694, 19514, 49723, 44174, 24380, 7088, + 54875, 7238, 21507, 48509, 54353, 48095, 10783, 15952, 32780, 24146, + 22257, 37558, 39669, 35102, 1791, 17591, 24041, 65473, 59771, 6559, + 22738, 21570, 60014, 4570, 29153, 44779, 9601, 17657, 24169, 7957, + 64360, 15313, 36699, 59163, 54252, 35522, 11098, 21873, 46739, 36373, + 20602, 56525, 33773, 19071, 30618, 15747, 14718, 37826, 29531, 45550, + 19011, 47987, 9404, 12298, 46563, 10710, 40788, 61983, 10355, 25317, + 5931, 39064, 3302, 6512, 37428, 22258, 7184, 4702, 63339, 48592, + 37461, 47633, 46471, 54315, 54770, 28136, 56157, 20902, 63463, 39902, + 7121, 60415, 6528, 64079, 55161, 51618, 24703, 14811, 58832, 19288, + 50152, 45923, 30739, 32540, 39785, 46129, 4027, 44558, 31587, 10804, + 8856, 38785, 34713, 37480, 42631, 2863, 1652, 11092, 24272, 46220, + 40688, 36269, 25886, 2359, 19239, 60696, 2075, 27789, 43384, 25357, + 47528, 47704, 63060, 50647, 26294, 35493, 39077, 44471, 12996, 41139, + 51930, 27177, 12533, 5895, 35475, 51518, 21709, 64157, 39142, 45864, + 29832, 10040, 62796, 48084, 55063, 22235, 56620, 60594, 26552, 30288, + 64001, 10384, 18736, 28901, 2090, 43940, 8872, 50487, 57422, 18856, + 8225, 21798, 28009, 11296, 16534, 744, 47480, 62135, 11181, 52537, + 55997, 14279, 26905, 15536, 11183, 39672, 29583, 5165, 55170, 37888, + 49506, 22127, 25934, 28552, 31933, 53954, 35807, 63535, 17789, 35541, + 14360, 28071, 63145, 25513, 20484, 35837, 2446, 45990, 23675, 34039, + 53371, 24520, 58221, 60822, 25366, 23030, 56526, 49595, 46682, 29299, + 19486, 49126, 64046, 36461, 43155, 32537, 63138, 30131, 24038, 49371, + 49864, 35204, 20407, 41304, 53037, 54915, 59806, 26534, 56819, 5062, + 58831, 55330, 8844, 16653, 37993, 2809, 25365, 46607, 3419, 59587, + 38221, 38128, 22259, 36681, 4400, 45520, 11013, 103, 4916, 8573, + 42164, 40205, 59423, 49480, 49376, 3679, 48259, 10741, 15148, 24316, + 43479, 40908, 61866, 9820, 15156, 45516, 39206, 51283, 17321, 23039, + 11276, 60082, 32827, 37097, 7671, 25356, 8060, 26138, 64982, 65174, + 59590, 53219, 53437, 42536, 23829, 33829, 3910, 32864, 52094, 19525, + 53153, 54246, 62288, 26171, 17983, 35785, 28102, 42186, 26391, 24584, + 47461, 8394, 4500, 50222, 24824, 28065, 53531, 56852, 22566, 25296, + 56380, 59082, 18349, 44201, 40052, 30609, 9021, 30238, 43165, 25855, + 755, 40373, 48115, 59973, 55920, 1945, 54211, 1678, 18326, 48842, + 15794, 3709, 21215, 46963, 167, 37849, 39769, 9308, 43606, 58693, + 2114, 29046, 11114, 34856, 47676, 5524, 3150, 11947, 38444, 36153, + 58521, 52192, 25525, 61580, 40724, 34816, 6476, 46696, 46184, 30413, + 36856, 22160, 9833, 4630, 30889, 40191, 42489, 39513, 3378, 41054, + 60826, 40834, 38627, 28047, 64148, 5074, 33991, 39526, 28351, 27355, + 27511, 4472, 1262, 26279, 59545, 35083, 41464, 21753, 1017, 14125, + 11364, 40619, 18497, 29920, 62640, 43830, 27992, 8818, 6206, 14928, + 3669, 48876, 59612, 30128, 34079, 17568, 750, 7405, 42403, 64741, + 23610, 19844, 45744, 40160, 13648, 35064, 34418, 7544, 30612, 47892, + 34953, 57451, 43498, 8780, 43973, 54399, 17916, 7234, 19840, 27344, + 55338, 63588, 28824, 20296, 62479, 4786, 28168, 63022, 42925, 39069, + 54563, 12501, 46900, 31243, 54636, 7028, 35700, 10360, 11284, 54806, + 23772, 58934, 12049, 39592, 50478, 34772, 12247, 57904, 33217, 43421, + 4021, 27421, 53362, 31544, 32719, 4793, 28968, 25453, 1716, 37818, + 62979, 56839, 34203, 23544, 44065, 5588, 38719, 52026, 1804, 56521, + 49748, 19753, 6339, 50242, 6564, 57446, 16484, 14184, 33739, 48311, + 55667, 39340, 33931, 59996, 21961, 35695, 461, 48256, 52251, 38596, + 7733, 16587, 42278, 42573, 58208, 54804, 45428, 51266, 5565, 15412, + 37326, 57232, 11269, 36598, 64575, 1309, 1112, 48682, 1984, 31530, + 37110, 13529, 18565, 13522, 22166, 33170, 9955, 5039, 13926, 61923, + 31452, 7580, 40352, 13027, 5705, 3220, 54470, 10476, 34722, 47801, + 51322, 20583, 65389, 3185, 17916, 6592, 57921, 6827, 17728, 53880, + 12662, 32576, 31403, 52568, 37996, 50548, 2666, 39875, 27764, 8347, + 55151, 18981, 64862, 43736, 34825, 45448, 21663, 36353, 64031, 4165, + 14623, 39070, 33033, 15319, 3485, 57616, 59148, 56779, 12224, 14543, + 52335, 19923, 64955, 20940, 40510, 47867, 19611, 38901, 65179, 30195, + 47408, 57559, 6331, 20106, 56726, 13149, 64132, 5692, 5471, 2486, + 44482, 31202, 6750, 36693, 27392, 33927, 25618, 32018, 57353, 15707, + 54190, 21870, 9211, 62145, 54977, 58099, 37636, 58499, 51847, 64186, + 27580, 7973, 2507, 42246, 43039, 31319, 44919, 60942, 11301, 52355, + 47034, 49368, 1024, 34183, 51668, 20033, 3058, 637, 38017, 41497, + 64456, 3253, 18176, 10347, 28662, 5572, 20670, 1242, 10832, 58038, + 12708, 15910, 25699, 30814, 50426, 57294, 44398, 15055, 4342, 21456, + 28160, 20963, 17637, 22156, 52015, 34040, 12277, 1735, 17266, 57275, + 6813, 46026, 65308, 37404, 36009, 11178, 28278, 40289, 10725, 27555, + 28535, 86, 28517, 46238, 54562, 13474, 47984, 9682, 51836, 61238, + 43527, 8070, 11049, 13790, 28693, 53236, 35747, 64506, 32564, 27722, + 19221, 38982, 23577, 47050, 43226, 34260, 12702, 39697, 30605, 540, + 19051, 44404, 42956, 14890, 15167, 45702, 33934, 55541, 10208, 11702, + 6942, 59600, 11421, 19989, 59572, 6649, 38525, 37942, 34734, 41039, + 13318, 46126, 46704, 64033, 43179, 21947, 45525, 4642, 19667, 29825, + 32080, 20088, 50306, 17007, 21156, 16115, 5798, 36417, 4924, 48219, + 44800, 53278, 13607, 27362, 21677, 7777, 17844, 45496, 48977, 27765, + 48039, 22974, 5343, 38361, 29461, 9554, 14854, 55107, 52449, 54089, + 32571, 30689, 3027, 7930, 54367, 33978, 10578, 28535, 19726, 41011, + 64446, 2007, 24452, 1966, 10348, 43695, 59435, 4429, 64319, 49823, + 39877, 33748, 56799, 16904, 12850, 19116, 51353, 35803, 13279, 10244, + 42707, 55181, 62411, 10002, 18730, 41511, 57399, 45176, 7978, 56953, + 62442, 17089, 37545, 46702, 45598, 61706, 32448, 53115, 46858, 59837, + 56423, 9265, 34573, 26489, 24236, 42227, 58996, 36366, 22672, 61889, + 41960, 54692, 59006, 40754, 24439, 50683, 62825, 39040, 30781, 58316, + 24961, 20669, 60078, 53839, 1681, 38180, 35203, 51926, 15352, 62091, + 39743, 44182, 54849, 49140, 34397, 1670, 26722, 66, 54808, 40289, + 7822, 27912, 33238, 4037, 11447, 7293, 306, 23165, 27299, 21644, + 22181, 7900, 22442, 15624, 56016, 44352, 24586, 17149, 12904, 62902, + 56806, 7969, 30910, 41148, 61409, 37226, 18666, 45601, 57171, 953, + 61331, 14345, 28711, 26036, 44894, 43021, 5201, 46001, 41457, 44159, + 40174, 47821, 24483, 17355, 41721, 52253, 14274, 13304, 33191, 12540, + 25472, 62542, 23649, 21124, 15738, 20242, 19036, 42520, 10214, 7533, + 25046, 37149, 27481, 40811, 64750, 38344, 63539, 4638, 12832, 15122, + 38056, 17891, 6749, 16841, 41323, 5820, 7875, 55488, 16121, 51421, + 10238, 41511, 15743, 27458, 10554, 31733, 57704, 33405, 8388, 7553, + 9863, 23950, 18492, 48176, 52190, 38634, 52857, 2377, 4617, 25502, + 5369, 64229, 46666, 29325, 2819, 61954, 21554, 15829, 57436, 61366, + 63464, 53328, 59809, 43904, 19225, 8502, 12359, 31930, 26236, 18400, + 51245, 10538, 61952, 59815, 42203, 51897, 56725, 25579, 18522, 7739, + 40084, 21552, 17839, 44396, 28108, 16482, 12533, 26108, 55850, 16697, + 14102, 20417, 13316, 45239, 14118, 13324, 33026, 65231, 55132, 10262, + 55465, 60960, 44973, 3224, 57733, 39646, 17415, 13433, 46835, 52690, + 10279, 33954, 8584, 15736, 18199, 32494, 44066, 32582, 59464, 51773, + 49033, 35596, 44103, 59815, 36545, 53878, 23327, 62670, 15854, 3759, + 65100, 17229, 19940, 1568, 24663, 7501, 63051, 44118, 44051, 41782, + 47211, 7682, 34162, 16001, 5274, 6937, 38404, 3500, 60479, 49436, + 9998, 56647, 47746, 26482, 835, 24620, 28050, 40945, 31266, 30924, + 19090, 32123, 65259, 34211, 58913, 25285, 32063, 49869, 56196, 47923, + 7507, 62131, 35955, 8159, 53712, 40925, 54141, 35513, 43339, 27116, + 13080, 22984, 32092, 61343, 43849, 1167, 14685, 2066, 65516, 34186, + 37569, 7432, 55713, 39383, 44302, 39005, 52051, 6386, 50040, 30366, + 58982, 50841, 37404, 12468, 10108, 8398, 55509, 20155, 42468, 52219, + 61887, 5616, 4001, 24367, 5446, 58313, 39954, 29319, 34281, 59423, + 16194, 61864, 32145, 4231, 34766, 54376, 22152, 5822, 12655, 32652, + 2584, 50242, 5668, 12204, 48833, 40189, 51197, 61491, 49173, 17180, + 48517, 49283, 55860, 1535, 39629, 43317, 45792, 11849, 49425, 41854, + 23100, 47382, 61194, 21677, 60710, 21580, 23503, 49104, 49965, 36363, + 22121, 12150, 16945, 18750, 5106, 47504, 4502, 43347, 63080, 57201, + 1828, 50951, 13843, 60405, 51071, 41050, 30609, 53693, 46142, 54690, + 30843, 27544, 25001, 58812, 40118, 8445, 28180, 47965, 50776, 4276, + 13522, 32134, 33332, 917, 30424, 46358, 13060, 35177, 45808, 63865, + 34098, 49645, 34710, 30334, 5443, 29684, 6259, 48455, 53984, 13484, + 4467, 29273, 19075, 33017, 33157, 35540, 40890, 51965, 26351, 60092, + 41499, 40377, 26443, 22133, 1733, 6349, 52513, 51534, 28814, 9819, + 34082, 25396, 37070, 60682, 29951, 16263, 19143, 8230, 9700, 42761, + 25519, 61413, 23701, 12018, 59740, 31685, 55587, 30498, 6540, 51472, + 6218, 22894, 13261, 24449, 36970, 31771, 16399, 52737, 33824, 22889, + 29636, 31114, 9345, 60998, 32689, 14381, 23795, 25124, 45141, 23086, + 17063, 6628, 27378, 58189, 49590, 51185, 12604, 54816, 49623, 11114, + 62877, 37787, 40123, 20599, 10787, 28850, 24581, 17341, 37895, 50197, + 62697, 19180, 36315, 52762, 59232, 54389, 591, 64697, 9989, 28761, + 2463, 11696, 48016, 17437, 45981, 45255, 1772, 35802, 10326, 60462, + 52982, 55920, 59748, 42242, 59604, 60123, 40400, 3566, 65520, 62622, + 29345, 56814, 37520, 38235, 47622, 53551, 56852, 33156, 4891, 5651, + 5323, 20012, 1115, 18512, 55153, 50679, 37539, 48184, 35913, 23344, + 65247, 64531, 52691, 26873, 29779, 31144, 52011, 5533, 4488, 38363, + 5118, 51202, 21969, 16496, 59945, 16954, 40312, 37357, 54000, 37688, + 63114, 57758, 49623, 12703, 14396, 63922, 55072, 45494, 59129, 11139, + 19426, 43128, 3849, 9715, 50627, 28104, 57311, 36850, 60498, 36802, + 29183, 35099, 47619, 59693, 49546, 21118, 41672, 27916, 34663, 33145, + 19016, 55636, 15120, 16063, 9150, 41655, 9974, 13178, 62833, 35743, + 6546, 59021, 55755, 30774, 56586, 27634, 63513, 12706, 16252, 26691, + 52958, 59982, 5609, 35412, 35284, 30052, 17345, 48381, 32128, 17239, + 28935, 29429, 33393, 21916, 47392, 36569, 35349, 31608, 15422, 35149, + 26423, 18932, 7524, 38713, 25625, 24814, 5909, 45621, 57385, 44874, + 28829, 34047, 53092, 34885, 48635, 24105, 38212, 31721, 29801, 27344, + 21863, 1181, 64906, 39814, 29630, 15316, 37679, 46417, 40558, 59366, + 52678, 12493, 23366, 21755, 28512, 43372, 45789, 35619, 38237, 48862, + 13128, 37764, 6839, 27276, 9334, 40619, 38119, 60221, 26664, 65027, + 62839, 35035, 8079, 611, 31270, 37456, 53379, 61889, 13745, 6027, + 25694, 26116, 6980, 415, 64115, 34552, 5757, 19210, 10286, 45403, + 23065, 14866, 57546, 15636, 44861, 40779, 57001, 13443, 12131, 14902, + 2131, 61171, 29407, 34308, 60173, 41004, 56845, 20600, 1301, 3878, + 22297, 40170, 14713, 50647, 20075, 30055, 26513, 11309, 41901, 27638, + 49183, 8216, 37242, 44534, 4609, 48318, 27044, 43939, 59536, 8914, + 35874, 30135, 62873, 13311, 41621, 4908, 57590, 21374, 51829, 12576, + 14280, 24007, 63452, 44754, 54610, 10595, 31471, 42953, 49013, 736, + 12773, 48660, 4292, 46558, 37301, 34476, 10819, 54599, 20320, 6645, + 1729, 5276, 5080, 60003, 10703, 42090, 17548, 9018, 17500, 41947, + 35473, 61806, 18670, 55656, 25198, 14504, 18815, 1196, 40580, 22122, + 6386, 15188, 26136, 46409, 18992, 58163, 56063, 4697, 50916, 64370, + 12261, 30376, 55247, 34533, 39225, 1760, 6344, 2424, 8888, 60176, + 29268, 10952, 5013, 45188, 53982, 45006, 11074, 42960, 403, 7606, + 41182, 57580, 34012, 30670, 28475, 34681, 13945, 43673, 6026, 33058, + 48951, 22020, 42150, 20509, 54349, 51376, 22778, 23505, 45145, 7790, + 4367, 57746, 57842, 37833, 1205, 61319, 10729, 16572, 12493, 60333, + 49953, 35972, 21426, 18676, 3592, 52368, 1602, 11399, 14769, 41110, + 7052, 58665, 9360, 48575, 4376, 14011, 20177, 64258, 63949, 39898, + 22613, 37007, 60803, 49061, 9290, 40033, 42790, 37276, 23653, 7670, + 39811, 30116, 44479, 47899, 29362, 12839, 47433, 49120, 28192, 24152, + 20974, 60332, 58680, 12948, 16964, 48489, 41646, 48356, 29677, 4319, + 35944, 39839, 62096, 65311, 40969, 32812, 58479, 5141, 60954, 56293, + 12966, 2881, 56791, 14616, 15103, 25983, 41876, 57895, 34164, 18946, + 29642, 39119, 5954, 17503, 40130, 16261, 40358, 37317, 20701, 30460, + 18999, 53067, 35710, 20932, 56031, 64354, 6804, 24588, 59255, 56426, + 30407, 30088, 12030, 65120, 46142, 43885, 15990, 39348, 30219, 16537, + 27484, 54584, 28212, 6609, 48066, 62773, 37983, 50210, 62986, 10648, + 56601, 64360, 45633, 52554, 56259, 55021, 59157, 2205, 22809, 56873, + 3189, 59617, 34912, 26536, 36292, 7959, 9516, 50185, 58809, 47037, + 25547, 55074, 24021, 15816, 15953, 57665, 2946, 55984, 18497, 23181, + 17605, 9637, 53973, 57996, 26272, 29663, 52203, 26046, 26375, 39955, + 24972, 47974, 19580, 16129, 5145, 7528, 17737, 50986, 33044, 64589, + 47194, 29088, 53384, 53604, 18868, 53749, 20247, 45316, 27798, 25429, + 24222, 32492, 48426, 55472, 34694, 50891, 64488, 65039, 5290, 23259, + 9352, 7432, 17376, 64167, 47777, 63053, 46374, 25582, 1507, 33374, + 25195, 20384, 38894, 52063, 2551, 64773, 5580, 20996, 38263, 3325, + 57106, 36776, 42921, 36706, 52366, 18301, 6816, 17545, 57517, 30942, + 55476, 13544, 25452, 58561, 6666, 57553, 33785, 14408, 45244, 27228, + 14822, 3702, 44452, 15204, 49160, 6910, 18378, 51623, 41714, 61495, + 36968, 15121, 64777, 61997, 7574, 52242, 6724, 10449, 30102, 64043, + 23939, 50000, 42174, 38025, 57736, 16000, 2046, 62664, 61334, 16503, + 62989, 49624, 1444, 23350, 37173, 48893, 50750, 2179, 43959, 18672, + 52217, 20692, 18652, 17187, 64145, 44903, 58521, 22306, 34721, 58735, + 19421, 34837, 38141, 36456, 28539, 15458, 54959, 26508, 15279, 65391, + 24205, 23646, 7759, 23941, 18292, 35427, 34191, 23504, 39459, 36336, + 16753, 11907, 26038, 35359, 20935, 61208, 42428, 32219, 19174, 13493, + 12280, 38939, 6889, 37034, 33713, 23852, 39327, 4375, 62646, 36646, + 60690, 2987, 19442, 60288, 27829, 37511, 38823, 61376, 19753, 5440, + 34123, 63306, 65196, 53251, 16124, 9306, 51230, 51923, 26272, 42509, + 51377, 19183, 26781, 3481, 10797, 47183, 50, 33950, 60894, 6476, + 26480, 54932, 24091, 26207, 19673, 24419, 52851, 6145, 18579, 4369, + 62581, 28850, 11081, 58005, 49948, 9494, 8351, 6603, 21205, 9273, + 16207, 641, 47, 25075, 49381, 50350, 35614, 42343, 47891, 11202, + 41196, 32165, 54450, 20761, 55997, 40560, 4182, 15241, 55997, 1817, + 26252, 42522, 53736, 30090, 35250, 16341, 22645, 51039, 52595, 54965, + 8928, 2862, 52191, 65466, 48531, 9191, 547, 60957, 6448, 87, + 16105, 19358, 20862, 35015, 23631, 1017, 47341, 33985, 24444, 5495, + 53959, 56050, 47077, 39072, 34293, 44331, 63515, 20750, 29292, 62081, + 590, 14684, 62258, 20885, 16994, 39998, 63249, 7406, 12204, 27819, + 31190, 29570, 61513, 44852, 2118, 42836, 38939, 59000, 22687, 11319, + 7521, 38064, 41414, 28848, 48370, 1126, 64274, 8953, 10262, 43587, + 44723, 33826, 30209, 37381, 2240, 35667, 29421, 26767, 52445, 47916, + 34514, 54554, 7301, 43853, 3525, 62346, 14330, 26609, 39915, 57819, + 9158, 59829, 18200, 29370, 56556, 1911, 45064, 14128, 26032, 25689, + 9528, 12665, 38144, 64485, 55650, 41715, 12926, 3659, 51092, 34610, + 35115, 20165, 32492, 28208, 15025, 22043, 45042, 34722, 48461, 31554, + 62866, 53605, 36439, 21536, 62388, 31511, 50031, 16491, 34170, 45251, + 17478, 32943, 21781, 7860, 38662, 31598, 55108, 41066, 51551, 62049, + 17515, 44202, 41007, 2525, 51532, 12464, 55245, 9297, 51556, 44160, + 57075, 46060, 44260, 33371, 5701, 17499, 21578, 35660, 16002, 7856, + 60316, 8929, 24390, 2398, 30529, 64503, 4217, 50785, 5244, 12463, + 45144, 4474, 34693, 8061, 45086, 152, 20806, 51039, 49486, 51283, + 9903, 29994, 19872, 1681, 17334, 46079, 17286, 54603, 46063, 37597, + 57689, 1898, 19139, 29008, 51493, 4555, 42320, 42312, 18189, 16994, + 12253, 27652, 21597, 1914, 51448, 47149, 33066, 10522, 15406, 36607, + 36520, 63107, 28263, 50850, 9224, 35287, 46555, 6039, 43019, 25556, + 30645, 37710, 59688, 49597, 50498, 45524, 56918, 12121, 21206, 18601, + 27255, 63253, 49724, 56496, 44129, 12868, 56023, 57663, 1417, 23431, + 59737, 38486, 41294, 25928, 8479, 22509, 59104, 30304, 31016, 20582, + 57291, 36569, 7857, 40994, 15652, 30413, 14190, 11311, 17742, 31085, + 19814, 26143, 37993, 36382, 24172, 32500, 14681, 45501, 10549, 38711, + 22894, 20995, 61979, 40488, 9397, 52484, 39277, 45583, 34010, 9937, + 32112, 62385, 37048, 14142, 16887, 57054, 28287, 45502, 14234, 23079, + 61057, 35178, 48278, 53166, 51353, 21280, 37185, 49430, 1592, 26568, + 47656, 26776, 49933, 43186, 10695, 6455, 3665, 59419, 3044, 12300, + 22188, 6095, 11400, 35003, 27335, 32744, 38043, 34566, 47215, 24500, + 5659, 59264, 5218, 31413, 8696, 43353, 62650, 13250, 57744, 33624, + 42995, 63562, 11714, 30184, 45723, 56760, 40369, 11337, 7573, 29427, + 34583, 65255, 7945, 59389, 27203, 35016, 10220, 52667, 58288, 57886, + 39, 53203, 56644, 45564, 15206, 60081, 22818, 26918, 61568, 49061, + 53292, 6145, 15742, 51494, 48664, 29097, 49738, 20794, 38189, 44577, + 56310, 11245, 62363, 7589, 2725, 22526, 38005, 24348, 35866, 51098, + 5708, 19369, 54491, 45888, 20841, 51553, 12801, 63683, 38822, 55063, + 32159, 10350, 55273, 17234, 28621, 577, 16190, 41056, 46672, 17754, + 37265, 10116, 40167, 54127, 5125, 23882, 45108, 19064, 33523, 26876, + 25926, 22121, 11650, 12589, 28607, 7453, 61663, 28530, 4263, 17998, + 27929, 3376, 18380, 16833, 28060, 15249, 29139, 36223, 8008, 55441, + 31968, 56893, 20014, 54068, 32221, 11229, 34023, 24794, 4203, 50189, + 34704, 62890, 35683, 42254, 17509, 4652, 46087, 33423, 62457, 6131, + 14795, 63159, 49935, 30583, 58553, 33090, 25, 43265, 133, 5827, + 26186, 33471, 11821, 8711, 49242, 40439, 26188, 63898, 48342, 40281, + 38397, 60259, 28193, 18029, 57446, 8298, 36875, 32811, 12008, 47802, + 19723, 26793, 23009, 44898, 56604, 54255, 54300, 65173, 63538, 42470, + 25302, 3057, 46680, 39279, 3182, 63709, 5992, 9873, 1960, 779, + 3174, 22986, 5533, 2333, 31865, 36486, 20547, 30564, 24454, 7853, + 6037, 65219, 43114, 14679, 26463, 38834, 22740, 12955, 32680, 29534, + 47294, 48773, 27067, 40174, 19334, 43301, 33748, 11489, 48301, 48580, + 56676, 4243, 40491, 44850, 52737, 34782, 29292, 62267, 53485, 39645, + 7147, 41784, 20289, 59852, 27754, 37825, 41655, 22795, 11723, 62848, + 15121, 15170, 40590, 61729, 28712, 48030, 64488, 53349, 10900, 27192, + 21372, 26198, 11509, 60567, 30392, 34965, 26588, 62540, 35279, 35791, + 25178, 48572, 18510, 54606, 24866, 30970, 47453, 45995, 38493, 7890, + 44437, 53410, 17419, 21475, 120, 44542, 23312, 7140, 14225, 49075, + 49379, 16135, 47401, 54538, 1486, 25466, 29859, 41719, 62946, 64778, + 30325, 24966, 3707, 21730, 33219, 36468, 33388, 2930, 27034, 1754, + 5830, 38507, 33733, 51716, 49738, 23782, 12112, 55136, 39273, 13220, + 2199, 47506, 12531, 7024, 1289, 28836, 16740, 17313, 20607, 36187, + 62664, 29372, 26163, 28581, 59719, 5942, 32606, 47662, 37457, 25174, + 4466, 11537, 16998, 61241, 46577, 14763, 51074, 38895, 30184, 49548, + 9252, 15043, 4876, 37511, 36602, 20889, 34927, 20969, 33579, 23919, + 40159, 43206, 19264, 18438, 220, 41839, 4272, 64922, 4659, 22015, + 6662, 41164, 65339, 63509, 33201, 55969, 41899, 51612, 20328, 59555, + 6423, 12997, 32312, 11045, 38149, 45334, 6443, 5540, 52912, 17308, + 14487, 33609, 50776, 10300, 18944, 37260, 26692, 56360, 44203, 17138, + 9558, 29037, 19648, 19446, 15177, 27560, 34586, 35846, 1673, 30168, + 16303, 54436, 22446, 25423, 46231, 49325, 26263, 3523, 14266, 32183, + 40223, 49793, 39195, 35219, 4991, 4289, 43963, 34289, 14400, 60180, + 61227, 25635, 48602, 11770, 1970, 19194, 34798, 48528, 20246, 47309, + 61981, 35942, 26635, 33688, 27267, 64879, 24117, 31040, 63956, 13073, + 31964, 33268, 62225, 64861, 42539, 18096, 42214, 14681, 38181, 32219, + 34467, 37752, 5157, 8208, 64213, 48939, 53776, 59130, 24961, 21904, + 8217, 39001, 54268, 44361, 33328, 48929, 33898, 7528, 39944, 38081, + 23249, 15750, 42179, 64641, 2521, 54515, 11069, 37636, 29986, 19207, + 38130, 62445, 58178, 35479, 24973, 30622, 5465, 27267, 56590, 51996, + 65107, 52589, 35478, 58383, 29626, 51844, 12754, 62181, 53814, 20862, + 6402, 5397, 12194, 56228, 38309, 43393, 21707, 56327, 48579, 18676, + 22727, 49360, 41942, 33995, 22512, 30645, 10070, 44465, 24700, 34696, + 992, 1155, 20154, 61673, 26882, 55122, 62187, 10268, 1189, 11398, + 31419, 39413, 2584, 48671, 47194, 19952, 24501, 10007, 33084, 22365, + 48434, 17636, 65129, 59320, 9350, 61209, 26753, 62487, 49013, 18220, + 18768, 32700, 52154, 594, 64778, 48586, 57296, 12167, 52515, 23573, + 29278, 7082, 40653, 26898, 11837, 5572, 53007, 26349, 15655, 62825, + 30335, 15802, 21245, 25911, 61084, 57027, 58292, 4987, 4810, 35583, + 36318, 2804, 22250, 52900, 25553, 38319, 28053, 25556, 23926, 11281, + 55286, 20082, 56496, 4595, 22849, 41752, 52838, 25575, 59348, 35617, + 37423, 30362, 21992, 14305, 29337, 35410, 35828, 38644, 6166, 62614, + 52894, 47540, 132, 492, 10846, 452, 42327, 61885, 48818, 17609, + 39526, 36437, 17144, 14649, 36686, 775, 48875, 40523, 48519, 45677, + 4284, 45326, 60673, 61133, 31436, 41110, 55674, 38552, 4868, 54096, + 25183, 46860, 36306, 61303, 36700, 30457, 32448, 47263, 4994, 43936, + 51378, 62575, 39188, 39350, 38844, 43116, 49134, 33929, 56990, 8944, + 22675, 52538, 61917, 24889, 1489, 33832, 31359, 33949, 3859, 28269, + 39522, 10459, 52547, 41346, 48185, 36238, 48218, 63230, 10532, 42171, + 56197, 47739, 7659, 14473, 42485, 5017, 47576, 31248, 36420, 52764, + 49454, 27465, 34431, 16945, 52553, 46630, 48852, 16078, 9299, 41659, + 25817, 63543, 4768, 65177, 52598, 7324, 16295, 59196, 4977, 20703, + 6302, 35226, 54420, 30580, 2737, 2267, 22397, 17250, 59102, 56872, + 1026, 47462, 26992, 9117, 47166, 24886, 32533, 23640, 9277, 2275, + 33182, 27571, 63040, 7255, 18004, 36238, 16813, 45822, 30705, 16837, + 10902, 38274, 22082, 15553, 32740, 40366, 38231, 36650, 36384, 62068, + 46691, 22202, 5341, 49674, 54327, 27181, 59590, 15406, 32086, 37460, + 27712, 44683, 54708, 585, 43195, 50484, 55824, 57533, 29338, 4429, + 16107, 54381, 41404, 6088, 1315, 42420, 2215, 19021, 32305, 10041, + 29777, 27699, 6652, 47048, 40586, 1451, 63575, 53055, 40201, 42749, + 55326, 10399, 63249, 56810, 40424, 15170, 11199, 57135, 19561, 54571, + 4851, 1579, 59495, 51321, 8921, 27800, 25175, 48630, 17427, 64629, + 16276, 24268, 32389, 32260, 23867, 10071, 26812, 17391, 50853, 21782, + 58363, 14214, 14722, 33210, 20196, 10019, 37262, 40289, 63680, 22703, + 62963, 6620, 16235, 33341, 16955, 14636, 25055, 27348, 50416, 37132, + 45403, 38218, 3539, 62888, 27439, 30539, 9653, 7531, 9511, 56953, + 10741, 28662, 22161, 17838, 58774, 37420, 10221, 64604, 41467, 24938, + 21297, 8726, 2370, 12244, 32438, 38951, 37947, 13259, 7362, 11104, + 40452, 15482, 31155, 22512, 55106, 56720, 17092, 10603, 29438, 25608, + 28543, 55533, 1817, 1571, 6079, 60248, 47725, 57464, 24673, 45496, + 64130, 29217, 18567, 11720, 37610, 27159, 49544, 31886, 41018, 47000, + 7031, 2337, 30885, 61380, 28751, 59787, 17462, 14920, 22456, 59337, + 40618, 5937, 52600, 55535, 15591, 28642, 54393, 1128, 12317, 34081, + 114, 32091, 120, 27723, 45109, 28714, 31103, 60840, 25237, 34324, + 41484, 46553, 12274, 41210, 63976, 60526, 4707, 20799, 46850, 24980, + 18046, 34760, 23628, 42219, 44743, 9763, 42035, 30582, 50098, 11972, + 22713, 10924, 14934, 30570, 21296, 40619, 11185, 57248, 32149, 45452, + 9874, 11615, 20358, 4561, 13863, 53712, 57569, 34299, 34595, 63536, + 19451, 56121, 62859, 24781, 47482, 52538, 27934, 43565, 4048, 22819, + 42591, 51789, 57428, 3485, 27200, 39431, 52222, 2565, 9837, 29837, + 14783, 32455, 15410, 3345, 55419, 41157, 5129, 48263, 1700, 8688, + 40900, 43394, 55668, 24826, 38227, 19515, 7623, 41506, 19507, 61617, + 19602, 49966, 51060, 63722, 3332, 64631, 5732, 49495, 32311, 24862, + 54749, 10581, 8851, 61532, 15400, 57808, 59072, 10744, 47501, 50178, + 24133, 14289, 55161, 37465, 61378, 56987, 41033, 42359, 19142, 34727, + 31161, 10067, 8526, 54746, 64484, 40572, 48859, 22463, 61175, 43902, + 46894, 2233, 14710, 64093, 34467, 29521, 10538, 35390, 40488, 26286, + 8358, 36134, 62680, 20770, 21365, 16857, 55608, 29152, 56035, 18916, + 23564, 13260, 3428, 7607, 20183, 9067, 41636, 24810, 28654, 4602, + 52779, 63648, 65080, 6042, 6828, 58889, 36484, 18088, 28651, 1793, + 40071, 555, 19786, 65238, 61809, 2407, 58094, 43303, 39466, 27278, + 21394, 39143, 50990, 44694, 2280, 17793, 36866, 45094, 42151, 43030, + 13393, 44969, 47158, 10977, 5288, 22149, 3315, 60604, 15563, 31590, + 59168, 31419, 63922, 39348, 19818, 16886, 38179, 3619, 65304, 7013, + 61677, 19048, 25293, 29667, 23486, 21001, 22521, 45653, 39330, 62029, + 29922, 40420, 47640, 18259, 56139, 42598, 62931, 16447, 28094, 4314, + 28170, 62607, 53115, 10770, 18300, 48267, 14589, 49515, 15108, 7899, + 52005, 19896, 21972, 50483, 43782, 15933, 17233, 51753, 53324, 6615, + 6795, 53798, 4169, 11833, 18775, 33147, 36765, 45393, 55051, 395, + 25730, 22217, 55510, 26406, 54194, 31657, 37305, 60407, 12789, 10777, + 22566, 3347, 5194, 22340, 64307, 49329, 6321, 56665, 379, 5369, + 12691, 54187, 48018, 43509, 64975, 1784, 50210, 39076, 22371, 29639, + 10151, 31021, 58034, 50410, 3523, 23089, 2167, 63523, 24126, 39737, + 25671, 27363, 31646, 48206, 20924, 45358, 52575, 21672, 57924, 47258, + 29648, 33406, 9604, 55669, 8074, 17916, 20074, 38918, 42637, 22867, + 57038, 63468, 37112, 14314, 41924, 25508, 30565, 9906, 60544, 18982, + 44255, 12183, 1510, 46254, 20097, 8722, 42158, 13976, 29356, 51931, + 34865, 47460, 53732, 46268, 16338, 50906, 58183, 58497, 40203, 4935, + 1708, 8716, 64531, 10725, 63060, 32901, 11561, 15704, 23119, 63406, + 26752, 15957, 63343, 84, 22215, 31325, 37857, 17889, 55380, 9383, + 19309, 40719, 54508, 19228, 44362, 40887, 65073, 55676, 40350, 13767, + 3753, 27806, 38034, 58194, 44903, 55252, 29967, 18370, 52824, 24173, + 54551, 51752, 53036, 50004, 12738, 22196, 41182, 28885, 10451, 16704, + 25058, 6784, 29174, 32518, 56555, 23334, 61848, 35187, 51286, 61696, + 57187, 23571, 21046, 23024, 39509, 8107, 8956, 45535, 25724, 13413, + 41155, 54597, 57424, 27942, 56630, 59846, 51628, 28156, 14367, 36602, + 1131, 16307, 24716, 6469, 47771, 19078, 65184, 22757, 58465, 2547, + 61427, 36619, 13161, 60327, 41127, 21280, 64519, 60313, 43784, 18984, + 21999, 40755, 34783, 45636, 20109, 33194, 47444, 58449, 42754, 29551, + 31357, 3502, 14268, 32445, 40327, 33820, 46001, 4947, 57983, 13758, + 9528, 2876, 45246, 55103, 7812, 60105, 48367, 37394, 14269, 60833, + 50622, 5333, 5309, 37615, 12575, 1999, 52324, 31417, 62520, 1944, + 11160, 34385, 53228, 26584, 60892, 19940, 35845, 8231, 42380, 7471, + 31485, 25876, 44466, 51538, 12393, 36673, 8073, 53693, 62467, 4780, + 25996, 24135, 6994, 26632, 42553, 52317, 5112, 59977, 57447, 40279, + 34968, 51775, 13121, 47078, 43618, 23130, 27614, 3754, 18748, 5260, + 37502, 56800, 1166, 56396, 53604, 53817, 32426, 7862, 44875, 35525, + 7383, 37583, 14214, 2062, 32249, 31719, 18871, 47682, 29150, 28695, + 60203, 135, 56103, 50410, 5073, 2931, 34257, 58392, 4309, 13460, + 40031, 60013, 14046, 64809, 1446, 4809, 15840, 1524, 38196, 36672, + 16542, 29223, 39006, 37473, 63936, 18299, 59877, 27284, 30860, 32096, + 22084, 45469, 10052, 36175, 47769, 45629, 40220, 30267, 59437, 17911, + 21975, 17701, 63138, 36080, 2301, 21711, 20152, 496, 64187, 38536, + 22460, 35973, 16471, 22054, 20877, 21318, 37454, 2834, 34124, 12341, + 58891, 59517, 38560, 51518, 43334, 65201, 24482, 28380, 6392, 57218, + 24940, 731, 52726, 55267, 29497, 18744, 14641, 61589, 64057, 9508, + 27017, 2041, 37876, 25639, 5449, 30471, 48472, 55650, 33091, 54103, + 41057, 43757, 32649, 32861, 53859, 54110, 24517, 57778, 27895, 63851, + 19254, 8333, 35712, 57255, 9800, 38480, 55129, 52840, 45518, 3646, + 27805, 31178, 17886, 12363, 402, 1484, 8265, 34572, 55986, 60055, + 57525, 45774, 46424, 39647, 27737, 31682, 29444, 61026, 4949, 38230, + 61259, 29326, 29100, 1182, 2654, 58176, 9695, 15345, 37428, 11686, + 5927, 7569, 21591, 32581, 42318, 14210, 16968, 790, 48170, 43643, + 12944, 3788, 25783, 19962, 26725, 41521, 3536, 50034, 44021, 15022, + 26250, 19104, 23889, 7401, 17453, 18684, 35833, 22153, 44496, 38474, + 48384, 55829, 51088, 49231, 43484, 14074, 63340, 38817, 57804, 36779, + 15808, 30616, 55270, 36835, 23388, 56161, 34748, 17670, 65345, 62000, + 31402, 55973, 54775, 1173, 38847, 23840, 62606, 40168, 51270, 50278, + 47407, 34015, 6896, 43225, 56157, 51774, 44959, 9292, 1486, 7341, + 21969, 33070, 62432, 63141, 26535, 31168, 44218, 9092, 2961, 59778, + 5632, 21697, 42649, 62124, 47154, 38969, 59720, 47576, 11938, 41384, + 33080, 63933, 23824, 63795, 6456, 53525, 9443, 16673, 61973, 19616, + 62470, 58538, 65035, 20290, 10077, 41448, 2248, 39496, 56035, 33002, + 5657, 55240, 24930, 59880, 49460, 43302, 15821, 44176, 1697, 56243, + 6205, 60031, 33477, 1391, 51335, 63179, 53004, 60399, 12406, 23741, + 18354, 14170, 16298, 24476, 9263, 5005, 6924, 26020, 27709, 33119, + 492, 57366, 62343, 14846, 10938, 25193, 9711, 9848, 29022, 47774, + 3708, 36182, 20788, 64689, 41695, 14435, 17575, 37675, 64928, 62602, + 17513, 34516, 53482, 60893, 49228, 20358, 64495, 35250, 3008, 40989, + 52473, 33000, 55274, 49035, 6671, 7239, 62813, 8456, 64064, 38149, + 14199, 3430, 35215, 47973, 62298, 20354, 49530, 9594, 13446, 62935, + 4137, 18442, 24848, 23340, 63536, 64397, 42881, 51310, 41729, 3925, + 12366, 8600, 61425, 44231, 44271, 12238, 10725, 27847, 32414, 14986, + 11673, 8498, 20141, 49645, 27276, 29829, 37508, 19511, 51340, 41371, + 5430, 22610, 7129, 42387, 16576, 42889, 29177, 28112, 32989, 12374, + 8472, 42009, 47497, 11352, 9241, 56033, 27408, 54115, 60733, 64418, + 48593, 30130, 31086, 63842, 20372, 50999, 3625, 59736, 15493, 19987, + 44038, 50087, 32205, 1569, 57318, 11849, 22476, 27565, 15544, 54279, + 12788, 16195, 26599, 59812, 53829, 29857, 16996, 41754, 38497, 57038, + 22603, 31484, 58443, 19268, 24885, 19962, 44224, 56904, 15129, 27779, + 31026, 41563, 27103, 58501, 8029, 23752, 50563, 25936, 54408, 55646, + 41186, 16280, 64090, 65336, 21285, 9113, 40791, 8376, 44487, 24405, + 19701, 6290, 35140, 32505, 23903, 58884, 12895, 20830, 45765, 39460, + 8720, 29355, 19038, 34897, 2569, 40727, 50427, 52171, 57316, 12236, + 40777, 21599, 12523, 17383, 29603, 52283, 43139, 14236, 16274, 51424, + 21301, 11127, 18146, 55604, 51290, 32189, 52834, 8887, 1123, 14321, + 34871, 24526, 24003, 37490, 11229, 12603, 40569, 53313, 21436, 54446, + 60477, 54497, 23138, 29300, 51526, 63063, 37219, 21582, 6070, 44369, + 52170, 30557, 43427, 58723, 54843, 2872, 34755, 53100, 5799, 36896, + 47283, 14359, 11690, 26187, 62180, 33917, 4996, 49244, 17187, 38632, + 51890, 2804, 20931, 64947, 25128, 64354, 36968, 61005, 41262, 38774, + 14963, 44636, 22228, 43123, 45573, 11518, 59155, 11042, 25522, 44586, + 20354, 28419, 64196, 35381, 32177, 46751, 20521, 9073, 28966, 19193, + 7674, 21488, 17138, 5154, 8616, 46438, 52462, 59628, 63173, 17708, + 19095, 59318, 37997, 1633, 60803, 19047, 63311, 25643, 65408, 18294, + 8795, 13851, 52296, 45605, 16394, 26799, 11490, 11213, 20037, 27632, + 11927, 55381, 24307, 43580, 61327, 42940, 5922, 26910, 27401, 26326, + 46801, 38489, 36660, 59668, 37719, 8964, 38572, 48507, 36468, 44751, + 16276, 183, 46067, 25955, 6732, 65097, 51192, 4072, 51663, 29403, + 12268, 31904, 44972, 25012, 16385, 32432, 7583, 36678, 38756, 59391, + 50892, 53989, 54527, 65335, 51863, 37266, 36583, 5097, 21282, 54262, + 5737, 62157, 24932, 35178, 12972, 7207, 227, 43571, 39939, 48708, + 48398, 2763, 41426, 38435, 53817, 53850, 1305, 63537, 13365, 42564, + 45474, 25389, 9355, 48347, 40899, 7979, 45653, 10549, 51409, 35508, + 7610, 53785, 47137, 55306, 47364, 42515, 58044, 7305, 33120, 48807, + 36766, 46120, 18737, 17570, 47787, 6943, 60944, 1932, 64559, 32675, + 46181, 55865, 7952, 28109, 33435, 59006, 44947, 6041, 22019, 18945, + 27847, 56073, 60231, 28202, 9874, 51305, 13448, 44342, 12886, 2734, + 55063, 63082, 16447, 13304, 35533, 17252, 64299, 36919, 54536, 53388, + 32055, 49129, 14658, 10922, 17122, 64769, 39247, 17298, 59105, 35811, + 7987, 57338, 36991, 64140, 43677, 53356, 40621, 61391, 23556, 34646, + 47533, 27834, 30557, 48703, 5463, 33303, 30838, 64532, 19975, 39950, + 54002, 61089, 59940, 42472, 30689, 43291, 10829, 26606, 56059, 63961, + 29217, 41328, 53626, 10531, 13227, 32993, 17553, 37667, 59241, 15392, + 20876, 44793, 15096, 43730, 4485, 13546, 16477, 58602, 58048, 13752, + 2034, 1866, 44452, 5388, 44779, 24707, 16215, 30992, 35972, 26553, + 29684, 24857, 51145, 65442, 35436, 46466, 16385, 42925, 39926, 49812, + 20920, 23871, 53556, 12449, 41576, 3864, 36580, 11056, 48323, 42196, + 29759, 35154, 59296, 48270, 42226, 59667, 16767, 24735, 30902, 53260, + 51898, 6020, 19086, 789, 43083, 53213, 49564, 26393, 35124, 19090, + 36257, 56578, 47247, 50448, 12560, 43730, 1586, 50609, 4813, 9171, + 20478, 3130, 45447, 25063, 5573, 51326, 29358, 505, 8661, 22815, + 10003, 62868, 61477, 62580, 65308, 39826, 7707, 48518, 18592, 29164, + 52472, 59189, 61541, 53937, 32993, 42872, 24883, 19986, 42635, 56514, + 64148, 21402, 35201, 26495, 63787, 64634, 9493, 22973, 19119, 59650, + 55777, 16104, 26498, 17196, 45561, 56750, 60402, 6227, 32175, 62977, + 19211, 23553, 45825, 40251, 38166, 1509, 39331, 64091, 60339, 56547, + 60837, 17517, 56718, 41286, 49858, 1288, 33729, 26641, 4676, 49393, + 56006, 6515, 64918, 18653, 28951, 9304, 28520, 5204, 9572, 39196, + 25977, 10417, 20080, 64858, 52484, 11846, 18057, 19171, 31689, 30108, + 62626, 43979, 28598, 52952, 36110, 42397, 7986, 13625, 25563, 34222, + 17415, 28861, 19145, 143, 10985, 61411, 38118, 5788, 12711, 15244, + 31175, 28593, 48621, 34555, 12901, 32764, 38579, 65019, 51601, 59374, + 10822, 37664, 14869, 41291, 60145, 12325, 5225, 43874, 35912, 19060, + 34319, 2134, 35600, 64965, 15500, 64922, 2510, 61150, 15875, 43387, + 44011, 22648, 29039, 8151, 47489, 50242, 12412, 24555, 30148, 4607, + 56579, 38218, 12815, 36554, 5152, 13802, 18314, 65146, 47346, 44889, + 49526, 39200, 45295, 29965, 8725, 7853, 52193, 25048, 19513, 17073, + 61825, 32378, 15207, 53822, 20142, 24400, 54438, 5611, 56394, 27435, + 47594, 4596, 14770, 32060, 43544, 26239, 29912, 29739, 38838, 45561, + 63998, 24646, 16433, 30623, 34064, 8918, 44489, 44057, 36511, 28814, + 44573, 10816, 20086, 18717, 59214, 29184, 3547, 5603, 54739, 13145, + 9059, 49599, 40153, 18303, 37490, 15367, 13141, 55842, 59471, 63633, + 6055, 42184, 37088, 7918, 21484, 15957, 32006, 15031, 15584, 41133, + 38039, 24319, 62830, 9840, 3572, 52968, 24791, 25316, 25927, 23889, + 52602, 22001, 19804, 54701, 16965, 40517, 37912, 57327, 63673, 27544, + 38042, 61857, 11667, 4873, 28908, 65044, 4636, 18343, 60773, 47026, + 18447, 6413, 26527, 21615, 61986, 64037, 785, 59230, 819, 12813, + 50504, 33120, 5648, 14614, 64455, 27383, 47075, 41961, 58743, 59704, + 44856, 24260, 14796, 8159, 27053, 6882, 51063, 49788, 23093, 61433, + 1217, 26316, 45576, 21469, 2955, 27171, 32299, 43586, 6879, 31748, + 54167, 52894, 22578, 65491, 21513, 6701, 10808, 38817, 62821, 34830, + 10084, 18983, 38344, 47499, 12795, 54735, 34345, 48246, 64468, 58091, + 54698, 38318, 52142, 59144, 51073, 45505, 65070, 43869, 32125, 32171, + 23667, 34910, 33080, 35261, 600, 34926, 30168, 47257, 11845, 50097, + 56449, 7258, 62642, 26367, 30043, 17360, 28986, 63476, 26427, 55784, + 44887, 34852, 63472, 39211, 9408, 43372, 16173, 46429, 14172, 5476, + 56846, 64837, 59617, 6640, 25928, 5773, 25102, 64758, 46066, 21951, + 46977, 43305, 14570, 54383, 57910, 5636, 41189, 34680, 34204, 64723, + 6935, 14174, 48469, 36075, 65147, 23763, 52363, 61690, 14783, 853, + 10474, 38777, 38864, 62452, 64317, 20542, 33533, 35377, 53160, 28973, + 37066, 46338, 53119, 21519, 49236, 50675, 32450, 40827, 62812, 53350, + 38711, 60656, 55793, 31483, 15138, 32261, 61630, 17675, 22946, 53943, + 16878, 25165, 48811, 4834, 44215, 28315, 59547, 23755, 34698, 23503, + 23931, 10970, 55509, 7111, 55765, 8805, 4723, 43145, 21293, 48550, + 55730, 11391, 26653, 25295, 1534, 11491, 30736, 39476, 41006, 47158, + 15515, 13735, 19563, 47849, 24179, 23266, 10425, 48159, 8207, 33564, + 51747, 25738, 55259, 41406, 41607, 20850, 37909, 50223, 47355, 54740, + 57928, 40140, 16091, 27559, 21603, 35571, 11121, 46906, 64886, 53822, + 43071, 7865, 49160, 53966, 47083, 6094, 59415, 13501, 8648, 28003, + 10925, 6325, 19453, 57222, 50459, 32671, 20052, 40806, 5589, 15219, + 10145, 18810, 43241, 56110, 40908, 8129, 21021, 9186, 126, 26148, + 36517, 51431, 28260, 58103, 2174, 13615, 19747, 42398, 46442, 22455, + 47064, 21836, 43912, 34074, 47178, 27111, 25999, 41024, 34479, 53512, + 53415, 56371, 48151, 41246, 7652, 35090, 377, 40113, 61792, 32286, + 25945, 26564, 10483, 54001, 8722, 29013, 5265, 47567, 16069, 45822, + 39489, 27925, 5342, 35005, 24502, 16846, 25664, 721, 45964, 4453, + 16397, 13243, 36896, 19572, 48659, 7268, 45580, 10427, 62166, 63581, + 23614, 31788, 25654, 52288, 947, 63266, 48317, 14390, 48341, 36786, + 10631, 45203, 58479, 4122, 27854, 24219, 7753, 55895, 20242, 2920, + 25445, 4818, 47329, 38627, 31188, 33850, 24392, 40175, 53301, 44653, + 36054, 2726, 22219, 37519, 12617, 34235, 36922, 60989, 472, 43007, + 46046, 47068, 5148, 12543, 23497, 52747, 64924, 28618, 55383, 60471, + 8691, 18128, 58222, 5708, 31136, 19429, 3415, 52617, 47978, 42597, + 56628, 32876, 29294, 28018, 16157, 51149, 10951, 26713, 31195, 8967, + 32472, 13075, 34368, 1360, 28473, 59623, 16929, 9770, 3899, 38701, + 39154, 9970, 12314, 55853, 23548, 49046, 21694, 9765, 9277, 50276, + 55342, 24169, 14300, 30461, 53394, 31909, 3399, 46184, 55522, 10814, + 42475, 45635, 35633, 19927, 39567, 7444, 5520, 44784, 21326, 35261, + 62368, 61864, 34095, 19470, 30392, 19619, 44898, 58485, 26286, 31494, + 61978, 22224, 42310, 35999, 14593, 56419, 24117, 22050, 21341, 33509, + 60880, 25486, 13245, 27317, 18518, 28335, 12639, 30250, 19414, 31676, + 50466, 23559, 1727, 56864, 56354, 12045, 40194, 34646, 31221, 36011, + 5606, 8685, 1153, 50385, 64616, 39595, 13176, 27874, 23628, 55758, + 15730, 61384, 17860, 36461, 31909, 52513, 41404, 3582, 25923, 22935, + 49344, 27121, 18289, 62029, 44683, 64889, 63199, 46453, 62509, 54634, + 4616, 61950, 4823, 29757, 17160, 41067, 41286, 37937, 29717, 22207, + 38346, 43404, 37275, 18836, 59122, 1792, 5112, 3148, 19670, 42536, + 10961, 57950, 36097, 46641, 1039, 37842, 23289, 12950, 18554, 54984, + 30571, 58371, 34800, 32817, 22520, 19803, 61249, 52641, 16790, 9941, + 16810, 14909, 24484, 10561, 45037, 28879, 399, 2921, 50953, 3320, + 51482, 45970, 20635, 64901, 13133, 48930, 40657, 31194, 34300, 41563, + 24071, 40074, 52210, 49733, 42496, 54537, 10683, 2730, 42178, 33401, + 29963, 41531, 56590, 10656, 39118, 32464, 37498, 55291, 61389, 48077, + 40237, 26091, 24570, 46240, 32567, 27150, 41048, 1382, 13706, 53161, + 45961, 45997, 56985, 8769, 16629, 57684, 61580, 49225, 5780, 35727, + 22813, 18165, 48473, 63495, 33042, 43309, 43580, 37406, 52567, 33693, + 13794, 6736, 55223, 24553, 9450, 10677, 14647, 47358, 10966, 11171, + 24591, 45700, 29076, 3952, 35654, 38255, 53161, 5205, 42473, 17333, + 63225, 12654, 23527, 62746, 34357, 48093, 25228, 44838, 34529, 3343, + 36504, 2616, 33280, 2838, 61948, 62901, 62815, 38198, 56112, 19801, + 37756, 63099, 12339, 12717, 22545, 41095, 16160, 13721, 6976, 36970, + 48746, 37649, 60764, 57258, 22196, 47339, 9553, 9058, 45136, 41937, + 43954, 23430, 40529, 37970, 44125, 21572, 41294, 54291, 30925, 31622, + 39862, 23586, 1276, 19604, 12747, 20075, 2291, 37847, 61245, 21571, + 28911, 60600, 60516, 5154, 26162, 64423, 19736, 4206, 55907, 1592, + 37680, 24946, 8098, 43879, 24214, 56429, 46892, 40444, 54532, 22983, + 8360, 25354, 35677, 60510, 5132, 13969, 27306, 64511, 12335, 22595, + 43695, 21745, 51179, 8804, 39977, 24235, 6126, 27850, 40103, 52133, + 53022, 56836, 11778, 13387, 29606, 60567, 52091, 12046, 52898, 29283, + 46703, 38805, 10339, 54309, 38073, 55058, 48991, 57110, 23204, 8111, + 33720, 40624, 39563, 44326, 49511, 35984, 62480, 15472, 773, 40054, + 64757, 3221, 5942, 50794, 40346, 55970, 49842, 53498, 31507, 27321, + 18673, 15774, 43237, 20546, 38259, 22844, 31838, 51007, 28585, 37574, + 64398, 37054, 50051, 23041, 485, 58471, 43159, 59489, 54379, 43317, + 29162, 30225, 32662, 18242, 45151, 32014, 63691, 33978, 45498, 52339, + 40308, 65522, 42575, 19919, 18874, 63633, 14155, 32434, 57567, 14828, + 63390, 49223, 49467, 6256, 47492, 48923, 15826, 37944, 29359, 21756, + 55182, 53951, 63763, 26364, 36893, 16815, 33357, 24981, 20585, 54488, + 28593, 5350, 64688, 61756, 37102, 54044, 28737, 46919, 42848, 53996, + 15058, 32284, 37874, 63548, 39296, 29194, 14766, 17633, 50531, 26653, + 48867, 21379, 20941, 6353, 30450, 24221, 62496, 5090, 24245, 30113, + 44873, 57150, 46475, 41528, 64733, 54044, 56580, 57255, 11726, 25894, + 18726, 58957, 56522, 46290, 60250, 60745, 60464, 19151, 8694, 42040, + 10671, 7578, 54888, 50972, 20861, 3375, 5115, 52824, 52017, 10205, + 329, 23767, 6718, 10802, 50750, 11853, 39949, 52246, 35012, 26518, + 52662, 36084, 18960, 19258, 62298, 53523, 3721, 29714, 39711, 16899, + 23120, 55300, 5577, 33842, 44144, 62697, 40690, 29598, 17060, 43408, + 36582, 14757, 4031, 23772, 36893, 44536, 28348, 13576, 29269, 38062, + 48226, 16651, 54604, 27509, 41363, 38422, 34071, 38883, 30770, 33595, + 546, 59626, 54447, 7463, 20165, 32106, 56881, 33808, 48255, 16865, + 48991, 26026, 4817, 22333, 6602, 23890, 2489, 54482, 1530, 62542, + 45513, 30567, 51731, 9260, 996, 61291, 4387, 23878, 29333, 41029, + 3339, 13159, 63244, 49865, 36104, 62088, 46006, 64710, 45790, 32179, + 59000, 40892, 37024, 64009, 33927, 57515, 39198, 59359, 31207, 31494, + 27883, 27650, 9853, 20679, 11785, 43803, 21203, 47516, 9268, 14638, + 30313, 21311, 7112, 48956, 51634, 17635, 35151, 6409, 15794, 1994, + 7083, 19704, 4913, 59123, 1756, 57362, 27548, 34801, 61807, 10277, + 52601, 61143, 3716, 24073, 13957, 36688, 36474, 20990, 62829, 7371, + 12345, 50225, 9509, 60371, 23835, 33629, 17383, 54908, 55672, 37774, + 65483, 46585, 46028, 48898, 62207, 41803, 48263, 4904, 43428, 20756, + 28786, 60362, 60230, 61578, 57273, 20038, 57845, 13191, 48025, 30455, + 22788, 7612, 21670, 39604, 60766, 30470, 62393, 65134, 43392, 53465, + 38774, 42749, 10006, 12504, 49270, 756, 61494, 37511, 38421, 4272, + 31283, 37400, 19929, 41584, 46111, 45665, 24445, 33438, 49889, 53231, + 44954, 23084, 9454, 29492, 31473, 23322, 6055, 54235, 27735, 53524, + 47183, 32572, 59197, 55863, 64168, 46059, 12507, 43508, 12073, 42091, + 49657, 55309, 29405, 62208, 43866, 23997, 730, 55458, 38597, 756, + 3421, 35086, 36529, 7616, 14975, 34294, 22156, 42439, 50838, 45912, + 48552, 48625, 43051, 7916, 63171, 63010, 56211, 36022, 40497, 42789, + 36396, 64188, 47272, 60068, 33590, 28114, 38056, 53587, 44107, 31121, + 6277, 39213, 36732, 5478, 42770, 50647, 46346, 28870, 25836, 7456, + 63166, 39039, 18528, 43434, 10981, 57130, 65018, 1442, 17644, 6845, + 19371, 20394, 42387, 59709, 15509, 19417, 62673, 20112, 65399, 21208, + 32581, 7536, 432, 53549, 45396, 48508, 28913, 14484, 59875, 104, + 60804, 25993, 32434, 55381, 55091, 39651, 27731, 57660, 16086, 21914, + 52167, 53123, 9853, 23209, 51124, 62898, 23094, 33039, 27460, 13119, + 52091, 55791, 17564, 48773, 63803, 63703, 29943, 23507, 36483, 15901, + 26719, 45985, 457, 9573, 3848, 39390, 40661, 47680, 4681, 14437, + 1356, 29935, 7991, 11917, 50651, 57669, 224, 55263, 26620, 37290, + 58401, 3043, 49768, 59, 48933, 51475, 12313, 8207, 30330, 23793, + 52219, 32917, 63953, 47924, 32047, 1305, 12882, 54814, 15866, 21093, + 15514, 59881, 42832, 13444, 2130, 52575, 27385, 24226, 5997, 31113, + 1630, 1807, 46845, 6783, 38899, 354, 43955, 7006, 24896, 6330, + 60401, 48984, 8077, 35872, 41358, 63974, 1321, 44808, 19749, 22552, + 48471, 53239, 34074, 60684, 34006, 42814, 27179, 21373, 268, 45028, + 35758, 63091, 8142, 60578, 38253, 62232, 59854, 47879, 49434, 1451, + 53666, 30396, 42352, 5005, 43373, 52962, 40169, 1145, 2348, 53143, + 40470, 48337, 36767, 49560, 65438, 11027, 2001, 8704, 16853, 31338, + 7494, 5167, 38904, 40033, 59585, 53190, 35587, 31666, 16303, 44238, + 45103, 19005, 20531, 36856, 3339, 31764, 46031, 39167, 25398, 8627, + 49835, 5418, 15799, 29517, 37254, 55277, 14841, 32577, 42038, 15279, + 42598, 3500, 65245, 11431, 12446, 60184, 57258, 49119, 64852, 60497, + 2889, 63579, 9157, 7512, 13801, 31827, 9942, 44401, 45799, 7323, + 42442, 19031, 13596, 12323, 7837, 48272, 2496, 58779, 15359, 46811, + 55289, 52527, 51440, 60101, 36612, 64624, 1012, 37978, 52478, 20050, + 44020, 52985, 43088, 24914, 49329, 9537, 26037, 5552, 37093, 60967, + 17642, 28122, 20472, 10538, 11761, 53993, 3420, 43933, 43265, 57156, + 34693, 37155, 11021, 61794, 62600, 314, 24815, 59566, 31311, 31725, + 49969, 36483, 45175, 65453, 23672, 19798, 9670, 31291, 19598, 63475, + 33612, 5820, 12810, 33504, 34581, 6894, 17566, 45534, 45191, 9594, + 35325, 56205, 6239, 48991, 12135, 1327, 36418, 21134, 45522, 47237, + 46595, 46997, 7863, 5589, 32368, 44147, 22390, 50189, 60377, 42634, + 57702, 33026, 53278, 37298, 27773, 13265, 43636, 11881, 55961, 33638, + 34996, 57641, 12649, 4891, 25695, 58578, 33018, 42872, 5426, 62023, + 26850, 38169, 37730, 36629, 34307, 64429, 58229, 21836, 45820, 28442, + 42429, 42610, 42290, 53438, 58225, 23437, 4214, 15057, 21353, 9311, + 6995, 65510, 61558, 26543, 36343, 57488, 23387, 38593, 50620, 47393, + 8812, 1511, 5969, 2446, 43202, 31792, 59785, 55662, 1817, 52287, + 29376, 39457, 57414, 59448, 41702, 51470, 50007, 723, 25641, 13316, + 21833, 28562, 64579, 57794, 7264, 5135, 63581, 49298, 26407, 23663, + 44446, 23823, 26884, 50419, 63573, 776, 18039, 10925, 33727, 51837, + 27375, 13647, 33909, 12998, 8777, 19486, 28533, 3539, 4070, 43014, + 55243, 40334, 48487, 13512, 15579, 58717, 6920, 4943, 13134, 20931, + 27542, 44832, 62103, 63526, 46606, 30958, 61729, 53419, 30420, 30797, + 55575, 27709, 60044, 1663, 49103, 51373, 60569, 9323, 10708, 1268, + 816, 64939, 1422, 55187, 54040, 19140, 26256, 51483, 54528, 25713, + 27518, 21705, 50812, 64024, 22681, 12617, 61598, 32094, 37097, 9115, + 65069, 29910, 47110, 56851, 48005, 2004, 59010, 36218, 47626, 13664, + 31478, 54867, 29002, 18502, 45480, 9272, 6063, 14923, 52438, 19810, + 3293, 55418, 14241, 44102, 20398, 39580, 44441, 61789, 6599, 16325, + 4321, 3837, 23255, 25099, 14591, 49507, 28012, 21330, 42050, 21714, + 65393, 46403, 38352, 27604, 51272, 24155, 51787, 42911, 18048, 15903, + 36128, 13459, 24371, 4718, 39020, 23776, 22783, 57326, 51594, 25413, + 19615, 16296, 21565, 2035, 50469, 46000, 48759, 14736, 59862, 21757, + 36548, 37975, 11170, 19543, 14166, 29020, 4158, 43118, 26541, 26605, + 21728, 17158, 36579, 17172, 17046, 34640, 63633, 36154, 44612, 2901, + 36450, 62438, 48696, 3723, 59442, 58786, 12140, 3978, 62690, 60414, + 29783, 51928, 9659, 25518, 42864, 5344, 42620, 21710, 60224, 8450, + 52225, 19782, 29218, 59332, 33682, 16201, 1946, 32293, 11462, 34295, + 38224, 20498, 59410, 21027, 48552, 20466, 1011, 57646, 17918, 19804, + 53939, 18, 43651, 50408, 53978, 31867, 54703, 39715, 46133, 17935, + 38242, 21660, 25468, 8655, 1947, 32027, 59042, 61845, 55507, 4081, + 29630, 45501, 52727, 54123, 52369, 28385, 46081, 47456, 34995, 38332, + 28466, 30442, 39007, 7984, 33774, 42289, 59863, 14946, 4915, 56675, + 47989, 14083, 58551, 25024, 50256, 37290, 47262, 1489, 64903, 9309, + 47829, 10168, 30253, 40239, 37390, 44195, 15313, 32003, 9304, 4698, + 424, 110, 52058, 51192, 53338, 29883, 21035, 8119, 43110, 39688, + 46801, 19428, 41266, 56205, 18773, 63729, 44723, 11005, 25215, 13993, + 55221, 41988, 35892, 33406, 18119, 63217, 38248, 58622, 16327, 61806, + 43969, 13412, 41989, 32874, 13514, 44619, 20247, 44567, 49360, 14974, + 13881, 15887, 4445, 51434, 54366, 43410, 52558, 23733, 3287, 24042, + 7315, 30311, 20127, 16111, 1411, 44870, 25153, 36775, 1498, 30657, + 60518, 33541, 336, 2818, 20223, 54994, 16342, 51622, 13067, 64169, + 54640, 19849, 42245, 25884, 28074, 43194, 19950, 55541, 5304, 24974, + 62194, 31894, 14382, 56784, 1466, 50562, 47448, 3862, 44877, 23028, + 35639, 21039, 24483, 10925, 63001, 37816, 35784, 9932, 15059, 60366, + 58537, 3029, 61342, 733, 61567, 62420, 4236, 45463, 37077, 25498, + 23973, 28161, 40759, 47910, 16546, 14247, 27257, 2592, 5189, 54512, + 32417, 27262, 18259, 21388, 49522, 9033, 29999, 56841, 36246, 62842, + 11797, 6277, 5735, 5374, 51439, 22142, 65114, 28633, 14551, 7109, + 43528, 1382, 32810, 31377, 31194, 12221, 64325, 176, 46161, 57645, + 23775, 1497, 35568, 63464, 54787, 19740, 17350, 59838, 33704, 2938, + 12700, 10754, 56303, 34193, 50359, 52105, 29944, 38512, 40522, 208, + 8032, 37257, 12411, 15421, 61019, 4548, 5392, 53886, 26475, 26544, + 58550, 8462, 46011, 30932, 63726, 23254, 19738, 548, 8852, 15600, + 16535, 20367, 65293, 3462, 49333, 39605, 13837, 26329, 24907, 25270, + 35331, 28766, 63227, 12070, 17697, 18434, 46156, 20508, 11531, 32143, + 48743, 19818, 50971, 41511, 4971, 55014, 22351, 3564, 15469, 10215, + 41936, 7618, 58639, 61388, 10015, 19244, 4795, 61878, 64222, 41043, + 21885, 44373, 25913, 10789, 60539, 33504, 37615, 10906, 30737, 58398, + 43009, 60690, 29581, 17570, 61685, 16091, 20612, 39700, 40647, 44494, + 44495, 26025, 5997, 53016, 50214, 17332, 34054, 61462, 49750, 22102, + 60830, 45914, 29474, 47697, 48544, 15307, 9908, 36091, 20185, 42985, + 31166, 3662, 38437, 48941, 13362, 37404, 49592, 54158, 6554, 4704, + 12811, 63776, 9492, 21679, 55136, 54743, 19182, 46285, 40436, 27498, + 31194, 50779, 12993, 23891, 13774, 8110, 2797, 21746, 50811, 49084, + 14290, 17873, 37735, 41264, 12715, 29699, 59305, 11421, 25303, 16037, + 63297, 23502, 56781, 53382, 40977, 32827, 18333, 63715, 124, 48793, + 41247, 36427, 40346, 29065, 14522, 56737, 29461, 50544, 44754, 51008, + 59043, 65382, 38607, 19513, 14624, 327, 57031, 28087, 44870, 48360, + 51143, 24708, 19468, 26724, 55491, 13988, 38553, 26004, 54807, 16671, + 34276, 61084, 26553, 35805, 19434, 47522, 47102, 62889, 23178, 58778, + 62725, 34392, 39936, 41533, 16068, 50435, 30972, 9496, 11181, 61551, + 58974, 40385, 44540, 7054, 21649, 64292, 50080, 20510, 64129, 29691, + 64195, 63856, 38458, 58460, 29944, 63811, 23839, 12908, 45824, 59599, + 30147, 3121, 4921, 10205, 62823, 28806, 36004, 31763, 64273, 38183, + 53393, 8782, 8773, 56300, 40169, 21544, 10082, 43283, 43288, 25497, + 14236, 3254, 14229, 44729, 1682, 20827, 62991, 52643, 25484, 41386, + 30262, 31862, 43090, 5945, 10531, 58229, 64427, 42115, 26468, 65358, + 369, 27640, 44968, 2936, 12877, 13870, 58883, 61621, 46357, 55605, + 64991, 55735, 13247, 33544, 6272, 12255, 50688, 63774, 49714, 25120, + 43909, 65312, 38929, 63490, 12237, 14006, 16501, 37571, 45473, 60027, + 16376, 40600, 26673, 22031, 54565, 38417, 8141, 7498, 42174, 55706, + 51260, 50317, 41130, 15645, 20157, 7221, 13177, 36293, 56727, 45353, + 23190, 29150, 15199, 29843, 63752, 9432, 41926, 60588, 19684, 45418, + 5148, 24751, 4435, 27604, 42341, 37359, 30524, 36412, 11798, 46084, + 48781, 63802, 1389, 30241, 55851, 14959, 25194, 3969, 10356, 6992, + 28781, 24832, 60013, 6657, 54125, 24006, 50061, 20060, 62930, 3358, + 11301, 23824, 6640, 38757, 24682, 15078, 51489, 61469, 63009, 41503, + 55934, 53025, 58085, 15354, 60218, 51955, 23832, 41042, 49819, 34353, + 41316, 28003, 48021, 551, 18157, 55725, 60932, 18557, 27669, 16881, + 29061, 48657, 42475, 21848, 46066, 37088, 15409, 41395, 32121, 54045, + 56328, 25053, 56409, 62820, 35642, 36925, 53816, 29192, 17956, 24424, + 15651, 39816, 21054, 23267, 5666, 40993, 61256, 37382, 49015, 62589, + 45331, 20219, 48243, 30601, 27626, 64486, 52470, 49508, 15771, 63519, + 1665, 12147, 56613, 16282, 9465, 24465, 64255, 58984, 60667, 60516, + 7171, 35414, 6614, 23755, 63734, 64691, 61737, 22643, 3252, 34352, + 13850, 21385, 39676, 18143, 54832, 905, 6614, 21598, 18894, 3893, + 34717, 22541, 16973, 35746, 55213, 36730, 57269, 63596, 16297, 12510, + 18455, 36106, 53097, 21953, 6793, 51516, 23935, 44472, 55231, 23201, + 29285, 23375, 64066, 35173, 31812, 32001, 6224, 32279, 13667, 1124, + 2385, 53912, 50986, 40290, 43249, 47, 54226, 34721, 29150, 23738, + 12460, 25604, 51744, 30975, 47882, 6219, 31503, 53793, 20942, 2774, + 28406, 59438, 40333, 6279, 28227, 60373, 61791, 50884, 13927, 21813, + 11845, 21404, 58448, 54736, 13112, 26113, 19584, 14238, 970, 16435, + 31434, 56126, 28539, 30270, 13225, 42824, 41883, 19097, 61757, 23229, + 45526, 62192, 30179, 21664, 46433, 9980, 44655, 670, 57536, 31629, + 15881, 18664, 24113, 11177, 44449, 21793, 36024, 4559, 55568, 28275, + 50120, 45908, 54221, 29884, 26507, 24559, 8008, 57574, 47054, 34640, + 44262, 14421, 25484, 34538, 50586, 1401, 35606, 20946, 37148, 34691, + 31452, 29887, 38601, 27444, 2266, 6193, 12823, 65232, 7841, 49839, + 38067, 29490, 39424, 48425, 36921, 56313, 20048, 7035, 399, 49376, + 36953, 35602, 22495, 12844, 22244, 10665, 20783, 29246, 39390, 50733, + 42654, 26184, 14886, 3519, 573, 8220, 23540, 18135, 14471, 62240, + 48613, 23686, 24447, 42590, 13991, 7124, 64869, 30136, 9021, 42311, + 10516, 46136, 12271, 13445, 5627, 390, 34258, 32785, 9653, 9331, + 1775, 4913, 14664, 48305, 58131, 9200, 39927, 7589, 28467, 34624, + 33220, 50261, 51577, 34103, 45958, 64124, 29679, 28538, 41634, 22717, + 44999, 64439, 48745, 63988, 836, 33868, 34062, 20903, 62537, 28933, + 27202, 47003, 41340, 10754, 19822, 55939, 33815, 5815, 55692, 27604, + 17483, 19214, 51363, 30388, 10832, 42151, 42205, 62480, 22030, 14911, + 16710, 19916, 34657, 7982, 50211, 41750, 57613, 40202, 48365, 49108, + 45118, 9578, 24553, 55611, 58042, 24005, 41317, 16769, 30117, 51064, + 35473, 25770, 50928, 42470, 49655, 32895, 21344, 58553, 57752, 43422, + 2870, 57415, 54437, 53162, 1920, 4625, 5846, 37538, 2556, 50021, + 20331, 56143, 53668, 2083, 25021, 18647, 60891, 25500, 44416, 42352, + 23090, 39318, 55448, 46126, 52439, 19820, 7316, 51708, 16794, 12626, + 45515, 50089, 60317, 42935, 37394, 2842, 22424, 55491, 27927, 32158, + 10439, 24063, 18525, 9567, 31344, 50708, 58580, 55283, 36884, 21164, + 42004, 60434, 7730, 29301, 18230, 22626, 5554, 39236, 33103, 44399, + 31733, 14422, 63370, 31926, 31094, 47281, 59617, 57056, 26909, 31145, + 22392, 60172, 21024, 62846, 11670, 4421, 54934, 20003, 30784, 27155, + 16538, 42009, 29044, 11565, 63512, 47482, 46079, 41292, 19255, 33554, + 37627, 63258, 4963, 27048, 12009, 41289, 24822, 7514, 33382, 56257, + 63362, 64806, 16525, 13297, 1213, 13445, 65258, 1095, 7178, 53553, + 50454, 62650, 8352, 13064, 48116, 45700, 26713, 22537, 22150, 12526, + 281, 42619, 61037, 58691, 41689, 63276, 28155, 36838, 30799, 49623, + 16886, 17536, 34876, 28063, 27884, 33617, 10577, 59320, 13870, 21928, + 35519, 49701, 36458, 32622, 64346, 15515, 58345, 63452, 14697, 47493, + 49249, 64332, 46348, 16673, 10872, 10285, 20298, 54471, 25814, 14609, + 49079, 29770, 17637, 3553, 27326, 55159, 64296, 54832, 4753, 64954, + 50997, 20140, 27818, 54753, 45392, 9137, 17916, 24568, 934, 43767, + 32699, 52050, 56611, 18028, 52933, 12969, 55280, 57885, 51115, 47197, + 23948, 8013, 24928, 58584, 57990, 57000, 47229, 18707, 58324, 64401, + 32047, 34609, 8148, 10904, 5566, 841, 9436, 3335, 8122, 40513, + 61311, 43931, 39364, 64725, 62047, 43600, 40950, 64695, 33194, 42838, + 17648, 6609, 15411, 22303, 45440, 42406, 44077, 3994, 21741, 53974, + 63878, 38010, 36832, 46784, 10206, 5807, 58352, 33602, 64034, 30966, + 20937, 23196, 13769, 2956, 12280, 16242, 40875, 4350, 25685, 49904, + 44153, 32284, 35340, 13064, 32477, 34571, 11850, 10966, 27951, 43898, + 60802, 34597, 59600, 5101, 56153, 57611, 16679, 12853, 16180, 53423, + 23606, 46848, 20315, 23083, 7896, 3724, 13514, 1676, 56985, 46711, + 16788, 19190, 55090, 57011, 58357, 65509, 15775, 59285, 32754, 51854, + 10975, 28428, 63919, 31332, 14408, 48878, 23117, 31926, 18308, 36462, + 39293, 43125, 36908, 10410, 13734, 50196, 44287, 10849, 58543, 18312, + 702, 10434, 18281, 64623, 31400, 45919, 10652, 15949, 10893, 17217, + 30893, 48369, 9361, 51477, 47848, 49910, 18560, 44163, 29040, 8805, + 37064, 11935, 28352, 2424, 62743, 16760, 52189, 8569, 40082, 1742, + 50714, 31177, 47534, 18402, 28551, 57597, 2479, 22629, 48120, 28195, + 37307, 63122, 5293, 7202, 54170, 55895, 15523, 31039, 21517, 50606, + 61302, 36028, 60616, 2059, 17649, 13467, 1037, 34542, 33588, 23936, + 25573, 32152, 43393, 38580, 2814, 17882, 40355, 42054, 61724, 39944, + 34299, 53580, 22845, 61453, 31272, 58439, 60238, 30104, 21586, 47012, + 28547, 50548, 3347, 39007, 42327, 502, 44035, 44226, 20512, 37007, + 30431, 50507, 13133, 39554, 43349, 6572, 22592, 42671, 40815, 37868, + 38850, 48781, 64665, 27563, 295, 45110, 63825, 62338, 22783, 8092, + 41322, 39561, 35190, 14553, 27900, 17394, 32329, 62885, 15851, 14034, + 34405, 2017, 27667, 2048, 62750, 39760, 10765, 22, 23816, 16485, + 11425, 40853, 24182, 29238, 7880, 805, 41869, 18832, 3164, 2553, + 17411, 3877, 36190, 16465, 27258, 32481, 7139, 45081, 46451, 44634, + 40605, 55727, 28144, 43110, 53762, 14018, 19883, 61382, 55835, 51541, + 12012, 15103, 59115, 22755, 40971, 56137, 30074, 8093, 62594, 24737, + 22397, 51663, 3502, 11513, 19363, 51552, 46370, 51344, 36990, 8056, + 680, 59740, 31756, 56892, 59349, 16290, 49634, 50357, 39752, 14760, + 45556, 30414, 15743, 4227, 23043, 62332, 45397, 36874, 5027, 2808, + 16924, 11243, 43240, 46888, 20819, 5083, 55725, 22556, 58884, 6377, + 43904, 63155, 38845, 21996, 36397, 53086, 61224, 44315, 65254, 34556, + 63050, 19643, 62476, 35813, 21076, 36083, 64412, 17666, 57641, 39099, + 38457, 53529, 29805, 29683, 63697, 14375, 58438, 4240, 65263, 4326, + 21427, 17649, 47005, 48238, 8325, 38224, 38644, 31224, 39165, 57939, + 63042, 46269, 12548, 11970, 11478, 3581, 37121, 52820, 11114, 50459, + 30811, 28578, 9262, 16357, 3467, 37693, 26989, 38130, 54748, 8667, + 19678, 6692, 11970, 62380, 27017, 6478, 49876, 15051, 25172, 60655, + 5224, 58064, 48938, 59163, 55242, 6018, 12999, 33914, 30488, 17577, + 13090, 36202, 47549, 258, 28278, 59200, 10632, 30284, 18159, 63404, + 28520, 63616, 7918, 39994, 33222, 40477, 57337, 55285, 7807, 52129, + 50754, 31014, 43645, 50195, 6866, 6458, 12529, 28861, 9810, 63681, + 56367, 45560, 18038, 22931, 57893, 43807, 45062, 6413, 32377, 39846, + 1931, 27769, 13375, 63299, 39570, 49548, 12628, 36682, 41887, 58632, + 19802, 48918, 41650, 45442, 48720, 44451, 29807, 43070, 15564, 54841, + 29164, 63030, 61912, 10819, 33564, 34617, 10343, 15934, 44498, 59696, + 23666, 5069, 19317, 57725, 57780, 13033, 44863, 46716, 64510, 58421, + 42801, 20267, 58167, 32367, 57751, 27366, 52468, 55555, 57651, 21323, + 46512, 5562, 51721, 35388, 17320, 5007, 61638, 5634, 48470, 64674, + 46798, 11663, 44423, 33094, 56545, 9948, 28443, 29237, 5737, 43377, + 31321, 35811, 18274, 18179, 60908, 25839, 30438, 48183, 28030, 37302, + 8276, 15237, 4080, 12034, 11548, 17463, 46899, 9696, 33066, 42897, + 52166, 39567, 41965, 32267, 62924, 6292, 45059, 61114, 3529, 11755, + 21379, 51917, 7929, 18264, 19174, 7986, 62797, 49115, 24722, 65112, + 20739, 13633, 39685, 58384, 31200, 21463, 58267, 13709, 35853, 27450, + 4091, 32650, 30860, 15946, 55332, 13450, 21333, 41021, 58128, 52539, + 10513, 37071, 46082, 19700, 13938, 43415, 57969, 19549, 64301, 52853, + 42641, 52270, 17045, 13096, 25722, 12816, 56277, 59388, 32759, 61855, + 23498, 16288, 25326, 33681, 57979, 32790, 16487, 27888, 32310, 28867, + 48698, 14467, 26184, 30630, 20313, 25675, 59224, 6053, 43192, 25897, + 31584, 49667, 23253, 669, 10057, 3310, 14823, 27817, 55331, 33077, + 56999, 36307, 6654, 20759, 10677, 15178, 8807, 27191, 21417, 1312, + 51330, 29867, 46007, 34998, 42177, 28979, 1790, 18229, 12862, 51334, + 41581, 8760, 27579, 48584, 39250, 49287, 65075, 22041, 33409, 15615, + 51996, 59207, 9757, 41357, 5180, 40867, 65030, 53337, 19237, 30961, + 4831, 40191, 7759, 10361, 24506, 63480, 64976, 48698, 34403, 11799, + 61882, 50494, 15942, 24112, 15377, 55049, 34813, 26323, 57447, 46123, + 20346, 44598, 51990, 5109, 50894, 17968, 61978, 32072, 25491, 3682, + 27017, 39561, 2300, 38512, 1455, 39600, 34935, 41581, 50378, 20864, + 17148, 21333, 55903, 59601, 21750, 5555, 54839, 5385, 22218, 39011, + 249, 57001, 23560, 11634, 43109, 13059, 31533, 5921, 46378, 36362, + 51218, 25353, 33893, 12097, 19189, 5464, 40632, 27606, 7715, 34361, + 34562, 53192, 31806, 62290, 31424, 57410, 10544, 26471, 40448, 28940, + 16301, 14876, 44285, 40727, 3422, 35287, 60764, 41526, 18351, 12740, + 47362, 51180, 33590, 56818, 40080, 2400, 30654, 65434, 41852, 52845, + 15088, 33664, 3021, 36822, 15261, 19571, 15218, 10903, 26539, 37870, + 28992, 54870, 34457, 29409, 19335, 53496, 29360, 62601, 56911, 61776, + 31432, 13691, 45876, 29427, 54964, 12481, 44251, 27943, 47179, 64795, + 26640, 34554, 8980, 23655, 21630, 39534, 21645, 40731, 24330, 6354, + 10008, 65006, 42956, 8748, 31107, 43926, 23978, 25042, 17714, 173, + 31123, 57879, 44267, 17325, 45909, 10531, 60990, 44826, 43548, 4316, + 49039, 30655, 55944, 60416, 17601, 46352, 40041, 43605, 25729, 11694, + 538, 52727, 59436, 10762, 4983, 9168, 28766, 36641, 32380, 20775, + 59416, 41829, 30587, 26703, 49293, 65468, 8953, 54911, 57225, 20129, + 33574, 7362, 51839, 39785, 33528, 58885, 14381, 5024, 22755, 11342, + 53998, 15506, 6967, 16140, 38300, 48869, 21, 40073, 52511, 2659, + 25359, 45179, 26775, 13270, 19036, 43450, 57890, 36620, 50612, 47373, + 43594, 42746, 8398, 11037, 40974, 22013, 33652, 61323, 49035, 57403, + 14655, 16157, 53575, 4648, 31477, 13075, 33819, 34085, 13179, 16280, + 64442, 19522, 55928, 41812, 32226, 27428, 7817, 65054, 34845, 39170, + 47386, 24783, 14388, 61897, 26087, 18482, 37169, 22368, 38928, 5399, + 50960, 25862, 9938, 45959, 23298, 63191, 52200, 17169, 29277, 26477, + 60797, 45635, 29219, 50594, 52684, 24373, 35116, 25716, 55894, 61247, + 29427, 18178, 29887, 45002, 23803, 2739, 12102, 62020, 30940, 4470, + 5855, 62765, 25838, 52771, 17647, 3150, 13712, 13568, 37539, 55818, + 35094, 29280, 59374, 35789, 45133, 10599, 16413, 11169, 38315, 40168, + 7704, 14559, 44312, 17519, 51767, 55810, 54867, 28506, 21438, 6551, + 48131, 47138, 61431, 49233, 55643, 10679, 61674, 32313, 61544, 6410, + 11708, 13570, 23290, 54436, 22178, 21882, 16428, 27763, 20096, 25117, + 22674, 62374, 17442, 51396, 35583, 48813, 58645, 25978, 19440, 16227, + 42019, 8303, 18841, 16712, 44394, 14598, 36489, 26599, 49688, 61449, + 58620, 61782, 54726, 25340, 58557, 57052, 59245, 35471, 46518, 50605, + 12297, 28205, 12566, 43472, 24776, 48817, 43805, 25889, 19183, 10808, + 20359, 15475, 60234, 56081, 39570, 25805, 29518, 46033, 6318, 23253, + 35277, 64132, 14017, 3659, 40276, 17661, 36116, 940, 49700, 38991, + 44765, 44535, 13772, 52564, 10345, 25227, 16991, 27754, 62950, 26927, + 56151, 21054, 23754, 51756, 60934, 36800, 45540, 40085, 65461, 5942, + 13821, 46759, 21339, 59501, 54058, 49739, 28923, 36361, 9393, 55224, + 34971, 56830, 54166, 57397, 51932, 3863, 61889, 8956, 28987, 21940, + 40397, 32314, 53219, 22929, 55663, 60979, 27436, 63168, 7297, 9347, + 43700, 56249, 52906, 4479, 25624, 46728, 29100, 25018, 5968, 49956, + 58954, 4516, 22181, 44256, 54740, 22860, 29775, 54523, 59984, 21062, + 12207, 12370, 8007, 55719, 48165, 3636, 5417, 20525, 7055, 14934, + 61790, 64124, 26207, 3037, 62698, 58243, 15192, 5017, 37819, 17149, + 12517, 15186, 30354, 35348, 49686, 18490, 29068, 22559, 53559, 53889, + 6476, 47500, 31247, 58376, 16583, 13017, 36274, 36909, 18860, 14780, + 45571, 63173, 53614, 56931, 48842, 17448, 58607, 34518, 37486, 24677, + 33994, 48760, 14415, 8086, 58429, 15276, 56002, 58703, 27826, 23955, + 39594, 52528, 12264, 33780, 1711, 35482, 4803, 49973, 35870, 20862, + 39596, 38534, 56157, 14929, 59302, 21021, 55817, 45852, 1263, 14235, + 23726, 44975, 23119, 11918, 33314, 27381, 43008, 17168, 10878, 43875, + 38713, 11404, 41914, 40075, 35161, 16032, 17892, 28621, 61357, 17950, + 22781, 5676, 5598, 62509, 15984, 34110, 59642, 39309, 30768, 6461, + 58653, 5112, 51053, 50748, 62395, 61347, 15602, 60019, 42125, 57060, + 46366, 46011, 51990, 51693, 55677, 10773, 10961, 55478, 25090, 4452, + 31737, 63737, 61411, 62523, 45812, 33367, 31761, 51665, 5213, 47768, + 62291, 51473, 16301, 7184, 14846, 6862, 64133, 24604, 29011, 15636, + 9882, 28620, 63605, 2457, 60477, 31899, 17157, 29011, 50054, 41817, + 16220, 7971, 42524, 39721, 42596, 21423, 21412, 4774, 12051, 27725, + 12996, 32033, 16261, 42778, 63699, 12806, 21942, 54827, 57887, 57437, + 473, 54235, 58585, 30828, 60503, 43588, 59553, 52601, 359, 32713, + 44202, 20908, 31814, 31439, 42634, 28179, 11284, 61067, 50081, 6336, + 60491, 17142, 38691, 27405, 60124, 10718, 7875, 6656, 62951, 54038, + 59389, 53961, 43799, 61902, 0, 40296, 665, 34045, 47159, 34667, + 20407, 29946, 59763, 63701, 28949, 14378, 65463, 13595, 35462, 53460, + 47263, 43592, 17036, 38417, 7789, 53312, 7530, 23007, 59825, 31265, + 12264, 40532, 5013, 39877, 931, 44015, 706, 42340, 9998, 31498, + 23795, 46935, 33545, 33388, 10004, 4087, 12316, 40187, 32278, 13732, + 9552, 22670, 53842, 32481, 47786, 8160, 12183, 47773, 39237, 53665, + 48082, 55949, 21259, 30375, 13913, 41396, 31759, 59715, 57812, 30980, + 49034, 24494, 58056, 44062, 2673, 60321, 48321, 28726, 34223, 8451, + 14364, 14098, 47620, 13757, 39534, 61489, 55161, 3242, 48021, 64008, + 47743, 48554, 8537, 42343, 59556, 19670, 60349, 19707, 24305, 25293, + 64488, 8940, 44002, 63533, 24491, 63143, 28418, 1566, 43951, 21686, + 63523, 28168, 36412, 33482, 24631, 27236, 33960, 63963, 5583, 64176, + 15706, 8294, 30509, 56444, 53370, 9941, 46087, 50082, 39223, 2045, + 53467, 41875, 6580, 53185, 17897, 64459, 62352, 27091, 21458, 14694, + 40720, 23195, 51147, 24525, 10987, 58416, 35198, 19081, 61106, 14723, + 60541, 64056, 47579, 33179, 24419, 32412, 43369, 36090, 45705, 12240, + 28217, 16159, 53346, 2199, 28138, 52773, 24948, 5069, 51306, 6793, + 30195, 65279, 60442, 33033, 61180, 1046, 669, 43175, 363, 31790, + 8258, 61132, 52313, 36229, 49163, 13194, 40245, 34446, 46682, 59386, + 7038, 38949, 25655, 24772, 13850, 49374, 38208, 36541, 19542, 56182, + 4654, 24491, 44155, 57963, 37375, 10531, 8713, 39591, 21446, 40851, + 60819, 63319, 19211, 64348, 8246, 43227, 26848, 3607, 62647, 26838, + 11137, 10745, 24632, 46436, 16039, 56657, 46231, 52587, 38505, 42030, + 52836, 57355, 3791, 53789, 59516, 33071, 14837, 5647, 53062, 38213, + 42713, 6746, 52618, 1546, 35521, 58070, 19689, 45694, 22347, 43816, + 50173, 11255, 26387, 10011, 11278, 62034, 35578, 10026, 55405, 324, + 57881, 49946, 10609, 41166, 1603, 19652, 13579, 35535, 14127, 27888, + 7944, 38835, 52810, 60509, 31460, 17481, 58648, 51934, 45381, 33459, + 47171, 26270, 795, 26743, 61762, 38276, 25681, 27570, 41127, 45745, + 2096, 363, 21253, 49702, 6481, 36613, 19067, 60547, 46909, 49359, + 34530, 46315, 62371, 30646, 59023, 27395, 10548, 40986, 18885, 31512, + 42527, 25533, 17082, 24160, 17444, 18648, 31812, 3416, 10327, 61512, + 41248, 63053, 33908, 17637, 11743, 39415, 54233, 3571, 14190, 4159, + 44580, 63154, 53312, 55074, 59753, 15632, 22042, 28077, 26128, 22911, + 37498, 23848, 32330, 4576, 14245, 29263, 45870, 35230, 58444, 2235, + 53620, 216, 30682, 27232, 26572, 5302, 8541, 25903, 2268, 29405, + 13070, 22748, 29397, 4605, 25727, 7866, 22192, 8583, 52576, 19906, + 6411, 636, 33517, 31922, 34458, 47969, 25080, 17490, 5707, 31055, + 12174, 58321, 62202, 53287, 55881, 35150, 42702, 35810, 17970, 24692, + 57902, 1286, 44368, 43325, 30820, 2612, 16081, 14551, 19404, 37086, + 18132, 59043, 33720, 32535, 51409, 34650, 1508, 131, 5798, 14410, + 52624, 63597, 36415, 56511, 23104, 17143, 18400, 53117, 4108, 38764, + 7954, 60008, 932, 64946, 8232, 9798, 19428, 59611, 1132, 13469, + 37648, 10458, 1771, 38327, 16812, 1362, 64474, 52420, 15611, 62346, + 13476, 29726, 54034, 31101, 23185, 5031, 3735, 61455, 2580, 47950, + 19483, 63065, 39089, 33713, 35602, 34853, 3977, 14045, 37995, 51573, + 1155, 33584, 45264, 47422, 39961, 14943, 18556, 7474, 40380, 16020, + 26291, 6331, 9445, 57264, 47843, 259, 6330, 35502, 20821, 8240, + 13400, 52519, 56720, 14765, 2499, 52978, 4170, 49572, 2408, 33763, + 4876, 55688, 14410, 63412, 58209, 17358, 57041, 13826, 59322, 41147, + 6700, 28782, 47073, 26200, 55902, 9444, 45848, 9603, 30444, 9720, + 40398, 52768, 42018, 34668, 8445, 50284, 13053, 37070, 42275, 47474, + 42424, 63550, 41690, 22678, 17142, 51022, 8982, 64590, 61832, 27650, + 51451, 48113, 50908, 38902, 24409, 17575, 53763, 44959, 33733, 3968, + 19805, 43945, 43349, 42658, 227, 28288, 19703, 52122, 16145, 45035, + 54638, 28883, 34414, 49362, 14570, 38948, 29113, 35104, 8772, 18563, + 16562, 16835, 61395, 60631, 58242, 36048, 34285, 36332, 4808, 44484, + 36606, 31104, 40512, 50150, 25406, 2170, 48146, 2248, 29202, 22074, + 49845, 13548, 42753, 56075, 22398, 19586, 58449, 64437, 48073, 13903, + 19774, 49200, 33474, 40618, 47574, 882, 39377, 51361, 20084, 36457, + 11680, 3896, 53462, 45061, 38346, 63697, 62685, 1132, 4385, 56999, + 31458, 15697, 48284, 19060, 39344, 56671, 52955, 19507, 52769, 63695, + 49753, 10752, 51800, 11545, 47149, 60443, 56984, 8646, 64893, 26379, + 54719, 1124, 15743, 34271, 57187, 37268, 35373, 46736, 49544, 23869, + 1413, 31362, 11258, 11235, 38266, 15657, 42829, 20242, 44154, 45768, + 14703, 5876, 53405, 8533, 31207, 35586, 11111, 5497, 28588, 48087, + 3130, 9651, 12964, 8224, 59227, 34204, 60088, 36528, 64415, 19269, + 26256, 34736, 26801, 26812, 9200, 40692, 8195, 31662, 17326, 63848, + 47695, 46374, 33615, 61353, 56265, 15220, 63038, 1968, 28899, 34856, + 55464, 38825, 44476, 25661, 30199, 33762, 23078, 17492, 48360, 43487, + 37970, 59157, 47947, 43658, 54299, 14266, 56151, 58759, 39147, 38567, + 23719, 38822, 52302, 51761, 8917, 11890, 33553, 63871, 37809, 742, + 26390, 13198, 57119, 52543, 46007, 9294, 43658, 17389, 20181, 47594, + 47625, 30627, 10364, 39973, 53516, 40589, 43828, 30687, 42178, 8353, + 42727, 16568, 23051, 55055, 49258, 43723, 43285, 37074, 4010, 16027, + 24725, 3688, 13940, 35084, 41636, 50846, 55776, 2440, 54580, 59013, + 2139, 41026, 57389, 7809, 46672, 24215, 62248, 61055, 7027, 48016, + 44231, 17222, 23210, 20100, 7599, 22247, 32407, 20955, 49443, 58959, + 29894, 20461, 9534, 60923, 20848, 31752, 40140, 16330, 50666, 22929, + 62662, 25582, 21421, 29505, 51049, 22268, 26114, 1169, 42738, 55076, + 7242, 38705, 63992, 4730, 12007, 46769, 869, 43122, 63691, 64579, + 12306, 4203, 20379, 54423, 25599, 38754, 29823, 33254, 41260, 18119, + 1929, 64523, 534, 9836, 34751, 28875, 41425, 27546, 35271, 17973, + 43966, 18238, 28462, 41253, 27882, 9537, 2132, 37346, 57604, 20213, + 11000, 10471, 54520, 683, 59228, 54719, 22828, 12897, 42517, 37385, + 56662, 15256, 6257, 31387, 61432, 2628, 34180, 39107, 15225, 14557, + 40401, 48553, 64225, 57235, 40850, 29136, 49201, 58526, 13733, 6843, + 1629, 49075, 6931, 39084, 43239, 49810, 11581, 48776, 64281, 6332, + 13989, 9686, 55519, 53315, 23638, 230, 43839, 23993, 47089, 44302, + 64117, 11594, 18518, 59152, 51119, 62182, 747, 41879, 34913, 35734, + 28556, 44512, 15686, 25072, 55567, 20086, 48885, 26534, 48242, 23573, + 64028, 54840, 49316, 14512, 30043, 2250, 8428, 23694, 6847, 57616, + 5114, 12687, 57049, 18789, 22921, 8333, 32634, 34299, 47277, 53982, + 13326, 10029, 55486, 51701, 51603, 36593, 32481, 36648, 17460, 7017, + 55856, 56716, 49286, 10392, 10373, 18428, 61022, 15153, 40424, 64825, + 8012, 50827, 6290, 27691, 33465, 8114, 52126, 43590, 51609, 20375, + 43595, 40456, 3020, 38503, 65008, 3998, 26903, 37369, 12413, 57766, + 32697, 6496, 30121, 54288, 29357, 65440, 40125, 21278, 55676, 3966, + 62717, 62602, 37819, 45680, 52910, 25798, 6696, 54452, 49865, 42590, + 34653, 47229, 35642, 42362, 12847, 35399, 54741, 16767, 21414, 43084, + 7126, 3798, 53912, 44815, 10259, 12996, 61156, 32021, 38336, 56184, + 9800, 32691, 12767, 63012, 19680, 47875, 51522, 58691, 29500, 7920, + 36158, 6392, 54300, 56177, 55534, 35735, 41930, 34259, 49441, 17469, + 15124, 302, 30945, 8601, 47077, 15353, 13473, 20174, 52608, 62650, + 54786, 58481, 7768, 55254, 19010, 22445, 6542, 26758, 9537, 31110, + 62983, 18154, 41777, 59450, 1321, 34103, 56026, 62659, 14131, 56845, + 35464, 7802, 19255, 48612, 35218, 26325, 50356, 12708, 34951, 57169, + 24788, 60499, 27126, 62315, 25918, 46568, 7642, 4296, 49817, 46399, + 35232, 28532, 42143, 10022, 42711, 56391, 43481, 13460, 41587, 34660, + 40188, 47609, 26302, 63707, 10720, 61873, 39388, 28014, 43033, 5010, + 47865, 64881, 46388, 7076, 43025, 4042, 63632, 2544, 30299, 47896, + 2774, 3441, 10067, 55024, 6934, 11304, 25699, 40771, 35711, 6731, + 2861, 60379, 24507, 4765, 62583, 7684, 63205, 41433, 65460, 1607, + 11048, 30536, 3371, 9298, 37109, 27762, 12486, 49907, 12256, 5617, + 1913, 37443, 44824, 20320, 47437, 33248, 36737, 21619, 53768, 48466, + 44400, 6433, 47739, 52367, 58370, 45819, 15464, 64153, 19481, 50592, + 6925, 17477, 12532, 1097, 27218, 12568, 29802, 64128, 61066, 6218, + 48323, 28142, 28393, 29676, 26163, 52049, 33923, 1061, 53748, 12428, + 4093, 42136, 19711, 50648, 8146, 54753, 26726, 14361, 45380, 7487, + 15697, 17995, 21981, 31820, 46739, 29723, 26528, 25318, 39400, 5010, + 5585, 40242, 43725, 63468, 13406, 57271, 57596, 17287, 22505, 45989, + 19587, 58103, 26739, 42297, 57728, 26422, 29862, 46648, 1403, 4345, + 12222, 13976, 22624, 65309, 9238, 17726, 54039, 37440, 17483, 23266, + 62914, 14189, 9487, 24848, 24466, 60399, 51596, 63303, 51783, 60486, + 35954, 43076, 834, 8248, 20383, 61785, 7240, 62974, 48234, 7670, + 44501, 32415, 65164, 6473, 42266, 48475, 940, 30722, 46998, 15421, + 59782, 7215, 13900, 6099, 58551, 62791, 39590, 54266, 35367, 32322, + 50918, 27603, 1299, 48101, 37957, 11986, 25526, 40220, 59562, 7413, + 50323, 49023, 11784, 37905, 52834, 47607, 42324, 16455, 31892, 12154, + 44834, 24982, 60037, 3057, 50139, 39051, 26430, 27963, 30109, 35859, + 48099, 55883, 30127, 55921, 11967, 35437, 14239, 59210, 27882, 40614, + 27643, 14557, 9365, 20280, 11432, 13905, 47602, 12100, 21730, 14631, + 8386, 51338, 7888, 975, 33916, 61772, 49347, 53078, 2327, 24146, + 25611, 16956, 36469, 4870, 28534, 49764, 108, 29119, 48998, 46116, + 23921, 52763, 1422, 22652, 15843, 33669, 10825, 7268, 17906, 45526, + 10646, 19262, 35882, 57879, 34228, 13931, 40608, 62821, 2390, 1018, + 28855, 57325, 13188, 30330, 7055, 53783, 18190, 8176, 64267, 37312, + 38829, 37348, 20862, 58783, 20151, 15510, 15346, 5321, 33150, 53070, + 24852, 40015, 4935, 3025, 25791, 23813, 31970, 7919, 51747, 41822, + 13291, 45113, 36498, 35699, 2971, 64209, 26343, 25378, 35147, 24922, + 10643, 52307, 50823, 3854, 36677, 33110, 6859, 22509, 5173, 48488, + 26333, 43539, 25614, 27477, 31686, 547, 23158, 23607, 46958, 62687, + 16955, 59484, 37075, 32730, 40219, 31813, 28134, 62276, 24112, 46027, + 48386, 26340, 8438, 50525, 60425, 19493, 34555, 8036, 30493, 53063, + 34338, 14791, 59640, 55734, 4499, 48522, 18153, 42872, 10050, 17553, + 620, 447, 60443, 4995, 10852, 20638, 8391, 20426, 13815, 54745, + 55582, 21430, 25350, 33493, 41, 58049, 16968, 64430, 53970, 8173, + 43778, 31079, 6955, 18506, 61541, 23868, 38487, 21396, 53891, 26388, + 36633, 48376, 17647, 41234, 22263, 54775, 50417, 12875, 59402, 9572, + 39267, 27282, 39269, 29831, 63020, 31086, 28704, 19556, 40278, 25133, + 14080, 50453, 14285, 57106, 9924, 43348, 16310, 1449, 35086, 4117, + 63081, 40508, 24556, 44624, 32781, 16478, 48131, 17116, 57039, 26276, + 2903, 40567, 10175, 52084, 19129, 59874, 60231, 33013, 41934, 60457, + 27464, 22054, 41399, 13057, 55506, 15114, 50786, 8009, 29568, 30209, + 60013, 63411, 1134, 39987, 29106, 17276, 52953, 24357, 65139, 53079, + 45137, 24941, 60707, 56936, 51869, 25730, 33487, 10603, 45355, 16813, + 22567, 28149, 46130, 16412, 11053, 28627, 33638, 31253, 57721, 28303, + 599, 9032, 9510, 12561, 51303, 41533, 42589, 59745, 26214, 36588, + 43900, 21130, 11212, 51307, 14818, 35482, 50578, 62402, 49498, 19143, + 45229, 54746, 54305, 16300, 6696, 6804, 5525, 25520, 26332, 15095, + 10621, 23943, 15567, 21633, 3567, 1234, 34855, 58270, 59874, 20194, + 38345, 29494, 64080, 1434, 64915, 29537, 59399, 51826, 46642, 35126, + 28685, 1944, 19616, 51649, 59566, 37169, 55613, 29202, 52516, 55485, + 60553, 52850, 6625, 46232, 19836, 8881, 58235, 56732, 898, 34203, + 12852, 25828, 50710, 49545, 52261, 33708, 35834, 27667, 14343, 18260, + 37904, 34531, 58014, 48003, 57817, 7077, 61635, 21608, 51079, 25882, + 59404, 31516, 47040, 14156, 63514, 15907, 14578, 30940, 20094, 18450, + 29773, 40823, 32584, 24138, 31330, 16743, 44078, 51682, 63318, 60997, + 46166, 11427, 45250, 41165, 22208, 25644, 50987, 15306, 53587, 15670, + 21785, 42032, 9859, 55538, 42454, 10824, 54171, 50831, 56772, 5126, + 25004, 62168, 36443, 14966, 56082, 45049, 20415, 52309, 53151, 14177, + 6650, 26556, 12647, 10179, 47067, 56567, 62504, 41272, 19818, 3188, + 63827, 49535, 35846, 29143, 29371, 25188, 52765, 3626, 34265, 7230, + 62600, 33586, 39354, 44689, 32641, 20957, 22918, 4308, 64834, 19927, + 5549, 36174, 34875, 30779, 52655, 26650, 44782, 37735, 52360, 46153, + 49003, 57832, 25015, 6438, 4195, 41620, 57578, 27028, 33260, 64439, + 45640, 3988, 4048, 10085, 56666, 28131, 61330, 53999, 6295, 31168, + 21502, 50032, 1930, 61131, 36972, 61831, 61858, 40713, 62865, 28191, + 56214, 33028, 25089, 33385, 36211, 15888, 8261, 40171, 44867, 8872, + 19604, 48562, 50779, 29430, 39986, 13219, 32066, 17777, 98, 40176, + 16441, 9633, 16137, 15526, 55404, 57598, 15073, 55138, 20403, 65526, + 17750, 26916, 1797, 36110, 29697, 9787, 35194, 60448, 37822, 22880, + 17914, 41922, 62316, 53471, 63879, 6855, 57408, 44272, 13349, 27615, + 19910, 33060, 610, 37210, 50038, 56059, 25989, 19209, 18927, 24466, + 58455, 16453, 45522, 53881, 7816, 9, 11838, 30627, 60608, 41274, + 56547, 25207, 14148, 58632, 30149, 25603, 19776, 56684, 64655, 27216, + 9911, 14801, 60817, 17104, 39684, 31695, 88, 53748, 13835, 30226, + 14825, 61914, 62978, 38745, 43161, 18980, 56278, 41883, 50060, 37604, + 55355, 40260, 1992, 54451, 16211, 49308, 3317, 22497, 36090, 37705, + 60339, 5318, 58284, 10731, 28568, 38711, 20499, 58853, 11973, 26388, + 31537, 3388, 16676, 10239, 5415, 48791, 61760, 49703, 58016, 58214, + 24768, 1602, 63197, 57888, 47745, 24217, 17501, 62116, 11343, 16189, + 20008, 5825, 33344, 10071, 25528, 55505, 56750, 9629, 29061, 4736, + 41423, 61921, 4140, 7594, 20467, 52675, 7227, 61794, 44377, 32391, + 49307, 52496, 11437, 53761, 32154, 13234, 13602, 16557, 22165, 6467, + 33064, 24283, 61502, 17464, 28362, 61191, 2448, 1319, 48039, 34631, + 22860, 34435, 50827, 56285, 31278, 5762, 4266, 57580, 12209, 60703, + 22648, 5448, 60796, 4155, 18472, 26726, 11112, 6111, 20927, 19826, + 45102, 46236, 62163, 44416, 40114, 64019, 14821, 35546, 41446, 36753, + 1880, 18296, 27484, 2258, 9230, 41101, 59501, 51431, 59294, 37182, + 48639, 61746, 51251, 28269, 51957, 65165, 52740, 44468, 18745, 54922, + 33899, 59758, 46431, 9941, 41637, 54255, 18166, 6326, 17957, 60371, + 25456, 31411, 14485, 58228, 5547, 41554, 23402, 52597, 13339, 26620, + 62242, 16507, 32662, 48893, 11293, 50172, 42172, 35752, 54037, 63535, + 43521, 31128, 56124, 2851, 62553, 46097, 60401, 11500, 44159, 33412, + 53278, 54519, 61153, 60209, 16688, 51839, 53291, 40423, 10164, 536, + 57454, 35047, 2955, 33385, 30445, 39399, 3529, 41463, 45188, 19762, + 26160, 4124, 43702, 52460, 65092, 15126, 9553, 33396, 25611, 50491, + 21696, 7138, 61027, 56139, 20205, 10159, 36095, 24030, 7376, 34932, + 24064, 61436, 6809, 8907, 49964, 7371, 61558, 18258, 20685, 58979, + 3585, 31438, 36904, 12222, 12874, 24171, 12185, 37835, 10876, 39457, + 55880, 23457, 37166, 52019, 37258, 26064, 63560, 39122, 470, 61652, + 34704, 12510, 60769, 23203, 47568, 36556, 26201, 1893, 33446, 3746, + 12987, 49476, 50920, 60959, 21458, 857, 45489, 32249, 19277, 49605, + 4109, 58686, 22523, 24801, 32061, 30940, 33829, 44429, 51623, 51516, + 65416, 51365, 42589, 43016, 57069, 6034, 16200, 34830, 64359, 27644, + 3568, 47420, 19241, 46237, 52971, 8907, 44545, 43313, 9185, 15737, + 51061, 906, 3127, 12270, 8312, 63423, 65120, 3904, 27337, 48516, + 3004, 26002, 24553, 7317, 6443, 16599, 21943, 3661, 54835, 11188, + 17065, 42579, 48330, 37508, 35753, 38003, 960, 13941, 38282, 45451, + 3531, 28879, 46117, 10652, 32561, 10325, 45332, 62720, 50188, 31428, + 50186, 29371, 28989, 15480, 30414, 5609, 35312, 36910, 36562, 14423, + 21447, 63716, 46708, 17454, 933, 26589, 16336, 34506, 11546, 59585, + 64320, 7850, 32358, 44512, 47985, 38527, 62252, 24215, 49443, 57191, + 18356, 43467, 59516, 31795, 5853, 16019, 4618, 53220, 44189, 27890, + 57684, 41269, 14134, 53441, 35611, 1362, 41208, 34988, 3378, 934, + 64685, 39763, 51703, 25810, 3748, 19600, 8557, 16057, 7261, 17624, + 16887, 61368, 52430, 36026, 2739, 23283, 33616, 32389, 31089, 22475, + 16169, 61447, 48719, 26219, 43446, 63087, 44787, 56194, 40245, 50772, + 62307, 54539, 3629, 20942, 59012, 27207, 57722, 26306, 50221, 43213, + 55545, 12092, 24295, 4279, 24780, 5621, 14147, 25086, 42826, 12531, + 28376, 44256, 2438, 29776, 45272, 46973, 32378, 3858, 51790, 60034, + 19153, 29753, 19447, 5884, 59214, 45528, 12218, 34638, 41948, 55387, + 7108, 60734, 12594, 21479, 32068, 57129, 56098, 14815, 21696, 46679, + 56703, 44162, 11795, 49257, 56745, 38577, 24067, 54767, 12983, 8654, + 16259, 34838, 17983, 26561, 51842, 14206, 63428, 788, 32365, 34346, + 36029, 16190, 14920, 46737, 22334, 51965, 15460, 43473, 56646, 61148, + 9150, 20227, 60068, 27092, 27892, 30121, 38790, 13839, 34021, 5444, + 60831, 38855, 10979, 15363, 30196, 63565, 31895, 63125, 39236, 12070, + 42354, 41759, 23826, 64336, 52172, 16714, 42832, 36514, 30107, 29043, + 53929, 11601, 16080, 62726, 64875, 911, 23377, 41445, 7440, 29517, + 65167, 56065, 32872, 15394, 25285, 49164, 2660, 65348, 51660, 26181, + 3969, 49586, 29084, 35954, 24526, 11892, 5731, 23532, 42908, 31482, + 15419, 59604, 61634, 61346, 6796, 17252, 44682, 13909, 41726, 3168, + 24124, 45393, 48664, 40618, 26180, 15361, 30586, 65525, 32258, 55303, + 29619, 60050, 34863, 59484, 43456, 25190, 27111, 48271, 64034, 26365, + 2135, 20009, 56731, 29879, 14083, 12850, 1412, 42784, 180, 57548, + 26574, 28254, 21757, 38140, 53395, 59843, 50281, 9865, 45912, 6530, + 38211, 24573, 38370, 14719, 6914, 29384, 3761, 46911, 55886, 19332, + 61725, 21759, 41907, 43092, 57612, 11232, 28385, 62309, 10318, 24383, + 24733, 918, 18325, 29475, 1352, 1332, 23828, 22480, 27146, 60638, + 6834, 23672, 24219, 63112, 42475, 23313, 51630, 53914, 23364, 36674, + 39418, 17208, 3945, 43639, 32230, 2973, 2020, 55059, 11216, 13216, + 34172, 31941, 42234, 49497, 23080, 47092, 32017, 10973, 42158, 38484, + 53339, 57892, 27613, 19098, 12925, 32012, 29539, 43340, 32743, 7031, + 17484, 24753, 7133, 52623, 792, 32232, 50466, 56361, 19831, 61717, + 52035, 62791, 63907, 58038, 3709, 24248, 12634, 52244, 54348, 28890, + 631, 25812, 6801, 48000, 7980, 38829, 45918, 22254, 10206, 53300, + 4962, 769, 30877, 5074, 23788, 54892, 5183, 30680, 53661, 24604, + 59160, 42665, 29871, 15549, 15191, 17941, 18396, 16982, 55552, 12487, + 11500, 33808, 60289, 38100, 5598, 36884, 26356, 10611, 52658, 27025, + 18160, 52684, 17361, 57565, 41228, 745, 58394, 2995, 9457, 53961, + 9610, 49051, 17435, 54931, 6535, 4199, 56108, 11752, 26057, 62681, + 55021, 22865, 45798, 21346, 61154, 2520, 14712, 55557, 12168, 6066, + 47084, 7258, 44589, 61977, 47850, 44719, 24684, 64056, 49756, 56649, + 45380, 23360, 27934, 1224, 3541, 4504, 30016, 30888, 17334, 62257, + 59130, 8420, 10997, 43767, 39981, 4021, 46508, 47459, 42642, 29048, + 7996, 44077, 62081, 22701, 38185, 57119, 3168, 2075, 21394, 14341, + 37542, 32018, 53067, 28609, 32395, 7112, 10137, 39547, 3406, 32741, + 47497, 49397, 46114, 37658, 20205, 31996, 10422, 11244, 756, 43770, + 10336, 38543, 38501, 25257, 18405, 35309, 36216, 35042, 46567, 10950, + 4433, 27795, 31212, 57073, 12057, 207, 23047, 53952, 44731, 26112, + 12581, 12929, 23352, 37943, 8181, 14881, 13992, 6308, 41589, 23788, + 46030, 63521, 13334, 59247, 44886, 59624, 52363, 40319, 33453, 13670, + 7987, 63058, 10877, 47655, 10017, 47306, 6852, 37104, 10692, 55762, + 64144, 6891, 64056, 40673, 8537, 15357, 59176, 9884, 20475, 47412, + 23901, 39320, 48974, 52316, 59624, 25917, 15972, 7857, 57064, 710, + 47710, 31918, 39417, 60516, 10731, 46340, 54073, 33410, 30302, 13478, + 16888, 49956, 40043, 4609, 19452, 54633, 56959, 22857, 12397, 35631, + 33465, 35823, 46947, 6869, 13960, 25902, 4107, 29467, 53730, 5573, + 10096, 43814, 54301, 18623, 25068, 12449, 37998, 25454, 16682, 30205, + 49149, 37494, 8406, 728, 24481, 22021, 54244, 59515, 16189, 24352, + 35962, 48254, 12470, 45081, 6267, 23430, 17552, 4637, 7345, 54831, + 45604, 4585, 39062, 2564, 51584, 54685, 40127, 34987, 59611, 15785, + 48614, 36638, 4019, 58084, 28306, 61204, 18821, 41915, 2405, 60542, + 51752, 37510, 62978, 12589, 13767, 14070, 62105, 6288, 11897, 13483, + 11280, 46491, 57727, 35042, 28367, 5316, 60953, 45388, 23080, 23591, + 40965, 11769, 8290, 35786, 6037, 61555, 64865, 49146, 39276, 27112, + 11763, 63444, 57300, 2687, 53689, 33248, 59327, 9163, 49584, 20695, + 21337, 16477, 1371, 39557, 7238, 8819, 12431, 17097, 61309, 48079, + 37850, 46266, 11456, 37027, 51601, 60388, 9143, 6056, 51573, 36784, + 51368, 51534, 19713, 8929, 47830, 45070, 61011, 26939, 5747, 27611, + 2996, 17726, 11330, 47798, 6598, 28688, 55502, 47201, 44617, 36216, + 54592, 10027, 11658, 15878, 64481, 13290, 42088, 61777, 6701, 18183, + 63748, 23129, 35472, 38067, 15364, 34826, 28608, 15928, 33924, 50146, + 2998, 63082, 24731, 30889, 19530, 15164, 33925, 33631, 18282, 22127, + 626, 28724, 246, 30564, 62698, 17841, 29755, 22547, 9691, 40948, + 31499, 65300, 56385, 65048, 52341, 20699, 39536, 17331, 60711, 16897, + 14081, 36985, 35676, 40125, 23127, 45053, 24707, 27505, 2764, 33688, + 21102, 14945, 37245, 59490, 11827, 33406, 41391, 47965, 63139, 44896, + 19677, 48562, 24676, 21075, 60767, 15123, 46510, 13448, 53688, 29759, + 64414, 6765, 4732, 61348, 54074, 10775, 40156, 61982, 12290, 1754, + 7120, 33246, 40495, 54267, 2528, 30054, 29323, 28797, 54670, 12464, + 56812, 24087, 41571, 53870, 32334, 58695, 53397, 45933, 42892, 10368, + 5457, 14867, 15803, 63615, 36267, 9223, 39715, 23484, 54816, 34799, + 39899, 56059, 53444, 61780, 35072, 50433, 25612, 6307, 15762, 47972, + 7226, 55629, 32491, 64124, 14689, 10253, 8924, 61046, 21524, 60233, + 15717, 51079, 25263, 46994, 12305, 57148, 35137, 37446, 5356, 57584, + 48661, 64597, 40738, 51032, 60636, 42784, 11062, 57656, 57976, 21885, + 22747, 12088, 60263, 35543, 10354, 36049, 44746, 51827, 13857, 13922, + 28689, 18637, 19596, 24159, 33093, 4385, 10547, 41896, 20466, 49413, + 60520, 23288, 5807, 7219, 20203, 48500, 56652, 55909, 22308, 11875, + 4502, 12633, 59388, 59901, 41673, 48372, 9048, 36700, 10643, 34691, + 14898, 6031, 18113, 5148, 58454, 60366, 648, 27939, 22967, 60615, + 38514, 22760, 18284, 23831, 44004, 63086, 55882, 49364, 47432, 9287, + 39198, 58439, 51163, 13210, 26759, 23479, 35860, 35143, 45678, 61024, + 23216, 61217, 19236, 32387, 9303, 30554, 19990, 8343, 16876, 62461, + 52271, 13213, 31606, 9364, 50634, 27793, 21414, 56297, 35411, 59132, + 16900, 32746, 14201, 27814, 9658, 26021, 45585, 18025, 56061, 43891, + 4746, 2081, 32020, 21298, 7945, 59840, 32518, 32427, 3361, 24446, + 25828, 53266, 25904, 49965, 34736, 4267, 33113, 170, 60905, 24457, + 49689, 22384, 58036, 19057, 12936, 25414, 38313, 21214, 63023, 48665, + 44343, 33054, 31360, 44260, 21940, 51098, 1526, 27083, 63236, 6195, + 57034, 48456, 23269, 11719, 58191, 47228, 44502, 11218, 3562, 33447, + 25762, 22065, 65202, 19379, 45197, 17154, 17568, 38379, 52249, 2216, + 49267, 10441, 2677, 2539, 6947, 7916, 13187, 8764, 59903, 41256, + 22149, 5141, 47717, 184, 56675, 15231, 57118, 654, 19099, 42669, + 31060, 19915, 43973, 54982, 55088, 3914, 27330, 35520, 57876, 1111, + 51073, 5736, 63090, 15998, 58477, 59262, 8007, 4733, 61303, 64252, + 20280, 50267, 37307, 9335, 53575, 41618, 28625, 10655, 52018, 25191, + 9395, 54241, 19304, 44891, 49478, 14891, 64680, 65466, 31367, 42191, + 14649, 57424, 53331, 36225, 55232, 2363, 5842, 23931, 43969, 44508, + 60546, 43968, 21429, 39399, 50908, 56700, 36547, 52634, 24484, 10890, + 62495, 27403, 27858, 10256, 34300, 59033, 44312, 6981, 14895, 35972, + 62224, 30291, 12897, 36249, 30980, 344, 65009, 58630, 26457, 20870, + 57188, 35148, 6040, 20585, 44937, 31313, 15898, 46408, 38958, 24213, + 50256, 29349, 32888, 33149, 48556, 14816, 42211, 9419, 33072, 22264, + 29911, 14127, 37265, 18032, 28643, 41462, 40455, 34640, 23704, 32737, + 34228, 19266, 54020, 44817, 59310, 50909, 46282, 20509, 27051, 1024, + 52443, 58438, 32584, 14420, 28883, 56514, 7158, 49651, 40434, 36148, + 22914, 3111, 43957, 37693, 48447, 48714, 19984, 58668, 26065, 46797, + 16715, 55761, 2969, 29781, 39286, 39791, 23992, 44800, 20362, 38863, + 58818, 966, 17950, 40817, 15361, 46074, 40899, 34270, 63075, 39662, + 57596, 13593, 23553, 39862, 63453, 17972, 34636, 59654, 58175, 20734, + 16598, 43317, 21405, 17626, 31930, 39010, 16270, 15531, 1194, 27317, + 1503, 24555, 30867, 53439, 22348, 33499, 28655, 8187, 49627, 22484, + 9638, 49526, 22486, 9149, 47266, 43146, 35939, 1942, 43758, 13254, + 65473, 4400, 59785, 63978, 38177, 25855, 18125, 9485, 52255, 62216, + 61815, 7649, 63451, 18676, 4690, 26895, 36280, 13127, 23173, 47292, + 26033, 65450, 60533, 21549, 26195, 21092, 43352, 6023, 46683, 41191, + 36184, 28079, 11756, 33671, 25695, 50768, 24940, 12357, 49541, 10747, + 16381, 37066, 49940, 44648, 57235, 34159, 52532, 44250, 45239, 1913, + 1336, 48289, 62423, 64610, 63363, 37817, 59975, 44114, 29208, 3984, + 56536, 60845, 15806, 51173, 45587, 14277, 65484, 44492, 28179, 61104, + 11926, 22734, 38396, 23093, 41588, 50221, 4380, 55776, 60780, 24132, + 49564, 2101, 17275, 27661, 44770, 40705, 23941, 58045, 15239, 3788, + 24790, 63882, 33008, 56170, 30036, 54668, 35467, 61361, 21572, 53697, + 51880, 14916, 47541, 1323, 49905, 1422, 17036, 51476, 31368, 40139, + 57645, 8562, 64443, 61504, 45763, 2405, 45926, 2699, 40749, 50652, + 11238, 18709, 52614, 32856, 15797, 27043, 38734, 35785, 28583, 40716, + 17466, 54676, 57536, 65285, 30868, 9398, 46512, 47896, 57424, 59650, + 41964, 46771, 16557, 31878, 54377, 11357, 47748, 55564, 63479, 13315, + 42801, 58300, 1849, 7281, 6683, 37087, 51261, 43484, 45281, 16830, + 54204, 27547, 64546, 57000, 29679, 31478, 27369, 20243, 22283, 5399, + 17076, 4570, 44891, 41502, 52767, 55205, 64249, 56144, 35890, 8551, + 19753, 57967, 51780, 1280, 37714, 15396, 45705, 23042, 61338, 49049, + 31039, 45200, 58141, 44323, 62066, 37986, 41417, 15901, 31335, 48614, + 35289, 8144, 47787, 62337, 22763, 27534, 30136, 31987, 22720, 52702, + 9024, 10969, 23952, 44753, 50969, 5195, 4104, 19827, 3270, 19621, + 40161, 57437, 20894, 62308, 33822, 60776, 59292, 8230, 57826, 10876, + 31426, 19667, 10987, 22918, 9002, 65499, 63151, 45447, 19882, 39061, + 4369, 34505, 17699, 16327, 44480, 1070, 50790, 7747, 14125, 59297, + 43204, 7086, 54054, 21421, 17713, 34652, 22292, 59436, 58900, 11607, + 1485, 29719, 10670, 31103, 4375, 45693, 57636, 27339, 30906, 42127, + 38442, 14509, 33089, 15064, 33102, 44180, 40013, 8073, 23263, 20875, + 15509, 37874, 4216, 48127, 44925, 29453, 2348, 19357, 46674, 35637, + 16975, 59738, 14624, 41204, 11999, 25484, 3376, 36089, 58254, 10805, + 26914, 1832, 47469, 19809, 16547, 53452, 12598, 49061, 25034, 4072, + 33078, 65223, 52756, 52651, 55253, 25724, 26874, 43521, 64708, 33100, + 46637, 63046, 39617, 914, 366, 35093, 7178, 52383, 59915, 48447, + 41820, 62975, 60409, 11736, 19366, 43219, 8331, 57707, 30799, 51549, + 4301, 46669, 40993, 39067, 4991, 527, 40144, 36362, 20657, 30221, + 10539, 52107, 9464, 31523, 55262, 44387, 15946, 22143, 27873, 6237, + 27771, 35218, 9089, 18442, 48981, 65313, 2825, 65321, 11341, 46968, + 17421, 7201, 38376, 50621, 34898, 45994, 44714, 36962, 1885, 62348, + 53686, 26292, 16791, 12160, 35591, 40337, 11289, 39475, 10569, 34102, + 34586, 19560, 21333, 43574, 61682, 23612, 15176, 62343, 57290, 59013, + 43327, 20407, 19427, 3156, 47024, 49980, 29823, 1690, 47976, 51688, + 51567, 37351, 28957, 7547, 41786, 5197, 10927, 4947, 5095, 65379, + 22016, 58174, 47720, 4198, 22380, 18800, 8867, 12409, 20967, 5520, + 56350, 55053, 5810, 22764, 4421, 61531, 5818, 1485, 2395, 27150, + 53133, 61926, 40699, 43007, 52729, 19942, 21834, 17176, 67, 50221, + 21948, 37977, 45569, 37018, 16511, 44537, 11157, 899, 22919, 33956, + 36678, 446, 15415, 10979, 11772, 13276, 57257, 8346, 11672, 46710, + 21914, 55466, 64238, 15775, 19957, 46775, 41312, 48505, 2298, 59140, + 49688, 51683, 523, 37497, 34017, 65360, 15635, 4295, 31906, 59059, + 49299, 55970, 6521, 59030, 26187, 7725, 57968, 62282, 52353, 63348, + 20703, 53305, 53745, 28434, 51038, 54359, 42577, 33011, 48740, 17335, + 6527, 36148, 57473, 28730, 29513, 46375, 28724, 62306, 48601, 50802, + 61802, 29508, 3259, 30294, 45204, 33948, 60044, 53006, 24931, 23547, + 46914, 53582, 44835, 8219, 24850, 27626, 14513, 53075, 33282, 2968, + 4634, 51749, 35851, 9422, 34258, 13557, 19946, 14789, 28558, 33493, + 47747, 65184, 10942, 39803, 31986, 23973, 44714, 11860, 33584, 15785, + 4648, 58545, 18783, 3211, 20728, 17695, 20403, 12246, 52412, 14806, + 50428, 40330, 41878, 19527, 4051, 65269, 38496, 51160, 32865, 57274, + 55427, 5548, 5319, 35571, 50036, 50075, 55853, 22643, 2001, 51265, + 5227, 19492, 38420, 46642, 63053, 2447, 6385, 45131, 21638, 15739, + 31570, 4388, 23861, 49068, 37869, 55201, 65036, 52940, 45182, 2728, + 17187, 59496, 23206, 26093, 39000, 57105, 20576, 49640, 30560, 61603, + 18972, 51432, 44143, 48802, 51322, 43841, 64384, 10943, 50084, 42145, + 36929, 14715, 46664, 17537, 17054, 15587, 7729, 35767, 23017, 43385, + 11393, 4391, 6307, 5939, 65421, 32666, 64234, 4252, 24661, 56999, + 12670, 29209, 40350, 14541, 33649, 15175, 48814, 20643, 24683, 54399, + 59299, 14118, 36247, 60027, 26993, 46386, 30510, 8722, 38774, 39436, + 53406, 1567, 7356, 8476, 52566, 45440, 10954, 49336, 56369, 55098, + 31783, 54299, 28540, 60090, 50284, 45684, 64422, 18998, 51205, 58651, + 63107, 27954, 62852, 20190, 16701, 14623, 64161, 13946, 1751, 48670, + 28370, 17957, 47455, 48610, 19843, 45640, 30640, 32435, 39411, 55626, + 50657, 35982, 9899, 52872, 8782, 10857, 6811, 25184, 41281, 21909, + 28114, 22893, 22844, 23869, 14297, 42189, 2533, 12299, 18861, 36253, + 1934, 41450, 11608, 58758, 5472, 3046, 17707, 28273, 30757, 51247, + 25754, 52054, 11183, 11324, 56500, 27698, 50407, 49931, 38461, 1815, + 10869, 43399, 29545, 20573, 60937, 3843, 54072, 50166, 45125, 64483, + 45161, 29151, 39239, 41051, 30236, 57267, 36822, 36174, 17415, 12634, + 31016, 22872, 64596, 60126, 27469, 21479, 5398, 48478, 23612, 2180, + 51047, 61723, 30584, 29744, 54815, 7855, 58463, 61161, 50739, 2398, + 26383, 60236, 679, 35973, 58869, 52820, 1585, 44591, 32244, 16704, + 17516, 2247, 28462, 32659, 11445, 56807, 52337, 17205, 16550, 17487, + 30529, 4279, 55341, 753, 37502, 50612, 52552, 52008, 13746, 27475, + 59743, 41993, 51961, 28392, 36413, 30930, 8589, 33466, 46253, 56448, + 57069, 35039, 64173, 13436, 39082, 2628, 6993, 40053, 32974, 56265, + 51767, 62793, 12009, 45961, 36003, 45340, 44985, 36919, 51767, 3724, + 42357, 62627, 61264, 38139, 58038, 6492, 6911, 50187, 35331, 22128, + 29346, 2088, 23543, 10063, 54559, 9790, 32273, 19150, 18364, 28812, + 42008, 41287, 5790, 13968, 9769, 35549, 17117, 546, 11615, 42973, + 30784, 21405, 49437, 64832, 25754, 44855, 7930, 40781, 60096, 60786, + 26442, 9307, 19237, 57515, 18501, 16820, 64533, 33232, 61911, 5270, + 35722, 63023, 15363, 47443, 33966, 57449, 35316, 62104, 62190, 36485, + 37794, 49866, 48311, 46881, 57373, 63884, 45008, 38851, 23162, 55133, + 47444, 26189, 2903, 2399, 25418, 10127, 41228, 24370, 20963, 7415, + 4207, 48383, 6735, 7011, 14404, 60305, 27964, 15639, 4416, 2205, + 12012, 32970, 38072, 31010, 46833, 2011, 9195, 24555, 4488, 50593, + 55784, 47666, 48272, 37343, 53789, 25695, 64037, 45143, 3454, 26861, + 29406, 65397, 16462, 7022, 33183, 8236, 29325, 41381, 8987, 2270, + 64767, 44241, 8937, 38261, 3284, 17673, 49218, 50831, 30713, 43202, + 42069, 33238, 19450, 25198, 42055, 50541, 8594, 54847, 26826, 24710, + 57041, 43529, 46425, 25360, 12776, 35142, 43277, 38542, 44692, 59187, + 62239, 29187, 6181, 33047, 38139, 6921, 554, 33443, 27645, 9730, + 37307, 20085, 23754, 59237, 51555, 3255, 39502, 18490, 27047, 16868, + 8781, 12193, 4151, 53163, 10664, 45963, 57265, 57836, 53807, 25228, + 39436, 27103, 9245, 6345, 47015, 59732, 58676, 61964, 42222, 28692, + 34678, 2806, 61606, 52583, 2429, 58196, 54487, 6063, 17015, 21386, + 9299, 52274, 46018, 10027, 18150, 59637, 39456, 36523, 36380, 33475, + 39063, 47660, 52393, 31053, 63208, 3956, 47737, 2923, 3497, 36096, + 20309, 11649, 6657, 3122, 56878, 41980, 21248, 13477, 23306, 1020, + 47769, 4769, 54664, 56332, 50237, 25258, 53777, 26380, 35604, 50227, + 52125, 19248, 61914, 9584, 51231, 1825, 42581, 55881, 35215, 53057, + 41582, 61546, 34194, 48396, 25843, 29303, 28103, 21146, 48104, 27741, + 45744, 29760, 39558, 41668, 2875, 6742, 5329, 4000, 43756, 7444, + 15065, 21991, 28842, 40086, 11661, 32349, 63523, 42751, 26422, 28554, + 6108, 57017, 53656, 45774, 62936, 730, 58272, 14475, 31972, 23458, + 41161, 60800, 40061, 11553, 62482, 4918, 23903, 29174, 32916, 28050, + 29868, 16433, 41410, 30603, 40885, 23130, 62786, 61686, 45671, 36491, + 26722, 14205, 57941, 42424, 25400, 64687, 30813, 50447, 19291, 2865, + 45818, 17274, 14392, 60689, 17190, 5442, 21265, 25915, 28138, 4045, + 64664, 52740, 31875, 26504, 29220, 36580, 50482, 59872, 19598, 64321, + 13985, 38249, 53931, 3174, 61421, 21026, 47461, 34962, 3924, 43984, + 35704, 18733, 33148, 36998, 37574, 29206, 15198, 13638, 48472, 35863, + 59597, 25282, 38898, 38010, 47527, 35899, 6226, 13237, 38807, 12939, + 37125, 27968, 44676, 13629, 51429, 14983, 53657, 54234, 53298, 41723, + 59122, 16703, 52556, 835, 9184, 52009, 39690, 21905, 33753, 47059, + 45755, 27919, 33791, 7094, 31671, 13943, 52555, 2415, 47776, 12226, + 5974, 40383, 31290, 26872, 11716, 35244, 26596, 32806, 41549, 56516, + 15795, 10862, 21865, 61825, 29738, 64568, 40855, 32200, 5527, 17031, + 34123, 59788, 11532, 57190, 65394, 11664, 60153, 15232, 675, 40009, + 14691, 32463, 36579, 5857, 6595, 25197, 45589, 20568, 50813, 3462, + 1788, 57541, 12795, 36719, 56046, 2747, 7526, 43215, 50200, 13510, + 41217, 46587, 4712, 14613, 40994, 44128, 9499, 52529, 17101, 15641, + 20195, 25579, 12014, 20129, 37556, 2901, 56115, 8098, 9003, 59622, + 62852, 34028, 11931, 5569, 30496, 54401, 8630, 48399, 41045, 12237, + 10965, 32392, 46555, 65267, 26596, 14097, 63950, 36674, 51437, 29056, + 64071, 59078, 20799, 57723, 61334, 65275, 315, 27262, 33821, 47261, + 21178, 21755, 65484, 64155, 53790, 29595, 28980, 36393, 65012, 6819, + 3658, 27124, 14147, 60274, 42683, 15985, 11380, 38438, 41446, 13647, + 51421, 54111, 41595, 62987, 21228, 63515, 35246, 8609, 748, 42432, + 13335, 38752, 64631, 14393, 59945, 62679, 13433, 46136, 7756, 58091, + 28124, 6041, 45722, 57866, 5845, 3559, 41011, 26490, 28874, 10999, + 31294, 15819, 3295, 60850, 59004, 14955, 18204, 60657, 50570, 31320, + 2620, 45185, 25779, 30704, 50709, 55365, 45935, 27620, 8863, 21371, + 5757, 35659, 39330, 35351, 4760, 54483, 49408, 31307, 43225, 16146, + 44956, 60456, 57000, 14842, 34534, 64862, 35680, 38251, 51973, 26399, + 9599, 65251, 54175, 34, 59782, 26704, 16200, 7364, 21619, 51718, + 62340, 36680, 35801, 53708, 54139, 10815, 60825, 12561, 63288, 50947, + 41279, 23612, 52637, 62045, 42235, 44321, 13031, 33095, 28730, 64143, + 9690, 28619, 58848, 55046, 25993, 35850, 61476, 51334, 57796, 36696, + 49161, 60290, 34846, 26501, 19061, 37620, 38809, 37431, 15600, 38794, + 17648, 35954, 17402, 10238, 6529, 30778, 7432, 3203, 5846, 14928, + 36381, 28507, 18639, 29207, 64770, 23685, 4205, 52634, 34, 19092, + 4413, 23463, 58116, 7835, 19295, 40467, 47205, 15685, 35890, 15905, + 13297, 23297, 65438, 25854, 9630, 56666, 19453, 55907, 4261, 48996, + 17062, 11101, 63518, 2898, 53016, 15145, 6968, 6949, 28352, 49209, + 64274, 62079, 7015, 20307, 42040, 9110, 12859, 46809, 54717, 53572, + 33144, 35875, 16369, 32826, 18099, 60680, 46271, 35157, 34755, 38780, + 50086, 7945, 53324, 39251, 56146, 63481, 65001, 64036, 43734, 53417, + 25846, 57672, 7304, 5707, 14327, 55810, 58490, 11445, 48040, 63417, + 15504, 57716, 29089, 48224, 2115, 48634, 934, 49910, 14833, 2802, + 22921, 20521, 62430, 30389, 58300, 2838, 32459, 28884, 50295, 16427, + 53297, 27861, 8578, 12334, 64026, 24060, 34976, 3414, 32413, 56894, + 61592, 26775, 8436, 37467, 32993, 61691, 28219, 32004, 59881, 34604, + 55239, 4919, 47106, 50958, 55585, 940, 43753, 18825, 17310, 50023, + 48117, 100, 27036, 4462, 7385, 11853, 33627, 50069, 6421, 40181, + 18293, 20085, 25599, 54104, 20731, 39547, 64874, 11802, 36142, 55324, + 30448, 56333, 35543, 9510, 994, 57551, 9540, 62770, 24008, 24465, + 42207, 20278, 10134, 36850, 3948, 4663, 6510, 57097, 478, 37659, + 57100, 56962, 3543, 1436, 13011, 50143, 13499, 16985, 24433, 23008, + 47728, 39943, 62001, 26110, 10676, 38340, 2013, 42000, 1050, 27788, + 15949, 39441, 14242, 34244, 62608, 42489, 5108, 62708, 9142, 51143, + 6457, 27282, 47673, 51616, 39574, 65017, 1030, 34833, 52806, 25721, + 18731, 15473, 34131, 18311, 27934, 52066, 25841, 35861, 63655, 14710, + 7031, 31997, 39019, 34797, 43771, 60054, 47639, 23453, 33672, 29033, + 37413, 61419, 50942, 14846, 29774, 63477, 45191, 41935, 2188, 12242, + 47538, 27998, 34507, 32753, 46893, 2914, 49963, 46238, 50979, 285, + 29940, 60323, 35632, 11209, 49687, 64011, 51197, 4912, 47453, 11265, + 9341, 29019, 1327, 57560, 25283, 17250, 49408, 42276, 3197, 54626, + 64347, 36101, 11537, 23437, 3716, 45188, 21330, 51804, 39374, 52245, + 46303, 49119, 34169, 10044, 60696, 28724, 6981, 845, 29118, 42774, + 56727, 62539, 30502, 4237, 26439, 42482, 40474, 6851, 15876, 44753, + 25933, 58103, 8549, 13198, 63608, 49035, 140, 15437, 38677, 6455, + 24697, 48684, 27831, 25952, 40169, 31461, 21764, 13187, 3560, 63639, + 42321, 24523, 48122, 62366, 46552, 40131, 60642, 52382, 33944, 42534, + 29453, 38047, 27593, 36561, 18846, 31058, 34299, 48089, 42775, 29554, + 63946, 16057, 20640, 14662, 1856, 24740, 38903, 38723, 20679, 20729, + 3573, 28878, 31177, 2261, 37817, 51998, 54502, 38338, 5235, 25664, + 31697, 7564, 53711, 30772, 51912, 3380, 52475, 30261, 55726, 1278, + 30295, 34838, 11462, 13221, 28089, 60872, 30478, 62127, 48473, 20216, + 59446, 38363, 39264, 17621, 8251, 32694, 19976, 32239, 33445, 44364, + 42607, 65083, 17241, 2812, 46590, 7475, 10011, 1125, 36464, 1050, + 37284, 57724, 3202, 36445, 7004, 41664, 34102, 36373, 34997, 11908, + 48579, 38570, 40228, 49515, 14364, 36753, 58300, 46067, 32283, 28981, + 10088, 50984, 46410, 44532, 62660, 19064, 25934, 59712, 8089, 36007, + 64241, 48870, 58867, 10713, 12746, 31851, 60129, 59596, 11915, 52882, + 58057, 63785, 46055, 34043, 27493, 34031, 31774, 44517, 10590, 42767, + 24870, 27668, 58755, 63767, 40311, 2254, 934, 2566, 3956, 58367, + 19731, 12350, 2417, 1148, 43182, 18583, 55397, 10852, 64168, 5263, + 52013, 26019, 1501, 2464, 9338, 21136, 51406, 33706, 345, 16054, + 28726, 27792, 56925, 38832, 39243, 35551, 1387, 9774, 15762, 51624, + 52770, 46868, 16991, 60270, 15077, 62657, 17896, 14907, 7302, 21101, + 58373, 59085, 34448, 2987, 19591, 59630, 65073, 23054, 42290, 21217, + 28098, 8936, 20824, 10744, 34549, 32557, 55571, 63043, 56730, 40823, + 51742, 23394, 42254, 44579, 35920, 11388, 39488, 29080, 50103, 36168, + 17505, 37032, 31309, 53832, 22056, 31502, 60249, 61974, 39359, 6167, + 27237, 31191, 29005, 32094, 32728, 5836, 38433, 4016, 62767, 59143, + 24696, 36878, 10972, 58170, 33259, 46800, 45499, 54187, 48248, 7910, + 49709, 25155, 49194, 25402, 51923, 64454, 63848, 28721, 61673, 21022, + 47576, 11089, 64572, 29136, 50670, 45821, 51401, 31888, 61717, 39003, + 63476, 15087, 4728, 38230, 15202, 55090, 15469, 24767, 13171, 13119, + 49278, 25230, 31583, 27569, 10774, 10823, 7404, 14858, 63941, 43384, + 17865, 2056, 35632, 21598, 47243, 42512, 12184, 27304, 4929, 46791, + 9989, 51795, 42421, 448, 49678, 52916, 12610, 65359, 38472, 56724, + 35917, 3964, 20297, 25717, 50017, 60947, 18008, 10227, 61931, 5593, + 43698, 59206, 44174, 58585, 42107, 30180, 30500, 29660, 31808, 36163, + 24659, 13046, 28965, 47292, 31943, 32061, 34736, 56715, 39953, 30101, + 15152, 243, 12572, 40615, 39883, 3711, 2119, 49136, 803, 32866, + 1316, 51701, 10661, 60416, 49613, 35733, 1646, 38022, 35294, 10871, + 33753, 17843, 51986, 28833, 45737, 55482, 46461, 8490, 50640, 58688, + 25955, 42504, 49370, 16512, 37044, 35075, 25337, 3214, 21029, 18891, + 30893, 14777, 19436, 17188, 24080, 31753, 64536, 28991, 7099, 55015, + 48266, 2853, 10328, 220, 34964, 332, 30918, 370, 16940, 37708, + 10466, 35639, 53452, 20139, 42744, 46047, 5098, 59604, 60358, 52361, + 17167, 34343, 59689, 15386, 62871, 8234, 63847, 24006, 44036, 56540, + 47384, 34221, 21758, 18730, 21937, 28065, 59371, 34939, 64174, 27654, + 53031, 43878, 42844, 63187, 31712, 32643, 22336, 5301, 7569, 19039, + 1831, 32338, 5913, 393, 49356, 20906, 27511, 45513, 30495, 22938, + 64549, 59249, 7480, 2939, 4877, 2507, 472, 31975, 21036, 48049, + 6551, 45979, 49858, 29500, 33200, 53624, 24115, 39572, 9573, 48804, + 2686, 44007, 4112, 5069, 42071, 55925, 38344, 9870, 20706, 64199, + 7340, 14826, 61710, 31743, 61647, 62709, 12428, 25675, 11456, 24977, + 19051, 25204, 24756, 54614, 15698, 9875, 16978, 18059, 51545, 21474, + 20251, 44881, 12676, 32176, 60277, 16608, 23260, 49518, 10322, 18664, + 33580, 45031, 63873, 51993, 26965, 22413, 18065, 61630, 24910, 25070, + 57438, 36996, 28092, 22086, 1337, 12377, 6442, 13101, 48568, 880, + 26853, 45425, 64535, 34400, 58231, 41470, 2121, 31596, 17598, 63738, + 25247, 10462, 6568, 14317, 59156, 56204, 7565, 2334, 39730, 53116, + 54056, 65017, 12453, 49316, 29491, 33451, 58971, 34250, 60063, 14544, + 4692, 14218, 17005, 2884, 15883, 34166, 27436, 27926, 24449, 64343, + 1551, 21915, 22754, 6290, 26026, 43934, 35030, 15270, 41033, 61877, + 54631, 33746, 11757, 9647, 15242, 60115, 11236, 58665, 36172, 63691, + 4, 2368, 43162, 37950, 46467, 2912, 4269, 36917, 3446, 42299, + 29712, 47749, 56548, 15830, 18767, 19498, 62087, 54717, 19518, 48588, + 24094, 17495, 17891, 8804, 37745, 47188, 10497, 18936, 33507, 36162, + 11586, 3714, 25005, 43071, 13056, 19695, 31677, 44489, 22959, 11331, + 2346, 33457, 21227, 29913, 41298, 43567, 39962, 55752, 34194, 13070, + 26814, 13922, 40353, 1544, 42842, 57814, 40841, 34604, 11005, 23285, + 32067, 40059, 41839, 44561, 53743, 19347, 44535, 5889, 43181, 16515, + 59591, 33090, 38683, 44989, 37638, 43064, 50539, 9513, 58232, 47506, + 7711, 62038, 57291, 31691, 32612, 3531, 7808, 6860, 26633, 21884, + 32115, 56494, 14874, 18008, 30473, 8350, 52509, 23295, 24566, 10714, + 43496, 25489, 30632, 22743, 59933, 18594, 21844, 38021, 21828, 52041, + 56219, 50602, 62851, 62552, 31960, 26219, 65020, 1190, 14857, 18410, + 31939, 55431, 26199, 53256, 62074, 53341, 55619, 4427, 35212, 28744, + 55663, 16091, 18178, 2296, 43527, 7847, 12037, 56228, 17610, 15269, + 63217, 19219, 27321, 47670, 32529, 52184, 54618, 53415, 60925, 26011, + 62121, 1492, 59277, 46993, 13724, 30695, 63865, 37389, 42322, 36158, + 63375, 22320, 62679, 37227, 41359, 29885, 3478, 57428, 36006, 26887, + 38492, 24955, 60037, 58635, 41220, 13657, 26654, 48538, 18629, 38627, + 65019, 32175, 43796, 4910, 11323, 35807, 17719, 22830, 48169, 62702, + 24680, 922, 49530, 3453, 54946, 57068, 13603, 15585, 6667, 19844, + 9173, 25360, 2301, 35820, 2582, 27573, 47282, 46902, 38909, 49251, + 140, 38786, 46730, 27621, 12287, 20636, 18591, 57524, 63772, 15042, + 49567, 29826, 14778, 17794, 19362, 49907, 60739, 26306, 55122, 18795, + 12568, 47066, 3941, 44546, 58786, 731, 51639, 17300, 5622, 41459, + 12291, 31990, 61476, 45800, 31294, 8515, 47133, 49346, 46224, 13140, + 48958, 9606, 43759, 40525, 2685, 34332, 46170, 55636, 61743, 59986, + 47024, 54365, 40563, 4857, 25642, 40993, 65343, 53293, 14203, 25389, + 18181, 14771, 35715, 19991, 54529, 52091, 34218, 24779, 58402, 34884, + 25055, 62211, 3986, 25694, 13101, 4565, 21988, 23179, 54263, 58470, + 58585, 44287, 24528, 27068, 38863, 62599, 12537, 434, 45767, 49094, + 64376, 21252, 1041, 42137, 16337, 16522, 13974, 50588, 61284, 49026, + 48834, 60870, 48226, 33030, 27660, 33840, 12141, 32924, 18723, 47603, + 15564, 43500, 5302, 29742, 45896, 59276, 15584, 37927, 32422, 3320, + 59183, 24457, 22464, 11677, 12112, 60107, 7234, 4884, 26200, 34737, + 43006, 42092, 48305, 23020, 43501, 12448, 24735, 21469, 36547, 44583, + 47982, 64888, 15149, 8619, 5946, 34627, 61354, 32209, 38747, 52092, + 20483, 28215, 3435, 65370, 10344, 40828, 54516, 63084, 10793, 13944, + 32699, 64030, 31246, 18572, 48291, 22363, 35411, 18197, 33600, 58444, + 23107, 28666, 11769, 57405, 54639, 30053, 52441, 44961, 44171, 44687, + 39666, 5676, 41446, 17331, 8302, 45342, 58245, 59172, 11059, 37792, + 44251, 52602, 26674, 1862, 2598, 46824, 1869, 37557, 14184, 64425, + 29667, 34910, 46336, 44441, 52217, 32616, 52197, 224, 24582, 14508, + 56192, 19913, 9563, 37338, 27427, 7601, 42285, 18617, 39209, 54554, + 37440, 28811, 8618, 44255, 53042, 39822, 55003, 52354, 20322, 55967, + 1281, 30625, 56443, 54522, 56336, 24712, 27297, 23068, 39140, 20959, + 17718, 61385, 51227, 33156, 65097, 65066, 40077, 65140, 43675, 23566, + 62313, 52194, 37968, 7505, 16365, 23402, 11823, 42330, 14529, 14431, + 45462, 8125, 49393, 54848, 28925, 41218, 9861, 37962, 35108, 20861, + 28863, 10279, 15068, 9308, 6129, 49604, 55151, 48319, 8440, 23104, + 51630, 17153, 13913, 49152, 58773, 3094, 63734, 4575, 51356, 5389, + 25196, 31619, 46237, 29876, 45836, 9419, 61276, 1370, 1397, 26212, + 20664, 43183, 16843, 30075, 18797, 42952, 51862, 55436, 19588, 53567, + 56633, 5977, 9560, 6008, 16396, 14327, 25669, 37118, 30745, 47874, + 44014, 56587, 24688, 59633, 18731, 3622, 40231, 25220, 8078, 23783, + 1010, 1626, 38032, 1238, 46171, 23967, 50013, 52594, 14750, 57826, + 58169, 57677, 45091, 36356, 27277, 46420, 34968, 19532, 6290, 57901, + 8874, 62360, 44953, 61881, 6497, 42415, 51700, 17081, 47894, 32945, + 54149, 17548, 50961, 46511, 53729, 27926, 10947, 13911, 17695, 27325, + 6300, 19400, 2883, 13453, 29322, 34948, 53314, 47739, 8720, 42883, + 13490, 6087, 38910, 2660, 29968, 18810, 19923, 43199, 64429, 35599, + 49835, 25237, 59189, 39726, 3558, 51177, 12253, 19091, 49496, 34664, + 46377, 55054, 29990, 54851, 7767, 46243, 63299, 17182, 5995, 34513, + 47239, 34336, 5336, 11248, 45417, 43, 26568, 56499, 39001, 47909, + 3336, 33230, 58301, 56693, 16531, 33201, 45445, 41610, 32698, 26737, + 20077, 2709, 17388, 60360, 46799, 37719, 24616, 60723, 2850, 27668, + 35580, 15417, 37395, 46759, 16184, 64204, 33342, 34735, 48436, 42440, + 28041, 30695, 38587, 58264, 51229, 47252, 53139, 23288, 42242, 48407, + 15530, 62421, 25174, 43938, 27615, 1104, 40746, 59143, 65129, 3041, + 29945, 9132, 65512, 48792, 36550, 39323, 25606, 17023, 22481, 1462, + 29898, 41244, 7157, 19464, 39801, 36627, 37793, 44342, 36393, 52199, + 62833, 41236, 35811, 31713, 18280, 34899, 61812, 65033, 18892, 34649, + 4304, 57425, 62879, 64553, 54564, 46034, 10421, 8577, 23927, 41773, + 47479, 37123, 22524, 52500, 52274, 30347, 34806, 34017, 58396, 26271, + 32840, 47971, 51685, 59908, 15512, 61882, 502, 61549, 58143, 14424, + 11338, 31823, 39185, 56359, 18405, 63952, 62371, 33737, 29684, 53981, + 12221, 40699, 26755, 62042, 64062, 10771, 32981, 2165, 13030, 59304, + 53603, 33300, 46053, 21072, 25460, 17772, 51197, 43140, 20565, 26035, + 39254, 38048, 5686, 8173, 33502, 4871, 8353, 37603, 52826, 22628, + 7831, 50227, 2318, 39435, 24649, 42112, 47365, 47741, 5744, 58569, + 56574, 64522, 60745, 36568, 16627, 64401, 7473, 29393, 20807, 21859, + 43736, 39914, 46731, 30278, 12581, 7737, 41965, 14997, 11942, 44968, + 25149, 7557, 28850, 40454, 16797, 55938, 54814, 54328, 48069, 33464, + 17304, 49293, 12528, 10413, 17315, 3631, 18791, 25611, 27695, 17332, + 42408, 3964, 17364, 30932, 10035, 49838, 171, 30006, 48112, 43638, + 6857, 43619, 13888, 33040, 19545, 39644, 23454, 34948, 14677, 24646, + 62450, 35109, 39137, 8899, 17266, 63028, 23108, 29533, 63797, 31393, + 57219, 39836, 15446, 20465, 1948, 5175, 8641, 57659, 25180, 29927, + 29853, 23628, 34781, 20310, 23382, 62186, 11680, 34615, 837, 44598, + 13123, 55663, 7423, 54826, 32991, 25712, 37687, 3906, 12544, 9127, + 34385, 28728, 8258, 28683, 13956, 61927, 40068, 47062, 9898, 47953, + 36300, 48977, 24999, 60348, 4791, 56519, 27764, 42231, 10907, 49563, + 3783, 14266, 42811, 33398, 48225, 29739, 16421, 45208, 2291, 16509, + 16812, 30288, 12462, 47027, 61822, 51335, 24422, 12931, 25313, 57206, + 97, 48213, 5262, 18552, 194, 38506, 21034, 761, 36493, 35610, + 62301, 14990, 32342, 62262, 45713, 20511, 8587, 28556, 62793, 1087, + 63322, 30856, 32795, 46236, 16960, 1527, 12364, 48786, 11312, 45287, + 29958, 14554, 50012, 53675, 57912, 22111, 47522, 15355, 55050, 58417, + 28822, 23351, 53756, 29842, 31784, 37068, 44186, 59694, 26098, 54959, + 39134, 11880, 6945, 51207, 23074, 6608, 3837, 20967, 54208, 32176, + 48150, 28217, 42824, 41926, 52749, 27318, 15453, 33035, 56828, 24081, + 11308, 5298, 19331, 36148, 43658, 33836, 23664, 41633, 9897, 42600, + 27914, 47611, 64887, 53273, 39674, 24990, 46996, 54063, 62679, 54376, + 60653, 17255, 55372, 52635, 34810, 12798, 51432, 30939, 49808, 45697, + 43394, 8273, 54883, 39616, 57927, 23282, 64284, 4064, 40290, 40154, + 60435, 37520, 58438, 11218, 53162, 23636, 1947, 39409, 64069, 65533, + 23491, 2142, 42729, 24377, 62471, 37939, 24432, 10054, 42595, 28541, + 28262, 9922, 16874, 18528, 21636, 61087, 33239, 49239, 56263, 20530, + 59186, 54682, 555, 27242, 17180, 16536, 38409, 6173, 23479, 59299, + 65034, 45438, 46516, 43692, 18119, 12971, 11386, 59683, 12287, 63843, + 41712, 17408, 37748, 27605, 46823, 15840, 8034, 64147, 295, 31559, + 65181, 4006, 3092, 34052, 43078, 40123, 51965, 3762, 45353, 6778, + 64514, 58202, 6326, 60151, 32415, 47670, 11162, 12609, 18649, 21905, + 46586, 20504, 17667, 28106, 57164, 25021, 557, 2679, 43473, 6881, + 49450, 2981, 44979, 43711, 2109, 50494, 22455, 19013, 34656, 44676, + 23454, 19565, 46896, 18361, 6686, 41387, 15480, 30689, 52856, 57471, + 47704, 50335, 26550, 1322, 23825, 58940, 22915, 14973, 1904, 22983, + 24742, 7628, 44245, 45910, 54557, 4098, 480, 37482, 50130, 17870, + 19895, 14961, 43516, 26876, 50677, 61796, 19477, 48350, 32562, 26257, + 49779, 55272, 39979, 33651, 30086, 9308, 1422, 10429, 25517, 31258, + 37989, 31094, 43701, 17305, 14620, 30269, 61166, 49139, 18736, 51886, + 55788, 5146, 48502, 52957, 35543, 64808, 51974, 57087, 29295, 5589, + 57538, 19241, 46241, 49410, 45958, 16688, 51646, 60274, 41710, 12975, + 47454, 63128, 24766, 22822, 59600, 16010, 25842, 6719, 5948, 52501, + 22627, 11821, 49496, 60395, 16403, 35373, 50883, 26616, 54037, 12590, + 51969, 30675, 1308, 11405, 27668, 22351, 28574, 3833, 2227, 51760, + 58276, 33654, 28714, 55290, 3633, 35453, 30157, 13029, 47436, 10048, + 538, 56302, 54188, 24330, 56170, 46599, 2304, 36037, 84, 55919, + 21720, 10902, 3487, 40988, 39475, 21411, 51681, 26708, 59814, 24564, + 37048, 25178, 49189, 7287, 63396, 38982, 10353, 16669, 42624, 46830, + 18718, 59171, 64923, 8960, 35136, 57707, 50039, 3378, 5554, 63752, + 46109, 22416, 12546, 12249, 27675, 15860, 22524, 19276, 51484, 54164, + 58577, 2372, 31266, 34741, 31460, 33045, 6498, 894, 9522, 21164, + 51644, 53991, 45403, 26060, 61909, 27344, 29009, 7027, 20179, 15918, + 11676, 62299, 14516, 46176, 45639, 51100, 37451, 62112, 107, 57454, + 32473, 35476, 52821, 16909, 38724, 16388, 41047, 46773, 63734, 14247, + 25640, 14029, 10325, 278, 6392, 28960, 24794, 3554, 44565, 21830, + 36048, 18369, 57907, 41505, 48811, 38883, 36187, 39555, 42499, 20835, + 24435, 8685, 6699, 37081, 48486, 41842, 29810, 49932, 1775, 17595, + 5110, 42180, 12039, 1827, 1312, 11710, 12521, 39170, 26303, 63673, + 35774, 47368, 50884, 55982, 36587, 11711, 20632, 31516, 57587, 29490, + 18198, 57217, 19209, 24748, 58408, 64485, 29494, 10393, 22529, 31375, + 53027, 13450, 16884, 3291, 47492, 32596, 40176, 46884, 13345, 12119, + 47513, 43483, 32898, 21212, 32771, 22969, 22839, 49304, 54029, 43281, + 13761, 15950, 36696, 5182, 41761, 12176, 16355, 62268, 23290, 11941, + 16964, 595, 25445, 4486, 46213, 33774, 8529, 64771, 9881, 28042, + 59285, 18435, 43386, 12746, 11412, 4328, 30176, 55719, 12428, 34577, + 1183, 16068, 32379, 2681, 4766, 22751, 20910, 25468, 43631, 45156, + 35303, 29882, 60816, 10268, 30762, 59668, 63293, 28674, 28196, 32724, + 54000, 37686, 39481, 47337, 8311, 13067, 23081, 49999, 40879, 8507, + 52829, 44941, 32226, 11066, 6100, 2136, 57019, 2215, 19109, 4949, + 47842, 32479, 48944, 7164, 52792, 60332, 3045, 19096, 61360, 35099, + 37296, 43371, 52424, 56427, 9472, 6638, 41635, 57889, 64883, 7221, + 11973, 43321, 46624, 27249, 45418, 12151, 51328, 35472, 31619, 28891, + 35833, 38911, 8782, 48178, 25609, 42895, 4753, 32457, 26379, 18293, + 56690, 55613, 26537, 48491, 14582, 28526, 60127, 50421, 19988, 1426, + 13479, 44990, 36469, 40037, 13639, 59937, 60363, 56958, 49459, 1475, + 60107, 53437, 7584, 35933, 43976, 55265, 35750, 64663, 41710, 17718, + 7184, 29456, 23063, 48235, 46532, 41338, 13901, 58498, 55497, 54755, + 56029, 62944, 18426, 62478, 25620, 55172, 25414, 25343, 21401, 40276, + 40097, 4246, 43110, 22931, 4491, 52563, 15461, 21360, 62267, 25144, + 8611, 54455, 1896, 58060, 22835, 57514, 11187, 56635, 56738, 17899, + 26036, 10907, 39698, 40336, 43423, 16713, 36597, 59737, 8595, 2930, + 59752, 41725, 24447, 25518, 43561, 11936, 33713, 31174, 54071, 49762, + 508, 33174, 60165, 62737, 20358, 11790, 10330, 17918, 25388, 39506, + 16840, 4077, 37522, 25345, 31430, 31014, 62922, 53651, 10348, 4776, + 7071, 7132, 34763, 63613, 30848, 55725, 24551, 25897, 39428, 62106, + 35155, 51772, 51486, 26069, 5479, 40967, 25822, 28217, 28447, 15979, + 57313, 42083, 28563, 12493, 59991, 57595, 15, 38693, 62127, 49425, + 33742, 17974, 50913, 52897, 58200, 30936, 49239, 28473, 45941, 1137, + 33913, 55986, 37324, 8834, 980, 31769, 28957, 349, 486, 16050, + 12056, 28149, 3976, 26898, 28120, 2254, 33272, 51307, 19199, 38931, + 36994, 3557, 11886, 10438, 25291, 24615, 35489, 53521, 31162, 17140, + 2214, 1468, 32004, 5874, 14776, 38072, 5970, 37767, 34940, 30424, + 11024, 48348, 50135, 51871, 18900, 63491, 15722, 27188, 63593, 65333, + 5547, 44658, 59768, 38964, 61345, 4380, 63698, 3791, 58940, 32814, + 20828, 38276, 15694, 21582, 51096, 52799, 59434, 64609, 4812, 56641, + 40920, 36922, 11985, 34844, 58097, 40046, 53369, 730, 55581, 39235, + 30366, 11582, 46119, 40647, 16835, 8738, 51386, 2044, 23658, 6911, + 14523, 55089, 24050, 51595, 43330, 52588, 45609, 27005, 7733, 18179, + 44918, 60524, 46467, 3914, 42396, 49621, 39931, 32102, 53036, 56636, + 13766, 19520, 44938, 48233, 5255, 49045, 1945, 20435, 62734, 51243, + 11014, 50971, 40627, 63774, 8238, 25519, 7765, 16473, 38061, 37775, + 30447, 29728, 20612, 46636, 33235, 59337, 29158, 3436, 22663, 22923, + 23455, 31947, 16953, 43886, 57378, 55451, 48863, 63842, 54061, 42860, + 59887, 48748, 52251, 22406, 3768, 56059, 33478, 2707, 59484, 28249, + 53526, 14682, 42858, 38205, 811, 37286, 30492, 16253, 49187, 36933, + 56362, 28384, 30088, 31020, 59208, 20753, 53081, 28600, 54595, 50864, + 26291, 37135, 22196, 2435, 28956, 4773, 22878, 17354, 55916, 34522, + 52522, 2736, 54105, 35265, 32444, 52701, 32413, 14332, 49585, 28200, + 1734, 61891, 17528, 19818, 1690, 3075, 1994, 18798, 52772, 12707, + 5063, 13316, 44639, 22241, 9390, 31285, 5502, 16603, 10553, 52368, + 58838, 49962, 3219, 47779, 4457, 62889, 58871, 21382, 9232, 50259, + 18406, 64913, 1604, 23370, 2308, 55085, 57993, 4340, 32912, 4808, + 46967, 36928, 53374, 57314, 49254, 9479, 30515, 37701, 32437, 11405, + 733, 57425, 52663, 52262, 24684, 5493, 2875, 12277, 57392, 28090, + 65207, 46032, 43536, 43385, 51473, 30783, 64356, 16429, 50953, 1444, + 10352, 40291, 61509, 49012, 64382, 64206, 56570, 2467, 43927, 35191, + 15413, 8734, 17629, 48145, 9412, 53362, 64648, 42090, 19139, 11658, + 35451, 30206, 50118, 58773, 17026, 24486, 41888, 30214, 18219, 3693, + 23502, 2485, 53310, 19331, 26499, 46371, 7898, 18251, 28241, 62682, + 22829, 17295, 42865, 28659, 10191, 28371, 59311, 8370, 36540, 58055, + 25589, 9547, 7148, 55254, 53874, 39624, 9617, 16347, 13414, 1026, + 29164, 6643, 12941, 57036, 17100, 61805, 38437, 10544, 24229, 6622, + 2206, 47313, 20809, 23122, 58334, 26223, 48709, 55709, 32045, 12169, + 16091, 15823, 62660, 36893, 58775, 4293, 13293, 30347, 8592, 39900, + 25558, 21400, 23357, 36033, 50936, 59534, 17649, 54628, 32543, 38272, + 30964, 22795, 27157, 2474, 40768, 6275, 57071, 22519, 62677, 44913, + 46579, 37277, 44729, 5318, 42276, 10468, 21136, 45136, 39789, 32260, + 48851, 63342, 25702, 6187, 15536, 58076, 32453, 11421, 38270, 44972, + 44900, 13814, 50651, 32086, 31466, 32139, 34917, 31462, 20505, 39151, + 37393, 30035, 52404, 64913, 64043, 47344, 9995, 60546, 59370, 22524, + 26148, 6229, 16303, 2132, 45704, 34592, 43482, 59976, 43431, 21150, + 60745, 43658, 15848, 2166, 14191, 37186, 19793, 35991, 35852, 12351, + 47312, 32698, 13966, 33353, 26148, 19465, 46852, 28050, 13931, 6622, + 17595, 47946, 39752, 3748, 41079, 46674, 5296, 22490, 36943, 49928, + 33106, 42038, 65318, 25153, 52129, 52454, 22978, 12629, 7551, 37105, + 25482, 39251, 25735, 25788, 23776, 65365, 37111, 30633, 62745, 26607, + 39225, 12762, 8725, 40897, 60428, 35859, 38784, 6956, 39763, 50110, + 14244, 57121, 10618, 3335, 30696, 58645, 33665, 1319, 21224, 35857, + 20903, 50879, 562, 51429, 26803, 41562, 22939, 59260, 50657, 40213, + 15933, 53067, 8040, 63323, 21262, 56548, 45988, 7624, 38173, 33447, + 41189, 34351, 16747, 663, 45012, 6839, 65123, 45898, 6780, 62752, + 58103, 25082, 31228, 19491, 38233, 33722, 42184, 38136, 23379, 1986, + 59287, 64241, 38468, 48363, 41709, 28484, 50360, 23606, 63301, 45798, + 50082, 27270, 51674, 56466, 43320, 17262, 3090, 64744, 13163, 48933, + 53128, 41651, 25602, 1430, 20660, 8515, 33874, 16532, 49721, 39673, + 27292, 65323, 17100, 61921, 31491, 15378, 1980, 57465, 32296, 6460, + 26145, 40080, 1892, 33421, 1189, 34378, 50337, 2474, 8170, 34112, + 45526, 27881, 27543, 54724, 51741, 23400, 47618, 10306, 28786, 10297, + 13435, 5314, 27146, 16059, 36403, 1161, 58445, 18948, 15304, 54239, + 41074, 8570, 22894, 36332, 65206, 44161, 35259, 50364, 17209, 3918, + 46863, 10082, 3701, 37594, 16797, 6200, 41819, 55795, 50203, 9540, + 13580, 5484, 14594, 25782, 5681, 2421, 60034, 55181, 17399, 54789, + 14086, 5210, 26282, 38003, 54367, 39965, 493, 64549, 56207, 23371, + 11923, 24671, 34613, 63729, 55326, 31037, 11920, 46578, 6098, 35260, + 42801, 48748, 59252, 38130, 55106, 61241, 1444, 29790, 57964, 12690, + 34260, 49498, 64362, 44749, 9700, 41901, 33832, 15943, 9052, 46909, + 50839, 36700, 59973, 23265, 12304, 45459, 38820, 55893, 39266, 48343, + 59796, 1350, 50554, 31712, 55039, 58766, 57518, 6833, 43257, 26234, + 27302, 64314, 4025, 57158, 38119, 61981, 19764, 37546, 49149, 19853, + 12119, 21901, 24901, 10323, 13281, 44760, 15188, 43755, 53212, 57958, + 29447, 64715, 29878, 30999, 43816, 51139, 36096, 63492, 61626, 20351, + 19138, 39116, 57826, 16170, 49528, 62733, 6514, 28461, 26030, 46831, + 35090, 1301, 44083, 32986, 3085, 28563, 8957, 24135, 7350, 57500, + 64921, 12212, 13941, 1969, 44876, 41905, 3697, 60985, 52428, 28440, + 27867, 63498, 3988, 38490, 4309, 10758, 62696, 47603, 43262, 63714, + 4709, 30719, 35484, 514, 55876, 5351, 29028, 12416, 10539, 48436, + 12776, 61516, 38831, 53892, 59550, 12653, 10603, 54681, 53128, 7526, + 37814, 40847, 33925, 32947, 62979, 48166, 10804, 2675, 32218, 55101, + 28033, 42015, 4207, 20320, 6605, 17272, 46044, 8056, 34238, 47231, + 4608, 29089, 49824, 6558, 3827, 19737, 6262, 36836, 3365, 61779, + 63650, 25966, 6418, 36907, 12588, 22497, 54947, 14645, 51890, 20755, + 43557, 59438, 39661, 51145, 14046, 51348, 13787, 10907, 60753, 57425, + 34702, 55028, 784, 29587, 145, 15926, 52793, 37815, 57074, 64250, + 7822, 27491, 48625, 47221, 52789, 63923, 13891, 60918, 25608, 3722, + 63747, 37003, 16794, 31243, 28470, 64708, 30408, 33056, 34809, 31375, + 62711, 51511, 65354, 56642, 31727, 3341, 1903, 57784, 14931, 3234, + 57094, 3218, 48060, 19020, 32170, 60063, 24178, 18152, 8511, 46422, + 10950, 3883, 9691, 32128, 34319, 3094, 12881, 7666, 56127, 10376, + 25435, 22648, 62241, 32237, 48995, 40654, 22065, 55829, 56558, 10696, + 45591, 36895, 17830, 8337, 56514, 9876, 49154, 45584, 44830, 38032, + 18836, 8806, 37233, 57370, 11247, 61076, 13143, 3989, 13874, 18490, + 35160, 32848, 50486, 19322, 51747, 54328, 58448, 28923, 50538, 51373, + 28867, 56841, 36441, 26737, 51653, 21722, 5043, 10941, 14124, 42732, + }; + +int quickSort(int *arr, int elements) { + + #define MAX_LEVELS 1000 + + int piv, beg[MAX_LEVELS], end[MAX_LEVELS], i=0, L, R; + + beg[0]=0; end[0]=elements; + while (i>=0) { + L=beg[i]; R=end[i]-1; + if (L=piv && LID +wire Hazard_Flush_IF; // 1st pipe flush +wire Hazard_Stall_DEC; // 1st pipe stall +wire imembubble_DEC; // set if instruction coming out of icache + // was not real instruction +//=================================================================== +// Decoder Signals +localparam ALU_CTLCODE_WIDTH = 8; +localparam REG_ADDR_WIDTH = 5; +localparam MEM_MASK_WIDTH = 3; +wire [ADDRESS_WIDTH-1:0] DEC_i_PC; // PC of inst +wire [DATA_WIDTH-1:0] DEC_i_Instruction; // Inst into decode +wire DEC_Noop = (DEC_i_Instruction == 32'd0); + +wire DEC_o_Uses_ALU; +wire [ALU_CTLCODE_WIDTH-1:0] DEC_o_ALUCTL; // ALU control code +wire DEC_o_Is_Branch; // If it's a branch +wire [ADDRESS_WIDTH-1:0] DEC_o_Branch_Target; // Where we will branch to +wire DEC_o_Jump_Reg; // If this is a special case where we jump TO a register value + +wire DEC_o_Mem_Valid; +wire DEC_o_Mem_Read_Write_n; +wire [MEM_MASK_WIDTH-1:0] DEC_o_Mem_Mask; // Used for masking individual memory ops - such as byte and halfword transactions + +wire DEC_o_Writes_Back; +wire [REG_ADDR_WIDTH-1:0] DEC_o_Write_Addr; +wire DEC_o_Uses_RS; +wire [REG_ADDR_WIDTH-1:0] DEC_o_Read_Register_1; +wire DEC_o_Uses_RT; +wire [REG_ADDR_WIDTH-1:0] DEC_o_Read_Register_2; + +wire [DATA_WIDTH-1:0] DEC_o_Read_Data_1; +wire [DATA_WIDTH-1:0] DEC_o_Read_Data_2; + +wire DEC_o_Uses_Immediate; +wire [DATA_WIDTH-1:0] DEC_o_Immediate; + +wire [DATA_WIDTH-1:0] FORWARD_o_Forwarded_Data_1,FORWARD_o_Forwarded_Data_2; // Looked up regs + + //============== + // Pipe signals: ID->EX +wire Hazard_Flush_DEC; // 2nd pipe flush +wire Hazard_Stall_EX; // 2nd pipe stall + +wire [ADDRESS_WIDTH-1:0] DEC_o_PC; +assign DEC_o_PC = DEC_i_PC; + +//=================================================================== +// Execute Signals + +wire [ADDRESS_WIDTH-1:0] ALU_i_PC; + +wire EX_i_Is_Branch; +wire EX_i_Mem_Valid; +wire [MEM_MASK_WIDTH-1:0] EX_i_Mem_Mask; +wire EX_i_Mem_Read_Write_n; +wire [DATA_WIDTH-1:0] EX_i_Mem_Write_Data; +wire EX_i_Writes_Back; +wire [REG_ADDR_WIDTH-1:0] EX_i_Write_Addr; + +wire ALU_i_Valid; // Whether input to ALU is valid or not +wire ALU_o_Valid; +wire [ALU_CTLCODE_WIDTH-1:0] ALU_i_ALUOp; // Control bus to ALU +wire [DATA_WIDTH-1:0] ALU_i_Operand1,ALU_i_Operand2; // Ops for ALU +wire [ADDRESS_WIDTH-1:0] EX_i_Branch_Target; +wire [DATA_WIDTH-1:0] ALU_o_Result; // Computation of ALU +wire ALU_o_Branch_Valid; // Whether branch is valid or not +wire ALU_o_Branch_Outcome; // Whether branch is taken or not +wire [15:0] ALU_o_Pass_Done_Value; // reports the value of a PASS/FAIL/DONE instruction +wire [1:0] ALU_o_Pass_Done_Change; // indicates the above signal is meaningful + // 1 = pass, 2 = fail, 3 = done + + // Cumulative signals +wire EX_Take_Branch = ALU_o_Valid && ALU_o_Branch_Valid && ALU_o_Branch_Outcome; // Whether we should branch or not. + + //============== + // Pipe signals: EX->MEM +wire Hazard_Flush_EX; // 3rd pipe flush +wire Hazard_Stall_MEM; // 3rd pipe stall + + +//=================================================================== +// Memory Signals +wire [DATA_WIDTH-1:0] DMEM_i_Result; // Result from the ALU +wire [DATA_WIDTH-1:0] DMEM_i_Mem_Write_Data; // What we will write back to mem (if applicable) +wire DMEM_i_Mem_Valid; // If the memory operation is valid +wire [MEM_MASK_WIDTH-1:0] DMEM_i_Mem_Mask; // Mem mask for sub-word operations +wire DMEM_i_Mem_Read_Write_n; // Type of memop +wire DMEM_i_Writes_Back; // If the result should be written back to regfile +wire [REG_ADDR_WIDTH-1:0] DMEM_i_Write_Addr; // Which reg in the regfile to write to +wire [DATA_WIDTH-1:0] DMEM_o_Read_Data; // The data READ from DMEM +wire DMEM_o_Mem_Ready; // If the DMEM is ready to service another request +wire DMEM_o_Mem_Valid; // If the value read from DMEM is valid +reg DMEM_o_Done; // If MEM's work is finalized +reg [DATA_WIDTH-1:0] DMEM_o_Write_Data; // Data we should write back to regfile + +wire MemToReg = DMEM_i_Mem_Valid; // Selects what we will write back -- mem or ALU result + + //============== + // Pipe signals: MEM->WB +wire Hazard_Flush_MEM; // 4th pipe flush +wire Hazard_Stall_WB; // 4th pipe stall + + +//=================================================================== +// Write-Back Signals +wire WB_i_Writes_Back; // If we will write back +wire [REG_ADDR_WIDTH-1:0] DEC_i_Write_Register; // Where we will write back to +wire [DATA_WIDTH-1:0] WB_i_Write_Data; // What we will write back +wire Hazard_Flush_WB; // Request to squash WB contents + +wire DEC_i_RegWrite = WB_i_Writes_Back && !Hazard_Flush_WB; + +//=================================================================== +// Flash Signals +wire o_FlashLoader_Done; // Raised when the loader finishes +wire o_FlashLoader_SDRAM_Read_Write_n; // FlashLoader's actual request to dmem +wire o_FlashLoader_SDRAM_Req_Valid; // FlashLoader's verification of request to dmem +wire [ADDRESS_WIDTH-1:0] o_FlashLoader_SDRAM_Addr; // FlashLoader's request addrto dmem +wire [DATA_WIDTH-1:0] o_FlashLoader_SDRAM_Data; // FlashLoader's output data +wire i_FlashLoader_SDRAM_Data_Read; // FlashLoader's input callback from dmem +wire i_FlashLoader_SDRAM_Last; // "" +wire [21:0] o_FlashLoader_FL_Addr; // FlashLoader's addr request to flash +wire [7:0] i_FlashLoader_FL_Data; // FlashLoader's data coming back from flash +wire o_FlashLoader_FL_Chip_En_n; // FlashLoader's chip enable to flash +wire o_FlashLoader_FL_Output_En_n; // "" (output enable) +wire o_FlashLoader_FL_Reset_n; // "" (flash reset) +wire o_FlashLoader_FL_Write_En_n; // Write enable going out to flash + + // Top level connections +assign FL_ADDR = o_FlashLoader_FL_Addr; // Addr we're requesting to deal with +assign i_FlashLoader_FL_Data = FL_DQ; // Incoming data from flash (for reads) +assign FL_CE_N = o_FlashLoader_FL_Chip_En_n; // Flash chip enable +assign FL_OE_N = o_FlashLoader_FL_Output_En_n; // Flash output enable +assign FL_WE_N = o_FlashLoader_FL_Write_En_n; // Flash write enable +assign FL_RST_N = o_FlashLoader_FL_Reset_n; // Flash reset + + +//=================================================================== +// Arbiter Signals +wire Arbiter_i_IMEM_Valid; +wire [ADDRESS_WIDTH-1:0] Arbiter_i_IMEM_Address; +wire Arbiter_o_IMEM_Valid; +wire Arbiter_o_IMEM_Last; +wire [DATA_WIDTH-1:0] Arbiter_o_IMEM_Data; + +wire Arbiter_i_DMEM_Valid; +wire Arbiter_i_DMEM_Read_Write_n; +wire [ADDRESS_WIDTH-1:0] Arbiter_i_DMEM_Address; +wire [DATA_WIDTH-1:0] Arbiter_i_DMEM_Data; +wire [DATA_WIDTH-1:0] Arbiter_o_DMEM_Data; +wire Arbiter_o_DMEM_Data_Read; +wire Arbiter_o_DMEM_Valid; +wire Arbiter_o_DMEM_Last; + +wire Arbiter_i_Flash_Valid; +wire [DATA_WIDTH-1:0] Arbiter_i_Flash_Data; +wire [ADDRESS_WIDTH-1:0] Arbiter_i_Flash_Address; +wire Arbiter_o_Flash_Data_Read; +//wire [DATA_WIDTH-1:0] Arbiter_o_Flash_Data_Read; +wire Arbiter_o_Flash_Last; + +assign Arbiter_i_Flash_Valid = o_FlashLoader_SDRAM_Req_Valid; +assign Arbiter_i_Flash_Data = o_FlashLoader_SDRAM_Data; +assign Arbiter_i_Flash_Address = o_FlashLoader_SDRAM_Addr; +assign i_FlashLoader_SDRAM_Data_Read = Arbiter_o_Flash_Data_Read; +assign i_FlashLoader_SDRAM_Last = Arbiter_o_Flash_Last; + + +//==================================================================== +// Controller Signals +wire [ADDRESS_WIDTH-1:0] SDRAM_i_Address; // Transact address +wire SDRAM_i_Valid; // If request is valid +wire SDRAM_i_Read_Write_n; // Request type + +wire [DATA_WIDTH-1:0] SDRAM_i_Data; // What to write +wire SDRAM_o_Data_Read; // If data was read or not + +wire [DATA_WIDTH-1:0] SDRAM_o_Data; // Read in data from SDRAM +wire SDRAM_o_Data_Valid; // If read in data is valid + +wire SDRAM_o_Last; // If we're on the last part of the burst + + +wire i_Clk; +//=================================================================== +// Top-level Connections + // Clock handling for mem & processor +wire Done = (ALU_o_Pass_Done_Change == MTC0_DONE); +wire Local_Clock; +wire Internal_Reset_n; + +//integer file; +//initial +// begin +// file = $fopen("dumppcs"); +// end + + +`ifdef MODEL_TECH +assign Internal_Reset_n = Global_Reset_n; +assign Local_Clock = CLOCK_50; + +`else +wire PLL_Locked; +pll my_pll( + .areset(!Global_Reset_n), + .inclk0(CLOCK_50), + .c0(Local_Clock), + .locked(PLL_Locked) + ); +assign Internal_Reset_n = PLL_Locked && Global_Reset_n; + +`endif + +assign i_Clk = Local_Clock; + +// Performance metrics +reg [31:0] CycleCount; // # of cycles that have passed since reset +reg [31:0] InstructionsExecuted; // # of insts that have went through WB stage since reset +reg displaystop; + + +always @(posedge i_Clk or negedge Internal_Reset_n) + +begin + if( !Internal_Reset_n ) + begin + // Asynch. reset on counters + CycleCount <= 32'b0; + InstructionsExecuted <= 32'b0; + displaystop <= 0; + end + else + begin + // If we're currently executing instructions... + if( o_FlashLoader_Done && !Done ) + begin + // If we have a valid instruction that is finishing up execution in Decode, then count it + if( !Hazard_Stall_DEC && !Hazard_Flush_DEC && !DEC_Noop ) + begin + if (!displaystop) + begin + //$fwrite(file, "%h\n", (DEC_o_PC<<2) + 'h20240); + if (InstructionsExecuted > 1000000000) + begin + displaystop <= 1; +// $fflush(file); +// $fclose(file); + end + end + InstructionsExecuted <= InstructionsExecuted + 32'b1; + end + CycleCount <= CycleCount + 32'b1; // Always count another cycle + end + end +end + + // Visual output +assign LEDG[0] = (Done); +assign LEDR[0] = (!Done); + +reg[3:0] HEX_Buf [7:0]; // Buffers for visualization of data + +always @(posedge i_Clk) +begin + HEX_Buf[0] <= 4'd0; + HEX_Buf[1] <= 4'd0; + HEX_Buf[2] <= 4'd0; + HEX_Buf[3] <= 4'd0; + HEX_Buf[4] <= 4'd0; + HEX_Buf[5] <= 4'd0; + HEX_Buf[6] <= 4'd0; + HEX_Buf[7] <= 4'd0; + + case(SW[1:0]) + 2'd0: // Default: Display Pass/Done/Fail, PC, and PDF Value information + begin + HEX_Buf[0] <= ALU_o_Pass_Done_Value[3:0]; + HEX_Buf[1] <= ALU_o_Pass_Done_Value[7:4]; + HEX_Buf[6] <= IMEM_i_Address[3:0]; + HEX_Buf[7] <= IMEM_i_Address[7:4]; + end + + 2'd1: // Cycle Count + begin + HEX_Buf[0] <= CycleCount[3:0]; + HEX_Buf[1] <= CycleCount[7:4]; + HEX_Buf[2] <= CycleCount[11:8]; + HEX_Buf[3] <= CycleCount[15:12]; + HEX_Buf[4] <= CycleCount[19:16]; + HEX_Buf[5] <= CycleCount[23:20]; + HEX_Buf[6] <= CycleCount[27:24]; + HEX_Buf[7] <= CycleCount[31:28]; + end + + 2'd2: // Instructions Executed + begin + HEX_Buf[0] <= InstructionsExecuted[3:0]; + HEX_Buf[1] <= InstructionsExecuted[7:4]; + HEX_Buf[2] <= InstructionsExecuted[11:8]; + HEX_Buf[3] <= InstructionsExecuted[15:12]; + HEX_Buf[4] <= InstructionsExecuted[19:16]; + HEX_Buf[5] <= InstructionsExecuted[23:20]; + HEX_Buf[6] <= InstructionsExecuted[27:24]; + HEX_Buf[7] <= InstructionsExecuted[31:28]; + end + + 2'd3: // (free for any other metric) + begin + end + + endcase +end + +wire [6:0] HEX2_SSD, HEX2_PFD; +SevenSegmentDisplayDecoder SSD0 (i_Clk, HEX0, HEX_Buf[0]); +SevenSegmentDisplayDecoder SSD1 (i_Clk, HEX1, HEX_Buf[1]); +SevenSegmentDisplayDecoder SSD2 (i_Clk, HEX2_SSD, HEX_Buf[2]); +SevenSegmentDisplayDecoder SSD3 (i_Clk, HEX3, HEX_Buf[3]); +SevenSegmentDisplayDecoder SSD4 (i_Clk, HEX4, HEX_Buf[4]); +SevenSegmentDisplayDecoder SSD5 (i_Clk, HEX5, HEX_Buf[5]); +SevenSegmentDisplayDecoder SSD6 (i_Clk, HEX6, HEX_Buf[6]); +SevenSegmentDisplayDecoder SSD7 (i_Clk, HEX7, HEX_Buf[7]); +SevenSegmentPFD PFD2 (i_Clk, HEX2_PFD, ALU_o_Pass_Done_Change); // display pass/done/fail status + + // Special case: If SW is 0, then HEX2 output comes from PFD. Else, comes from SSD. +assign HEX2 = (SW[1:0]==2'd0 ? HEX2_PFD : HEX2_SSD); + +/* +SevenSegmentPFD SSD3 (i_Clk, HEX2, ALU_o_Pass_Done_Change); // display pass/done/fail status + +SevenSegmentDisplayDecoder SSD0 (i_Clk, HEX0, ALU_o_Pass_Done_Value[3:0]); +SevenSegmentDisplayDecoder SSD1 (i_Clk, HEX1, ALU_o_Pass_Done_Value[7:4]); + +SevenSegmentDisplayDecoder SSD7 (i_Clk, HEX7, IMEM_i_Address[7:4]); +SevenSegmentDisplayDecoder SSD6 (i_Clk, HEX6, IMEM_i_Address[3:0]); + +*/ + +//=================================================================== +// Structural Description - Overhead +//=================================================================== + + +//=================================================================== +// Structural Description - Pipeline stages +//=================================================================== + +//=================================================================== +// Instruction Fetch +fetch_unit #( .ADDRESS_WIDTH(ADDRESS_WIDTH), + .DATA_WIDTH(DATA_WIDTH) + ) + IFETCH + ( // Inputs + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + .i_Stall(Hazard_Stall_IF), + + .i_Load(IFetch_i_Load), + .i_Load_Address(IFetch_i_PCSrc), + + // Outputs + .o_PC(IMEM_i_Address) + ); + +i_cache #( .DATA_WIDTH(DATA_WIDTH) + ) + I_CACHE + ( + // General + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + + // Requests + .i_Valid(o_FlashLoader_Done), + .i_Address(IMEM_i_Address), + + // Mem Transaction + .o_MEM_Valid(Arbiter_i_IMEM_Valid), + .o_MEM_Address(Arbiter_i_IMEM_Address), + .i_MEM_Valid(Arbiter_o_IMEM_Valid), // If data from main mem is valid + .i_MEM_Last(Arbiter_o_IMEM_Last), // If main mem is sending the last piece of data + .i_MEM_Data(Arbiter_o_IMEM_Data), // Data from main mem + + // Outputs + .o_Ready(IMEM_o_Ready), + .o_Valid(IMEM_o_Valid), // If the output is correct. + .o_Data(IMEM_o_Instruction) // The data requested. + ); + +//=================================================================== +// Decode +pipe_if_dec #( .ADDRESS_WIDTH(ADDRESS_WIDTH), + .DATA_WIDTH(DATA_WIDTH) + ) + PIPE_IF_DEC + ( // Inputs + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + .i_Flush(Hazard_Flush_IF), + .i_Stall(Hazard_Stall_DEC), + .i_imembubble(IMEM_o_Valid), + + // Pipe signals + .i_PC(IMEM_i_Address), + .o_PC(DEC_i_PC), + .i_Instruction(IMEM_o_Instruction), + .o_Instruction(DEC_i_Instruction), + .o_imembubble(imembubble_DEC) + ); + +decoder #( .ADDRESS_WIDTH(ADDRESS_WIDTH), + .DATA_WIDTH(DATA_WIDTH), + .REG_ADDRESS_WIDTH(REG_ADDR_WIDTH), + .ALUCTL_WIDTH(ALU_CTLCODE_WIDTH), + .MEM_MASK_WIDTH(MEM_MASK_WIDTH) + ) + DECODE + ( // Inputs + .i_PC(DEC_i_PC), + .i_Instruction(DEC_i_Instruction), + .i_Stall(Hazard_Stall_DEC), + + // Outputs + .o_Uses_ALU(DEC_o_Uses_ALU), + .o_ALUCTL(DEC_o_ALUCTL), + .o_Is_Branch(DEC_o_Is_Branch), + .o_Jump_Reg(DEC_o_Jump_Reg), + + .o_Mem_Valid(DEC_o_Mem_Valid), + .o_Mem_Read_Write_n(DEC_o_Mem_Read_Write_n), + .o_Mem_Mask(DEC_o_Mem_Mask), + + .o_Writes_Back(DEC_o_Writes_Back), + .o_Write_Addr(DEC_o_Write_Addr), + + .o_Uses_RS(DEC_o_Uses_RS), + .o_RS_Addr(DEC_o_Read_Register_1), + .o_Uses_RT(DEC_o_Uses_RT), + .o_RT_Addr(DEC_o_Read_Register_2), + .o_Uses_Immediate(DEC_o_Uses_Immediate), + .o_Immediate(DEC_o_Immediate), + .o_Branch_Target(DEC_o_Branch_Target) + ); + + +regfile #( .DATA_WIDTH(DATA_WIDTH), + .REG_ADDR_WIDTH(REG_ADDR_WIDTH) + ) + REGFILE + ( // Inputs + .i_Clk(i_Clk), + + .i_RS_Addr(DEC_o_Read_Register_1), + .i_RT_Addr(DEC_o_Read_Register_2), + + .i_Write_Enable(DEC_i_RegWrite), // Account for squashing WB stage + .i_Write_Data(WB_i_Write_Data), + .i_Write_Addr(DEC_i_Write_Register), + + // Outputs + .o_RS_Data(DEC_o_Read_Data_1), + .o_RT_Data(DEC_o_Read_Data_2) + ); + +//=================================================================== +// Execute +pipe_dec_ex #( .ADDRESS_WIDTH(ADDRESS_WIDTH), + .DATA_WIDTH(DATA_WIDTH), + .REG_ADDR_WIDTH(REG_ADDR_WIDTH), + .ALU_CTLCODE_WIDTH(ALU_CTLCODE_WIDTH), + .MEM_MASK_WIDTH(MEM_MASK_WIDTH) + ) + PIPE_DEC_EX + ( // Inputs + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + .i_Flush(Hazard_Flush_DEC), + .i_Stall(Hazard_Stall_EX), + + // Pipeline + .i_PC(DEC_o_PC), + .o_PC(ALU_i_PC), + .i_Uses_ALU(DEC_o_Uses_ALU), + .o_Uses_ALU(ALU_i_Valid), + .i_ALUCTL(DEC_o_ALUCTL), + .o_ALUCTL(ALU_i_ALUOp), + .i_Is_Branch(DEC_o_Is_Branch), + .o_Is_Branch(EX_i_Is_Branch), + .i_Mem_Valid(DEC_o_Mem_Valid), + .o_Mem_Valid(EX_i_Mem_Valid), + .i_Mem_Mask(DEC_o_Mem_Mask), + .o_Mem_Mask(EX_i_Mem_Mask), + .i_Mem_Read_Write_n(DEC_o_Mem_Read_Write_n), + .o_Mem_Read_Write_n(EX_i_Mem_Read_Write_n), + .i_Mem_Write_Data(FORWARD_o_Forwarded_Data_2), + .o_Mem_Write_Data(EX_i_Mem_Write_Data), + .i_Writes_Back(DEC_o_Writes_Back), + .o_Writes_Back(EX_i_Writes_Back), + .i_Write_Addr(DEC_o_Write_Addr), + .o_Write_Addr(EX_i_Write_Addr), + .i_Operand1(FORWARD_o_Forwarded_Data_1), + .o_Operand1(ALU_i_Operand1), + .i_Operand2(DEC_o_Uses_Immediate?DEC_o_Immediate:FORWARD_o_Forwarded_Data_2), // Convention - Operand2 mapped to immediates + .o_Operand2(ALU_i_Operand2), + .i_Branch_Target(DEC_o_Jump_Reg?FORWARD_o_Forwarded_Data_1[ADDRESS_WIDTH-1:0]:DEC_o_Branch_Target), + .o_Branch_Target(EX_i_Branch_Target) + ); + +alu #( .DATA_WIDTH(DATA_WIDTH), + .CTLCODE_WIDTH(ALU_CTLCODE_WIDTH) + ) + ALU + ( // Inputs + .i_Valid(ALU_i_Valid), + .i_ALUCTL(ALU_i_ALUOp), + .i_Operand1(ALU_i_Operand1), + .i_Operand2(ALU_i_Operand2), + + // Outputs + .o_Valid(ALU_o_Valid), + .o_Result(ALU_o_Result), + .o_Branch_Valid(ALU_o_Branch_Valid), + .o_Branch_Outcome(ALU_o_Branch_Outcome), + .o_Pass_Done_Value(ALU_o_Pass_Done_Value), + .o_Pass_Done_Change(ALU_o_Pass_Done_Change) + ); + +//=================================================================== +// Mem +pipe_ex_mem #( .ADDRESS_WIDTH(ADDRESS_WIDTH), + .DATA_WIDTH(DATA_WIDTH), + .REG_ADDR_WIDTH(REG_ADDR_WIDTH), + .ALU_CTLCODE_WIDTH(ALU_CTLCODE_WIDTH) + ) + PIPE_EX_MEM + ( // Inputs + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + .i_Flush(Hazard_Flush_EX), + .i_Stall(Hazard_Stall_MEM), + + // Pipe in/out + .i_ALU_Result(ALU_o_Result), + .o_ALU_Result(DMEM_i_Result), + .i_Mem_Valid(EX_i_Mem_Valid), + .o_Mem_Valid(DMEM_i_Mem_Valid), + .i_Mem_Mask(EX_i_Mem_Mask), + .o_Mem_Mask(DMEM_i_Mem_Mask), + .i_Mem_Read_Write_n(EX_i_Mem_Read_Write_n), + .o_Mem_Read_Write_n(DMEM_i_Mem_Read_Write_n), + .i_Mem_Write_Data(EX_i_Mem_Write_Data), + .o_Mem_Write_Data(DMEM_i_Mem_Write_Data), + .i_Writes_Back(EX_i_Writes_Back), + .o_Writes_Back(DMEM_i_Writes_Back), + .i_Write_Addr(EX_i_Write_Addr), + .o_Write_Addr(DMEM_i_Write_Addr) + ); + +d_cache #( + .DATA_WIDTH(32), + .MEM_MASK_WIDTH(3) + ) + D_CACHE + ( // Inputs + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + .i_Valid(DMEM_i_Mem_Valid), + .i_Mem_Mask(DMEM_i_Mem_Mask), + .i_Address(DMEM_i_Result[ADDRESS_WIDTH:2]), + .i_Read_Write_n(DMEM_i_Mem_Read_Write_n), //1=MemRead, 0=MemWrite + .i_Write_Data(DMEM_i_Mem_Write_Data), + + // Outputs + .o_Ready(DMEM_o_Mem_Ready), + .o_Valid(DMEM_o_Mem_Valid), + .o_Data(DMEM_o_Read_Data), + + // Mem Transaction + .o_MEM_Valid(Arbiter_i_DMEM_Valid), + .o_MEM_Read_Write_n(Arbiter_i_DMEM_Read_Write_n), + .o_MEM_Address(Arbiter_i_DMEM_Address), + .o_MEM_Data(Arbiter_i_DMEM_Data), + .i_MEM_Valid(Arbiter_o_DMEM_Valid), + .i_MEM_Data_Read(Arbiter_o_DMEM_Data_Read), + .i_MEM_Last(Arbiter_o_DMEM_Last), + .i_MEM_Data(Arbiter_o_DMEM_Data) + ); + + // Multiplexor - Select what we will write back +always @(*) +begin + if( MemToReg ) // If it was a memory operation + begin + DMEM_o_Write_Data <= DMEM_o_Read_Data; // We will write back value from memory + DMEM_o_Done <= DMEM_o_Mem_Valid; // Write back only if value is valid + end + else + begin + DMEM_o_Write_Data <= DMEM_i_Result; // Else we will write back value from ALU + DMEM_o_Done <= TRUE; + end +end + +//=================================================================== +// Write-Back +pipe_mem_wb #( .ADDRESS_WIDTH(ADDRESS_WIDTH), + .DATA_WIDTH(DATA_WIDTH), + .REG_ADDR_WIDTH(REG_ADDR_WIDTH) + ) + PIPE_MEM_WB + ( // Inputs + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + .i_Flush(Hazard_Flush_MEM), + .i_Stall(Hazard_Stall_WB), + + // Pipe in/out + .i_WriteBack_Data(DMEM_o_Write_Data), + .o_WriteBack_Data(WB_i_Write_Data), + .i_Writes_Back(DMEM_i_Writes_Back), + .o_Writes_Back(WB_i_Writes_Back), + .i_Write_Addr(DMEM_i_Write_Addr), + .o_Write_Addr(DEC_i_Write_Register) + ); + + + // Write-Back is simply wires feeding back into regfile to perform writes + // (SEE REGFILE) + + + +//=================================================================== +// Arbitration Logic + +// Memory arbiter +memory_arbiter #( .DATA_WIDTH(DATA_WIDTH), + .ADDRESS_WIDTH(ADDRESS_WIDTH) + ) + ARBITER + ( + // General + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + + // Requests to/from IMEM - Assume we always read + .i_IMEM_Valid(Arbiter_i_IMEM_Valid), // If IMEM request is valid + .i_IMEM_Address(Arbiter_i_IMEM_Address), // IMEM request addr. + .o_IMEM_Valid(Arbiter_o_IMEM_Valid), + .o_IMEM_Last(Arbiter_o_IMEM_Last), + .o_IMEM_Data(Arbiter_o_IMEM_Data), + + // Requests to/from DMEM + .i_DMEM_Valid(Arbiter_i_DMEM_Valid), + .i_DMEM_Read_Write_n(Arbiter_i_DMEM_Read_Write_n), + .i_DMEM_Address(Arbiter_i_DMEM_Address), + .i_DMEM_Data(Arbiter_i_DMEM_Data), + .o_DMEM_Valid(Arbiter_o_DMEM_Valid), + .o_DMEM_Data_Read(Arbiter_o_DMEM_Data_Read), + .o_DMEM_Last(Arbiter_o_DMEM_Last), + .o_DMEM_Data(Arbiter_o_DMEM_Data), + + // Requests to/from FLASH - Assume we always write + .i_Flash_Valid(Arbiter_i_Flash_Valid), + .i_Flash_Data(Arbiter_i_Flash_Data), + .i_Flash_Address(Arbiter_i_Flash_Address), + .o_Flash_Data_Read(Arbiter_o_Flash_Data_Read), + .o_Flash_Last(Arbiter_o_Flash_Last), + + // Interface with SDRAM Controller + .o_MEM_Valid(SDRAM_i_Valid), + .o_MEM_Address(SDRAM_i_Address), + .o_MEM_Read_Write_n(SDRAM_i_Read_Write_n), + + // Write data interface + .o_MEM_Data(SDRAM_i_Data), + .i_MEM_Data_Read(SDRAM_o_Data_Read), + + // Read data interface + .i_MEM_Data(SDRAM_o_Data), + .i_MEM_Data_Valid(SDRAM_o_Data_Valid), + + .i_MEM_Last(SDRAM_o_Last) + ); + +sdram_controller memory_controller( + .i_Clk(i_Clk), + .i_Reset(!Internal_Reset_n), + + // Request interface + .i_Addr(SDRAM_i_Address), + .i_Req_Valid(SDRAM_i_Valid), + .i_Read_Write_n(SDRAM_i_Read_Write_n), + + // Write .data interface + .i_Data(SDRAM_i_Data), + .o_Data_Read(SDRAM_o_Data_Read), + + // Read data .interface + .o_Data(SDRAM_o_Data), + .o_Data_Valid(SDRAM_o_Data_Valid), + + // output + .o_Last(SDRAM_o_Last), + + // SDRAM interface + .b_Dq(DRAM_DQ), + .o_Addr(DRAM_ADDR), + .o_Ba({DRAM_BA_0,DRAM_BA_1}), + .o_Clk(DRAM_CLK), + .o_Cke(DRAM_CKE), + .o_Cs_n(DRAM_CS_N), + .o_Ras_n(DRAM_RAS_N), + .o_Cas_n(DRAM_CAS_N), + .o_We_n(DRAM_WE_N), + .o_Dqm({DRAM_UDQM,DRAM_LDQM}) + ); + + +// Forwarding logic +forwarding_unit #( .DATA_WIDTH(DATA_WIDTH), + .REG_ADDR_WIDTH(REG_ADDR_WIDTH) + ) + FORWARDING_UNIT + ( + // Feedback from DEC + .i_DEC_Uses_RS(DEC_o_Uses_RS), + .i_DEC_RS_Addr(DEC_o_Read_Register_1), + .i_DEC_Uses_RT(DEC_o_Uses_RT), // DEC wants to use RT + .i_DEC_RT_Addr(DEC_o_Read_Register_2), // RT request addr. + .i_DEC_RS_Data(DEC_o_Read_Data_1), + .i_DEC_RT_Data(DEC_o_Read_Data_2), + + // Feedback from EX + .i_EX_Writes_Back(EX_i_Writes_Back), // EX is valid for analysis + .i_EX_Valid(ALU_i_Valid), // If it's a valid ALU op or not + .i_EX_Write_Addr(EX_i_Write_Addr), // What EX will write to + .i_EX_Write_Data(ALU_o_Result), + + // Feedback from MEM + .i_MEM_Writes_Back(DMEM_i_Writes_Back), // MEM is valid for analysis + .i_MEM_Write_Addr(DMEM_i_Write_Addr), // What MEM will write to + .i_MEM_Write_Data(DMEM_o_Write_Data), + + // Feedback from WB + .i_WB_Writes_Back(WB_i_Writes_Back), // WB is valid for analysis + .i_WB_Write_Addr(DEC_i_Write_Register), // What WB will write to + .i_WB_Write_Data(WB_i_Write_Data), + + //=============================================== + // IFetch forwarding + + // None + + // DEC forwarding + .o_DEC_RS_Override_Data(FORWARD_o_Forwarded_Data_1), + .o_DEC_RT_Override_Data(FORWARD_o_Forwarded_Data_2) + ); + +// Hazard detection unit / Stall logic +hazard_detection_unit #( .DATA_WIDTH(DATA_WIDTH), + .ADDRESS_WIDTH(ADDRESS_WIDTH), + .REG_ADDR_WIDTH(REG_ADDR_WIDTH) + ) + HAZARD_DETECTION_UNIT + ( + .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + + //============================================== + // Overall state + .i_FlashLoader_Done(o_FlashLoader_Done), // Info about if flashloader is done + .i_Done(Done), // If we have observed the 'done' signal from the code yet + + //============================================== + // Hazard in DECODE? + .i_DEC_Uses_RS(DEC_o_Uses_RS), // DEC wants to use RS + .i_DEC_RS_Addr(DEC_o_Read_Register_1), // RS request addr. + .i_DEC_Uses_RT(DEC_o_Uses_RT), // DEC wants to use RT + .i_DEC_RT_Addr(DEC_o_Read_Register_2), // RT request addr. + .i_DEC_Branch_Instruction(DEC_o_Is_Branch), + + //=============================================== + // Feedback from IF + .i_IF_Done(IMEM_o_Valid), // If IF's value has reached steady state + + // Feedback from EX + .i_EX_Writes_Back(EX_i_Writes_Back), // EX is valid for data dependency analysis + .i_EX_Uses_Mem(EX_i_Mem_Valid), + .i_EX_Write_Addr(EX_i_Write_Addr), // What EX will write to + .i_EX_Branch(EX_Take_Branch), // If EX says we are branching + .i_EX_Branch_Target(EX_i_Branch_Target), + + // Feedback from MEM + .i_MEM_Uses_Mem(DMEM_i_Mem_Valid), // If it's a memop + .i_MEM_Writes_Back(DMEM_i_Writes_Back), // MEM is valid for analysis + .i_MEM_Write_Addr(DMEM_i_Write_Addr), // What MEM will write to + .i_MEM_Done(DMEM_o_Done), // If MEM's value has reached steady state + + // Feedback from WB + .i_WB_Writes_Back(WB_i_Writes_Back), + .i_WB_Write_Addr(DEC_i_Write_Register), + + //=============================================== + // Branch hazard handling + .o_IF_Branch(IFetch_i_Load), + .o_IF_Branch_Target(IFetch_i_PCSrc), + + //=============================================== + // IFetch validation + .o_IF_Stall(Hazard_Stall_IF), + .o_IF_Smash(Hazard_Flush_IF), + + // DECODE validation + .o_DEC_Stall(Hazard_Stall_DEC), + .o_DEC_Smash(Hazard_Flush_DEC), + + // EX validation + .o_EX_Stall(Hazard_Stall_EX), + .o_EX_Smash(Hazard_Flush_EX), + + // MEM validation + .o_MEM_Stall(Hazard_Stall_MEM), + .o_MEM_Smash(Hazard_Flush_MEM), + + .o_WB_Stall(Hazard_Stall_WB), + .o_WB_Smash(Hazard_Flush_WB) + ); + + + +//=================================================================== +// Initialization + +// Flash Loader +// speed ups for simulation +`ifdef MODEL_TECH +flashreader#(.WORDS_TO_LOAD(32'h00008000), + .FLASH_READ_WAIT_TIME_PS(0)) +`else +flashreader +`endif +flashloader2( .i_Clk(i_Clk), + .i_Reset_n(Internal_Reset_n), + .o_Done(o_FlashLoader_Done), + .o_SDRAM_Addr(o_FlashLoader_SDRAM_Addr), + .o_SDRAM_Req_Valid(o_FlashLoader_SDRAM_Req_Valid), + .o_SDRAM_Read_Write_n(o_FlashLoader_SDRAM_Read_Write_n), + .o_SDRAM_Data(o_FlashLoader_SDRAM_Data), + .i_SDRAM_Data_Read(i_FlashLoader_SDRAM_Data_Read), + .i_SDRAM_Last(i_FlashLoader_SDRAM_Last), + .o_FL_Addr(o_FlashLoader_FL_Addr), + .i_FL_Data(i_FlashLoader_FL_Data), + .o_FL_Chip_En_n(o_FlashLoader_FL_Chip_En_n), + .o_FL_Output_En_n(o_FlashLoader_FL_Output_En_n), + .o_FL_Write_En_n(o_FlashLoader_FL_Write_En_n), + .o_FL_Reset_n(o_FlashLoader_FL_Reset_n) + ); + +initial +begin +end + +endmodule diff --git a/src/mt48lc4m16a2.v b/src/mt48lc4m16a2.v new file mode 100644 index 0000000..55dc9fb --- /dev/null +++ b/src/mt48lc4m16a2.v @@ -0,0 +1,1072 @@ +/************************************************************************** +* +* File Name: MT48LC4M16A2.V +* Version: 2.1 +* Date: June 6th, 2002 +* Model: BUS Functional +* Simulator: Model Technology +* +* Dependencies: None +* +* Email: modelsupport@micron.com +* Company: Micron Technology, Inc. +* Model: MT48LC4M16A2 (1Meg x 16 x 4 Banks) +* +* Description: Micron 64Mb SDRAM Verilog model +* +* Limitation: - Doesn't check for 4096 cycle refresh +* +* Note: - Set simulator resolution to "ps" accuracy +* - Set Debug = 0 to disable $display messages +* +* Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY +* WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY +* IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR +* A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. +* +* Copyright © 2001 Micron Semiconductor Products, Inc. +* All rights researved +* +* Rev Author Date Changes +* --- -------------------------- --------------------------------------- +* 2.1 SH 06/06/2002 - Typo in bank multiplex +* Micron Technology Inc. +* +* 2.0 SH 04/30/2002 - Second release +* Micron Technology Inc. +* +**************************************************************************/ + +`timescale 1ns / 1ps + +module mt48lc4m16a2 (Dq, Addr, Ba, Clk, Cke, Cs_n, Ras_n, Cas_n, We_n, Dqm); + + parameter addr_bits = 12; + parameter data_bits = 16; + parameter col_bits = 8; + parameter mem_sizes = 1048575; + + inout [data_bits - 1 : 0] Dq; + input [addr_bits - 1 : 0] Addr; + input [1 : 0] Ba; + input Clk; + input Cke; + input Cs_n; + input Ras_n; + input Cas_n; + input We_n; + input [1 : 0] Dqm; + + reg [data_bits - 1 : 0] Bank0 [0 : mem_sizes]; + reg [data_bits - 1 : 0] Bank1 [0 : mem_sizes]; + reg [data_bits - 1 : 0] Bank2 [0 : mem_sizes]; + reg [data_bits - 1 : 0] Bank3 [0 : mem_sizes]; + + reg [1 : 0] Bank_addr [0 : 3]; // Bank Address Pipeline + reg [col_bits - 1 : 0] Col_addr [0 : 3]; // Column Address Pipeline + reg [3 : 0] Command [0 : 3]; // Command Operation Pipeline + reg [1 : 0] Dqm_reg0, Dqm_reg1; // DQM Operation Pipeline + reg [addr_bits - 1 : 0] B0_row_addr, B1_row_addr, B2_row_addr, B3_row_addr; + + reg [addr_bits - 1 : 0] Mode_reg; + reg [data_bits - 1 : 0] Dq_reg, Dq_dqm; + reg [col_bits - 1 : 0] Col_temp, Burst_counter; + + reg Act_b0, Act_b1, Act_b2, Act_b3; // Bank Activate + reg Pc_b0, Pc_b1, Pc_b2, Pc_b3; // Bank Precharge + + reg [1 : 0] Bank_precharge [0 : 3]; // Precharge Command + reg A10_precharge [0 : 3]; // Addr[10] = 1 (All banks) + reg Auto_precharge [0 : 3]; // RW Auto Precharge (Bank) + reg Read_precharge [0 : 3]; // R Auto Precharge + reg Write_precharge [0 : 3]; // W Auto Precharge + reg RW_interrupt_read [0 : 3]; // RW Interrupt Read with Auto Precharge + reg RW_interrupt_write [0 : 3]; // RW Interrupt Write with Auto Precharge + reg [1 : 0] RW_interrupt_bank; // RW Interrupt Bank + integer RW_interrupt_counter [0 : 3]; // RW Interrupt Counter + integer Count_precharge [0 : 3]; // RW Auto Precharge Counter + + reg Data_in_enable; + reg Data_out_enable; + + reg [1 : 0] Bank, Prev_bank; + reg [addr_bits - 1 : 0] Row; + reg [col_bits - 1 : 0] Col, Col_brst; + + // Internal system clock + reg CkeZ, Sys_clk; + + // Commands Decode + wire Active_enable = ~Cs_n & ~Ras_n & Cas_n & We_n; + wire Aref_enable = ~Cs_n & ~Ras_n & ~Cas_n & We_n; + wire Burst_term = ~Cs_n & Ras_n & Cas_n & ~We_n; + wire Mode_reg_enable = ~Cs_n & ~Ras_n & ~Cas_n & ~We_n; + wire Prech_enable = ~Cs_n & ~Ras_n & Cas_n & ~We_n; + wire Read_enable = ~Cs_n & Ras_n & ~Cas_n & We_n; + wire Write_enable = ~Cs_n & Ras_n & ~Cas_n & ~We_n; + + // Burst Length Decode + wire Burst_length_1 = ~Mode_reg[2] & ~Mode_reg[1] & ~Mode_reg[0]; + wire Burst_length_2 = ~Mode_reg[2] & ~Mode_reg[1] & Mode_reg[0]; + wire Burst_length_4 = ~Mode_reg[2] & Mode_reg[1] & ~Mode_reg[0]; + wire Burst_length_8 = ~Mode_reg[2] & Mode_reg[1] & Mode_reg[0]; + wire Burst_length_f = Mode_reg[2] & Mode_reg[1] & Mode_reg[0]; + + // CAS Latency Decode + wire Cas_latency_2 = ~Mode_reg[6] & Mode_reg[5] & ~Mode_reg[4]; + wire Cas_latency_3 = ~Mode_reg[6] & Mode_reg[5] & Mode_reg[4]; + + // Write Burst Mode + wire Write_burst_mode = Mode_reg[9]; + + wire Debug = 1'b0; // Debug messages : 1 = On + wire Dq_chk = Sys_clk & Data_in_enable; // Check setup/hold time for DQ + + assign Dq = Dq_reg; // DQ buffer + + // Commands Operation + `define ACT 0 + `define NOP 1 + `define READ 2 + `define WRITE 3 + `define PRECH 4 + `define A_REF 5 + `define BST 6 + `define LMR 7 + + // Timing Parameters for -7E PC133 CL2 + parameter tAC = 5.4; + parameter tHZ = 5.4; + parameter tOH = 3.0; + parameter tMRD = 2.0; // 2 Clk Cycles + parameter tRAS = 37.0; + parameter tRC = 60.0; + parameter tRCD = 15.0; + parameter tRFC = 66.0; + parameter tRP = 15.0; + parameter tRRD = 14.0; + parameter tWRa = 7.0; // A2 Version - Auto precharge mode (1 Clk + 7 ns) + parameter tWRm = 14.0; // A2 Version - Manual precharge mode (14 ns) + + // Timing Check variable + time MRD_chk; + time WR_chkm [0 : 3]; + time RFC_chk, RRD_chk; + time RC_chk0, RC_chk1, RC_chk2, RC_chk3; + time RAS_chk0, RAS_chk1, RAS_chk2, RAS_chk3; + time RCD_chk0, RCD_chk1, RCD_chk2, RCD_chk3; + time RP_chk0, RP_chk1, RP_chk2, RP_chk3; + + initial begin + Dq_reg = {data_bits{1'bz}}; + Data_in_enable = 0; Data_out_enable = 0; + Act_b0 = 1; Act_b1 = 1; Act_b2 = 1; Act_b3 = 1; + Pc_b0 = 0; Pc_b1 = 0; Pc_b2 = 0; Pc_b3 = 0; + WR_chkm[0] = 0; WR_chkm[1] = 0; WR_chkm[2] = 0; WR_chkm[3] = 0; + RW_interrupt_read[0] = 0; RW_interrupt_read[1] = 0; RW_interrupt_read[2] = 0; RW_interrupt_read[3] = 0; + RW_interrupt_write[0] = 0; RW_interrupt_write[1] = 0; RW_interrupt_write[2] = 0; RW_interrupt_write[3] = 0; + MRD_chk = 0; RFC_chk = 0; RRD_chk = 0; + RAS_chk0 = 0; RAS_chk1 = 0; RAS_chk2 = 0; RAS_chk3 = 0; + RCD_chk0 = 0; RCD_chk1 = 0; RCD_chk2 = 0; RCD_chk3 = 0; + RC_chk0 = 0; RC_chk1 = 0; RC_chk2 = 0; RC_chk3 = 0; + RP_chk0 = 0; RP_chk1 = 0; RP_chk2 = 0; RP_chk3 = 0; + $timeformat (-9, 1, " ns", 12); + end + + // System clock generator + always begin + @ (posedge Clk) begin + Sys_clk = CkeZ; + CkeZ = Cke; + end + @ (negedge Clk) begin + Sys_clk = 1'b0; + end + end + + always @ (posedge Sys_clk) begin + // Internal Commamd Pipelined + Command[0] = Command[1]; + Command[1] = Command[2]; + Command[2] = Command[3]; + Command[3] = `NOP; + + Col_addr[0] = Col_addr[1]; + Col_addr[1] = Col_addr[2]; + Col_addr[2] = Col_addr[3]; + Col_addr[3] = {col_bits{1'b0}}; + + Bank_addr[0] = Bank_addr[1]; + Bank_addr[1] = Bank_addr[2]; + Bank_addr[2] = Bank_addr[3]; + Bank_addr[3] = 2'b0; + + Bank_precharge[0] = Bank_precharge[1]; + Bank_precharge[1] = Bank_precharge[2]; + Bank_precharge[2] = Bank_precharge[3]; + Bank_precharge[3] = 2'b0; + + A10_precharge[0] = A10_precharge[1]; + A10_precharge[1] = A10_precharge[2]; + A10_precharge[2] = A10_precharge[3]; + A10_precharge[3] = 1'b0; + + // Dqm pipeline for Read + Dqm_reg0 = Dqm_reg1; + Dqm_reg1 = Dqm; + + // Read or Write with Auto Precharge Counter + if (Auto_precharge[0] === 1'b1) begin + Count_precharge[0] = Count_precharge[0] + 1; + end + if (Auto_precharge[1] === 1'b1) begin + Count_precharge[1] = Count_precharge[1] + 1; + end + if (Auto_precharge[2] === 1'b1) begin + Count_precharge[2] = Count_precharge[2] + 1; + end + if (Auto_precharge[3] === 1'b1) begin + Count_precharge[3] = Count_precharge[3] + 1; + end + + // Read or Write Interrupt Counter + if (RW_interrupt_write[0] === 1'b1) begin + RW_interrupt_counter[0] = RW_interrupt_counter[0] + 1; + end + if (RW_interrupt_write[1] === 1'b1) begin + RW_interrupt_counter[1] = RW_interrupt_counter[1] + 1; + end + if (RW_interrupt_write[2] === 1'b1) begin + RW_interrupt_counter[2] = RW_interrupt_counter[2] + 1; + end + if (RW_interrupt_write[3] === 1'b1) begin + RW_interrupt_counter[3] = RW_interrupt_counter[3] + 1; + end + + // tMRD Counter + MRD_chk = MRD_chk + 1; + + // Auto Refresh + if (Aref_enable === 1'b1) begin + if (Debug) begin + $display ("%m : at time %t AREF : Auto Refresh", $time); + end + + // Auto Refresh to Auto Refresh + if ($time - RFC_chk < tRFC) begin + $display ("%m : at time %t ERROR: tRFC violation during Auto Refresh", $time); + end + + // Precharge to Auto Refresh + if (($time - RP_chk0 < tRP) || ($time - RP_chk1 < tRP) || + ($time - RP_chk2 < tRP) || ($time - RP_chk3 < tRP)) begin + $display ("%m : at time %t ERROR: tRP violation during Auto Refresh", $time); + end + + // Precharge to Refresh + if (Pc_b0 === 1'b0 || Pc_b1 === 1'b0 || Pc_b2 === 1'b0 || Pc_b3 === 1'b0) begin + $display ("%m : at time %t ERROR: All banks must be Precharge before Auto Refresh", $time); + end + + // Load Mode Register to Auto Refresh + if (MRD_chk < tMRD) begin + $display ("%m : at time %t ERROR: tMRD violation during Auto Refresh", $time); + end + + // Record Current tRFC time + RFC_chk = $time; + end + + // Load Mode Register + if (Mode_reg_enable === 1'b1) begin + // Register Mode + Mode_reg = Addr; + + // Decode CAS Latency, Burst Length, Burst Type, and Write Burst Mode + if (Debug) begin + $display ("%m : at time %t LMR : Load Mode Register", $time); + // CAS Latency + case (Addr[6 : 4]) + 3'b010 : $display ("%m : CAS Latency = 2"); + 3'b011 : $display ("%m : CAS Latency = 3"); + default : $display ("%m : CAS Latency = Reserved"); + endcase + + // Burst Length + case (Addr[2 : 0]) + 3'b000 : $display ("%m : Burst Length = 1"); + 3'b001 : $display ("%m : Burst Length = 2"); + 3'b010 : $display ("%m : Burst Length = 4"); + 3'b011 : $display ("%m : Burst Length = 8"); + 3'b111 : $display ("%m : Burst Length = Full"); + default : $display ("%m : Burst Length = Reserved"); + endcase + + // Burst Type + if (Addr[3] === 1'b0) begin + $display ("%m : Burst Type = Sequential"); + end else if (Addr[3] === 1'b1) begin + $display ("%m : Burst Type = Interleaved"); + end else begin + $display ("%m : Burst Type = Reserved"); + end + + // Write Burst Mode + if (Addr[9] === 1'b0) begin + $display ("%m : Write Burst Mode = Programmed Burst Length"); + end else if (Addr[9] === 1'b1) begin + $display ("%m : Write Burst Mode = Single Location Access"); + end else begin + $display ("%m : Write Burst Mode = Reserved"); + end + end + + // Precharge to Load Mode Register + if (Pc_b0 === 1'b0 && Pc_b1 === 1'b0 && Pc_b2 === 1'b0 && Pc_b3 === 1'b0) begin + $display ("%m : at time %t ERROR: all banks must be Precharge before Load Mode Register", $time); + end + + // Precharge to Load Mode Register + if (($time - RP_chk0 < tRP) || ($time - RP_chk1 < tRP) || + ($time - RP_chk2 < tRP) || ($time - RP_chk3 < tRP)) begin + $display ("%m : at time %t ERROR: tRP violation during Load Mode Register", $time); + end + + // Auto Refresh to Load Mode Register + if ($time - RFC_chk < tRFC) begin + $display ("%m : at time %t ERROR: tRFC violation during Load Mode Register", $time); + end + + // Load Mode Register to Load Mode Register + if (MRD_chk < tMRD) begin + $display ("%m : at time %t ERROR: tMRD violation during Load Mode Register", $time); + end + + // Reset MRD Counter + MRD_chk = 0; + end + + // Active Block (Latch Bank Address and Row Address) + if (Active_enable === 1'b1) begin + // Activate an open bank can corrupt data + if ((Ba === 2'b00 && Act_b0 === 1'b1) || (Ba === 2'b01 && Act_b1 === 1'b1) || + (Ba === 2'b10 && Act_b2 === 1'b1) || (Ba === 2'b11 && Act_b3 === 1'b1)) begin + $display ("%m : at time %t ERROR: Bank already activated -- data can be corrupted", $time); + end + + // Activate Bank 0 + if (Ba === 2'b00 && Pc_b0 === 1'b1) begin + // Debug Message + if (Debug) begin + $display ("%m : at time %t ACT : Bank = 0 Row = %d", $time, Addr); + end + + // ACTIVE to ACTIVE command period + if ($time - RC_chk0 < tRC) begin + $display ("%m : at time %t ERROR: tRC violation during Activate bank 0", $time); + end + + // Precharge to Activate Bank 0 + if ($time - RP_chk0 < tRP) begin + $display ("%m : at time %t ERROR: tRP violation during Activate bank 0", $time); + end + + // Record variables + Act_b0 = 1'b1; + Pc_b0 = 1'b0; + B0_row_addr = Addr [addr_bits - 1 : 0]; + RAS_chk0 = $time; + RC_chk0 = $time; + RCD_chk0 = $time; + end + + if (Ba == 2'b01 && Pc_b1 == 1'b1) begin + // Debug Message + if (Debug) begin + $display ("%m : at time %t ACT : Bank = 1 Row = %d", $time, Addr); + end + + // ACTIVE to ACTIVE command period + if ($time - RC_chk1 < tRC) begin + $display ("%m : at time %t ERROR: tRC violation during Activate bank 1", $time); + end + + // Precharge to Activate Bank 1 + if ($time - RP_chk1 < tRP) begin + $display ("%m : at time %t ERROR: tRP violation during Activate bank 1", $time); + end + + // Record variables + Act_b1 = 1'b1; + Pc_b1 = 1'b0; + B1_row_addr = Addr [addr_bits - 1 : 0]; + RAS_chk1 = $time; + RC_chk1 = $time; + RCD_chk1 = $time; + end + + if (Ba == 2'b10 && Pc_b2 == 1'b1) begin + // Debug Message + if (Debug) begin + $display ("%m : at time %t ACT : Bank = 2 Row = %d", $time, Addr); + end + + // ACTIVE to ACTIVE command period + if ($time - RC_chk2 < tRC) begin + $display ("%m : at time %t ERROR: tRC violation during Activate bank 2", $time); + end + + // Precharge to Activate Bank 2 + if ($time - RP_chk2 < tRP) begin + $display ("%m : at time %t ERROR: tRP violation during Activate bank 2", $time); + end + + // Record variables + Act_b2 = 1'b1; + Pc_b2 = 1'b0; + B2_row_addr = Addr [addr_bits - 1 : 0]; + RAS_chk2 = $time; + RC_chk2 = $time; + RCD_chk2 = $time; + end + + if (Ba == 2'b11 && Pc_b3 == 1'b1) begin + // Debug Message + if (Debug) begin + $display ("%m : at time %t ACT : Bank = 3 Row = %d", $time, Addr); + end + + // ACTIVE to ACTIVE command period + if ($time - RC_chk3 < tRC) begin + $display ("%m : at time %t ERROR: tRC violation during Activate bank 3", $time); + end + + // Precharge to Activate Bank 3 + if ($time - RP_chk3 < tRP) begin + $display ("%m : at time %t ERROR: tRP violation during Activate bank 3", $time); + end + + // Record variables + Act_b3 = 1'b1; + Pc_b3 = 1'b0; + B3_row_addr = Addr [addr_bits - 1 : 0]; + RAS_chk3 = $time; + RC_chk3 = $time; + RCD_chk3 = $time; + end + + // Active Bank A to Active Bank B + if ((Prev_bank != Ba) && ($time - RRD_chk < tRRD)) begin + $display ("%m : at time %t ERROR: tRRD violation during Activate bank = %d", $time, Ba); + end + + // Auto Refresh to Activate + if ($time - RFC_chk < tRFC) begin + $display ("%m : at time %t ERROR: tRFC violation during Activate bank = %d", $time, Ba); + end + + // Load Mode Register to Active + if (MRD_chk < tMRD ) begin + $display ("%m : at time %t ERROR: tMRD violation during Activate bank = %d", $time, Ba); + end + + // Record variables for checking violation + RRD_chk = $time; + Prev_bank = Ba; + end + + // Precharge Block + if (Prech_enable == 1'b1) begin + // Load Mode Register to Precharge + if ($time - MRD_chk < tMRD) begin + $display ("%m : at time %t ERROR: tMRD violaiton during Precharge", $time); + end + + // Precharge Bank 0 + if ((Addr[10] === 1'b1 || (Addr[10] === 1'b0 && Ba === 2'b00)) && Act_b0 === 1'b1) begin + Act_b0 = 1'b0; + Pc_b0 = 1'b1; + RP_chk0 = $time; + + // Activate to Precharge + if ($time - RAS_chk0 < tRAS) begin + $display ("%m : at time %t ERROR: tRAS violation during Precharge", $time); + end + + // tWR violation check for write + if ($time - WR_chkm[0] < tWRm) begin + $display ("%m : at time %t ERROR: tWR violation during Precharge", $time); + end + end + + // Precharge Bank 1 + if ((Addr[10] === 1'b1 || (Addr[10] === 1'b0 && Ba === 2'b01)) && Act_b1 === 1'b1) begin + Act_b1 = 1'b0; + Pc_b1 = 1'b1; + RP_chk1 = $time; + + // Activate to Precharge + if ($time - RAS_chk1 < tRAS) begin + $display ("%m : at time %t ERROR: tRAS violation during Precharge", $time); + end + + // tWR violation check for write + if ($time - WR_chkm[1] < tWRm) begin + $display ("%m : at time %t ERROR: tWR violation during Precharge", $time); + end + end + + // Precharge Bank 2 + if ((Addr[10] === 1'b1 || (Addr[10] === 1'b0 && Ba === 2'b10)) && Act_b2 === 1'b1) begin + Act_b2 = 1'b0; + Pc_b2 = 1'b1; + RP_chk2 = $time; + + // Activate to Precharge + if ($time - RAS_chk2 < tRAS) begin + $display ("%m : at time %t ERROR: tRAS violation during Precharge", $time); + end + + // tWR violation check for write + if ($time - WR_chkm[2] < tWRm) begin + $display ("%m : at time %t ERROR: tWR violation during Precharge", $time); + end + end + + // Precharge Bank 3 + if ((Addr[10] === 1'b1 || (Addr[10] === 1'b0 && Ba === 2'b11)) && Act_b3 === 1'b1) begin + Act_b3 = 1'b0; + Pc_b3 = 1'b1; + RP_chk3 = $time; + + // Activate to Precharge + if ($time - RAS_chk3 < tRAS) begin + $display ("%m : at time %t ERROR: tRAS violation during Precharge", $time); + end + + // tWR violation check for write + if ($time - WR_chkm[3] < tWRm) begin + $display ("%m : at time %t ERROR: tWR violation during Precharge", $time); + end + end + + // Terminate a Write Immediately (if same bank or all banks) + if (Data_in_enable === 1'b1 && (Bank === Ba || Addr[10] === 1'b1)) begin + Data_in_enable = 1'b0; + end + + // Precharge Command Pipeline for Read + if (Cas_latency_3 === 1'b1) begin + Command[2] = `PRECH; + Bank_precharge[2] = Ba; + A10_precharge[2] = Addr[10]; + end else if (Cas_latency_2 === 1'b1) begin + Command[1] = `PRECH; + Bank_precharge[1] = Ba; + A10_precharge[1] = Addr[10]; + end + end + + // Burst terminate + if (Burst_term === 1'b1) begin + // Terminate a Write Immediately + if (Data_in_enable == 1'b1) begin + Data_in_enable = 1'b0; + end + + // Terminate a Read Depend on CAS Latency + if (Cas_latency_3 === 1'b1) begin + Command[2] = `BST; + end else if (Cas_latency_2 == 1'b1) begin + Command[1] = `BST; + end + + // Display debug message + if (Debug) begin + $display ("%m : at time %t BST : Burst Terminate",$time); + end + end + + // Read, Write, Column Latch + if (Read_enable === 1'b1) begin + // Check to see if bank is open (ACT) + if ((Ba == 2'b00 && Pc_b0 == 1'b1) || (Ba == 2'b01 && Pc_b1 == 1'b1) || + (Ba == 2'b10 && Pc_b2 == 1'b1) || (Ba == 2'b11 && Pc_b3 == 1'b1)) begin + $display("%m : at time %t ERROR: Bank is not Activated for Read", $time); + end + + // Activate to Read or Write + if ((Ba == 2'b00) && ($time - RCD_chk0 < tRCD) || + (Ba == 2'b01) && ($time - RCD_chk1 < tRCD) || + (Ba == 2'b10) && ($time - RCD_chk2 < tRCD) || + (Ba == 2'b11) && ($time - RCD_chk3 < tRCD)) begin + $display("%m : at time %t ERROR: tRCD violation during Read", $time); + end + + // CAS Latency pipeline + if (Cas_latency_3 == 1'b1) begin + Command[2] = `READ; + Col_addr[2] = Addr; + Bank_addr[2] = Ba; + end else if (Cas_latency_2 == 1'b1) begin + Command[1] = `READ; + Col_addr[1] = Addr; + Bank_addr[1] = Ba; + end + + // Read interrupt Write (terminate Write immediately) + if (Data_in_enable == 1'b1) begin + Data_in_enable = 1'b0; + + // Interrupting a Write with Autoprecharge + if (Auto_precharge[RW_interrupt_bank] == 1'b1 && Write_precharge[RW_interrupt_bank] == 1'b1) begin + RW_interrupt_write[RW_interrupt_bank] = 1'b1; + RW_interrupt_counter[RW_interrupt_bank] = 0; + + // Display debug message + if (Debug) begin + $display ("%m : at time %t NOTE : Read interrupt Write with Autoprecharge", $time); + end + end + end + + // Write with Auto Precharge + if (Addr[10] == 1'b1) begin + Auto_precharge[Ba] = 1'b1; + Count_precharge[Ba] = 0; + RW_interrupt_bank = Ba; + Read_precharge[Ba] = 1'b1; + end + end + + // Write Command + if (Write_enable == 1'b1) begin + // Activate to Write + if ((Ba == 2'b00 && Pc_b0 == 1'b1) || (Ba == 2'b01 && Pc_b1 == 1'b1) || + (Ba == 2'b10 && Pc_b2 == 1'b1) || (Ba == 2'b11 && Pc_b3 == 1'b1)) begin + $display("%m : at time %t ERROR: Bank is not Activated for Write", $time); + end + + // Activate to Read or Write + if ((Ba == 2'b00) && ($time - RCD_chk0 < tRCD) || + (Ba == 2'b01) && ($time - RCD_chk1 < tRCD) || + (Ba == 2'b10) && ($time - RCD_chk2 < tRCD) || + (Ba == 2'b11) && ($time - RCD_chk3 < tRCD)) begin + $display("%m : at time %t ERROR: tRCD violation during Read", $time); + end + + // Latch Write command, Bank, and Column + Command[0] = `WRITE; + Col_addr[0] = Addr; + Bank_addr[0] = Ba; + + // Write interrupt Write (terminate Write immediately) + if (Data_in_enable == 1'b1) begin + Data_in_enable = 1'b0; + + // Interrupting a Write with Autoprecharge + if (Auto_precharge[RW_interrupt_bank] == 1'b1 && Write_precharge[RW_interrupt_bank] == 1'b1) begin + RW_interrupt_write[RW_interrupt_bank] = 1'b1; + + // Display debug message + if (Debug) begin + $display ("%m : at time %t NOTE : Read Bank %d interrupt Write Bank %d with Autoprecharge", $time, Ba, RW_interrupt_bank); + end + end + end + + // Write interrupt Read (terminate Read immediately) + if (Data_out_enable == 1'b1) begin + Data_out_enable = 1'b0; + + // Interrupting a Read with Autoprecharge + if (Auto_precharge[RW_interrupt_bank] == 1'b1 && Read_precharge[RW_interrupt_bank] == 1'b1) begin + RW_interrupt_read[RW_interrupt_bank] = 1'b1; + + // Display debug message + if (Debug) begin + $display ("%m : at time %t NOTE : Write Bank %d interrupt Read Bank %d with Autoprecharge", $time, Ba, RW_interrupt_bank); + end + end + end + + // Write with Auto Precharge + if (Addr[10] == 1'b1) begin + Auto_precharge[Ba] = 1'b1; + Count_precharge[Ba] = 0; + RW_interrupt_bank = Ba; + Write_precharge[Ba] = 1'b1; + end + end + + /* + Write with Auto Precharge Calculation + The device start internal precharge when: + 1. Meet minimum tRAS requirement + and 2. tWR cycle(s) after last valid data + or 3. Interrupt by a Read or Write (with or without Auto Precharge) + + Note: Model is starting the internal precharge 1 cycle after they meet all the + requirement but tRP will be compensate for the time after the 1 cycle. + */ + if ((Auto_precharge[0] == 1'b1) && (Write_precharge[0] == 1'b1)) begin + if ((($time - RAS_chk0 >= tRAS) && // Case 1 + (((Burst_length_1 == 1'b1 || Write_burst_mode == 1'b1) && Count_precharge [0] >= 1) || // Case 2 + (Burst_length_2 == 1'b1 && Count_precharge [0] >= 2) || + (Burst_length_4 == 1'b1 && Count_precharge [0] >= 4) || + (Burst_length_8 == 1'b1 && Count_precharge [0] >= 8))) || + (RW_interrupt_write[0] == 1'b1 && RW_interrupt_counter[0] >= 1)) begin // Case 3 + Auto_precharge[0] = 1'b0; + Write_precharge[0] = 1'b0; + RW_interrupt_write[0] = 1'b0; + Pc_b0 = 1'b1; + Act_b0 = 1'b0; + RP_chk0 = $time + tWRa; + if (Debug) begin + $display ("%m : at time %t NOTE : Start Internal Auto Precharge for Bank 0", $time); + end + end + end + if ((Auto_precharge[1] == 1'b1) && (Write_precharge[1] == 1'b1)) begin + if ((($time - RAS_chk1 >= tRAS) && // Case 1 + (((Burst_length_1 == 1'b1 || Write_burst_mode == 1'b1) && Count_precharge [1] >= 1) || // Case 2 + (Burst_length_2 == 1'b1 && Count_precharge [1] >= 2) || + (Burst_length_4 == 1'b1 && Count_precharge [1] >= 4) || + (Burst_length_8 == 1'b1 && Count_precharge [1] >= 8))) || + (RW_interrupt_write[1] == 1'b1 && RW_interrupt_counter[1] >= 1)) begin // Case 3 + Auto_precharge[1] = 1'b0; + Write_precharge[1] = 1'b0; + RW_interrupt_write[1] = 1'b0; + Pc_b1 = 1'b1; + Act_b1 = 1'b0; + RP_chk1 = $time + tWRa; + if (Debug) begin + $display ("%m : at time %t NOTE : Start Internal Auto Precharge for Bank 1", $time); + end + end + end + if ((Auto_precharge[2] == 1'b1) && (Write_precharge[2] == 1'b1)) begin + if ((($time - RAS_chk2 >= tRAS) && // Case 1 + (((Burst_length_1 == 1'b1 || Write_burst_mode == 1'b1) && Count_precharge [2] >= 1) || // Case 2 + (Burst_length_2 == 1'b1 && Count_precharge [2] >= 2) || + (Burst_length_4 == 1'b1 && Count_precharge [2] >= 4) || + (Burst_length_8 == 1'b1 && Count_precharge [2] >= 8))) || + (RW_interrupt_write[2] == 1'b1 && RW_interrupt_counter[2] >= 1)) begin // Case 3 + Auto_precharge[2] = 1'b0; + Write_precharge[2] = 1'b0; + RW_interrupt_write[2] = 1'b0; + Pc_b2 = 1'b1; + Act_b2 = 1'b0; + RP_chk2 = $time + tWRa; + if (Debug) begin + $display ("%m : at time %t NOTE : Start Internal Auto Precharge for Bank 2", $time); + end + end + end + if ((Auto_precharge[3] == 1'b1) && (Write_precharge[3] == 1'b1)) begin + if ((($time - RAS_chk3 >= tRAS) && // Case 1 + (((Burst_length_1 == 1'b1 || Write_burst_mode == 1'b1) && Count_precharge [3] >= 1) || // Case 2 + (Burst_length_2 == 1'b1 && Count_precharge [3] >= 2) || + (Burst_length_4 == 1'b1 && Count_precharge [3] >= 4) || + (Burst_length_8 == 1'b1 && Count_precharge [3] >= 8))) || + (RW_interrupt_write[3] == 1'b1 && RW_interrupt_counter[3] >= 1)) begin // Case 3 + Auto_precharge[3] = 1'b0; + Write_precharge[3] = 1'b0; + RW_interrupt_write[3] = 1'b0; + Pc_b3 = 1'b1; + Act_b3 = 1'b0; + RP_chk3 = $time + tWRa; + if (Debug) begin + $display ("%m : at time %t NOTE : Start Internal Auto Precharge for Bank 3", $time); + end + end + end + + // Read with Auto Precharge Calculation + // The device start internal precharge: + // 1. Meet minimum tRAS requirement + // and 2. CAS Latency - 1 cycles before last burst + // or 3. Interrupt by a Read or Write (with or without AutoPrecharge) + if ((Auto_precharge[0] == 1'b1) && (Read_precharge[0] == 1'b1)) begin + if ((($time - RAS_chk0 >= tRAS) && // Case 1 + ((Burst_length_1 == 1'b1 && Count_precharge[0] >= 1) || // Case 2 + (Burst_length_2 == 1'b1 && Count_precharge[0] >= 2) || + (Burst_length_4 == 1'b1 && Count_precharge[0] >= 4) || + (Burst_length_8 == 1'b1 && Count_precharge[0] >= 8))) || + (RW_interrupt_read[0] == 1'b1)) begin // Case 3 + Pc_b0 = 1'b1; + Act_b0 = 1'b0; + RP_chk0 = $time; + Auto_precharge[0] = 1'b0; + Read_precharge[0] = 1'b0; + RW_interrupt_read[0] = 1'b0; + if (Debug) begin + $display ("%m : at time %t NOTE : Start Internal Auto Precharge for Bank 0", $time); + end + end + end + if ((Auto_precharge[1] == 1'b1) && (Read_precharge[1] == 1'b1)) begin + if ((($time - RAS_chk1 >= tRAS) && + ((Burst_length_1 == 1'b1 && Count_precharge[1] >= 1) || + (Burst_length_2 == 1'b1 && Count_precharge[1] >= 2) || + (Burst_length_4 == 1'b1 && Count_precharge[1] >= 4) || + (Burst_length_8 == 1'b1 && Count_precharge[1] >= 8))) || + (RW_interrupt_read[1] == 1'b1)) begin + Pc_b1 = 1'b1; + Act_b1 = 1'b0; + RP_chk1 = $time; + Auto_precharge[1] = 1'b0; + Read_precharge[1] = 1'b0; + RW_interrupt_read[1] = 1'b0; + if (Debug) begin + $display ("%m : at time %t NOTE : Start Internal Auto Precharge for Bank 1", $time); + end + end + end + if ((Auto_precharge[2] == 1'b1) && (Read_precharge[2] == 1'b1)) begin + if ((($time - RAS_chk2 >= tRAS) && + ((Burst_length_1 == 1'b1 && Count_precharge[2] >= 1) || + (Burst_length_2 == 1'b1 && Count_precharge[2] >= 2) || + (Burst_length_4 == 1'b1 && Count_precharge[2] >= 4) || + (Burst_length_8 == 1'b1 && Count_precharge[2] >= 8))) || + (RW_interrupt_read[2] == 1'b1)) begin + Pc_b2 = 1'b1; + Act_b2 = 1'b0; + RP_chk2 = $time; + Auto_precharge[2] = 1'b0; + Read_precharge[2] = 1'b0; + RW_interrupt_read[2] = 1'b0; + if (Debug) begin + $display ("%m : at time %t NOTE : Start Internal Auto Precharge for Bank 2", $time); + end + end + end + if ((Auto_precharge[3] == 1'b1) && (Read_precharge[3] == 1'b1)) begin + if ((($time - RAS_chk3 >= tRAS) && + ((Burst_length_1 == 1'b1 && Count_precharge[3] >= 1) || + (Burst_length_2 == 1'b1 && Count_precharge[3] >= 2) || + (Burst_length_4 == 1'b1 && Count_precharge[3] >= 4) || + (Burst_length_8 == 1'b1 && Count_precharge[3] >= 8))) || + (RW_interrupt_read[3] == 1'b1)) begin + Pc_b3 = 1'b1; + Act_b3 = 1'b0; + RP_chk3 = $time; + Auto_precharge[3] = 1'b0; + Read_precharge[3] = 1'b0; + RW_interrupt_read[3] = 1'b0; + if (Debug) begin + $display("%m : at time %t NOTE : Start Internal Auto Precharge for Bank 3", $time); + end + end + end + + // Internal Precharge or Bst + if (Command[0] == `PRECH) begin // Precharge terminate a read with same bank or all banks + if (Bank_precharge[0] == Bank || A10_precharge[0] == 1'b1) begin + if (Data_out_enable == 1'b1) begin + Data_out_enable = 1'b0; + end + end + end else if (Command[0] == `BST) begin // BST terminate a read to current bank + if (Data_out_enable == 1'b1) begin + Data_out_enable = 1'b0; + end + end + + if (Data_out_enable == 1'b0) begin + Dq_reg <= #tOH {data_bits{1'bz}}; + end + + // Detect Read or Write command + if (Command[0] == `READ) begin + Bank = Bank_addr[0]; + Col = Col_addr[0]; + Col_brst = Col_addr[0]; + case (Bank_addr[0]) + 2'b00 : Row = B0_row_addr; + 2'b01 : Row = B1_row_addr; + 2'b10 : Row = B2_row_addr; + 2'b11 : Row = B3_row_addr; + endcase + Burst_counter = 0; + Data_in_enable = 1'b0; + Data_out_enable = 1'b1; + end else if (Command[0] == `WRITE) begin + Bank = Bank_addr[0]; + Col = Col_addr[0]; + Col_brst = Col_addr[0]; + case (Bank_addr[0]) + 2'b00 : Row = B0_row_addr; + 2'b01 : Row = B1_row_addr; + 2'b10 : Row = B2_row_addr; + 2'b11 : Row = B3_row_addr; + endcase + Burst_counter = 0; + Data_in_enable = 1'b1; + Data_out_enable = 1'b0; + end + + // DQ buffer (Driver/Receiver) + if (Data_in_enable == 1'b1) begin // Writing Data to Memory + // Array buffer + case (Bank) + 2'b00 : Dq_dqm = Bank0 [{Row, Col}]; + 2'b01 : Dq_dqm = Bank1 [{Row, Col}]; + 2'b10 : Dq_dqm = Bank2 [{Row, Col}]; + 2'b11 : Dq_dqm = Bank3 [{Row, Col}]; + endcase + + // Dqm operation + if (Dqm[0] == 1'b0) begin + Dq_dqm [ 7 : 0] = Dq [ 7 : 0]; + end + if (Dqm[1] == 1'b0) begin + Dq_dqm [15 : 8] = Dq [15 : 8]; + end + + // Write to memory + case (Bank) + 2'b00 : Bank0 [{Row, Col}] = Dq_dqm; + 2'b01 : Bank1 [{Row, Col}] = Dq_dqm; + 2'b10 : Bank2 [{Row, Col}] = Dq_dqm; + 2'b11 : Bank3 [{Row, Col}] = Dq_dqm; + endcase + + // Display debug message + if (Dqm !== 2'b11) begin + // Record tWR for manual precharge + WR_chkm [Bank] = $time; + + if (Debug) begin + $display("%m : at time %t WRITE: Bank = %d Row = %d, Col = %d, Data = %d", $time, Bank, Row, Col, Dq_dqm); + end + end else begin + if (Debug) begin + $display("%m : at time %t WRITE: Bank = %d Row = %d, Col = %d, Data = Hi-Z due to DQM", $time, Bank, Row, Col); + end + end + + // Advance burst counter subroutine + #tHZ Burst_decode; + + end else if (Data_out_enable == 1'b1) begin // Reading Data from Memory + // Array buffer + case (Bank) + 2'b00 : Dq_dqm = Bank0[{Row, Col}]; + 2'b01 : Dq_dqm = Bank1[{Row, Col}]; + 2'b10 : Dq_dqm = Bank2[{Row, Col}]; + 2'b11 : Dq_dqm = Bank3[{Row, Col}]; + endcase + + // Dqm operation + if (Dqm_reg0 [0] == 1'b1) begin + Dq_dqm [ 7 : 0] = 8'bz; + end + if (Dqm_reg0 [1] == 1'b1) begin + Dq_dqm [15 : 8] = 8'bz; + end + + // Display debug message + if (Dqm_reg0 !== 2'b11) begin + Dq_reg = #tAC Dq_dqm; + if (Debug) begin + $display("%m : at time %t READ : Bank = %d Row = %d, Col = %d, Data = %d", $time, Bank, Row, Col, Dq_reg); + end + end else begin + Dq_reg = #tHZ {data_bits{1'bz}}; + if (Debug) begin + $display("%m : at time %t READ : Bank = %d Row = %d, Col = %d, Data = Hi-Z due to DQM", $time, Bank, Row, Col); + end + end + + // Advance burst counter subroutine + Burst_decode; + end + end + + // Burst counter decode + task Burst_decode; + begin + // Advance Burst Counter + Burst_counter = Burst_counter + 1; + + // Burst Type + if (Mode_reg[3] == 1'b0) begin // Sequential Burst + Col_temp = Col + 1; + end else if (Mode_reg[3] == 1'b1) begin // Interleaved Burst + Col_temp[2] = Burst_counter[2] ^ Col_brst[2]; + Col_temp[1] = Burst_counter[1] ^ Col_brst[1]; + Col_temp[0] = Burst_counter[0] ^ Col_brst[0]; + end + + // Burst Length + if (Burst_length_2) begin // Burst Length = 2 + Col [0] = Col_temp [0]; + end else if (Burst_length_4) begin // Burst Length = 4 + Col [1 : 0] = Col_temp [1 : 0]; + end else if (Burst_length_8) begin // Burst Length = 8 + Col [2 : 0] = Col_temp [2 : 0]; + end else begin // Burst Length = FULL + Col = Col_temp; + end + + // Burst Read Single Write + if (Write_burst_mode == 1'b1) begin + Data_in_enable = 1'b0; + end + + // Data Counter + if (Burst_length_1 == 1'b1) begin + if (Burst_counter >= 1) begin + Data_in_enable = 1'b0; + Data_out_enable = 1'b0; + end + end else if (Burst_length_2 == 1'b1) begin + if (Burst_counter >= 2) begin + Data_in_enable = 1'b0; + Data_out_enable = 1'b0; + end + end else if (Burst_length_4 == 1'b1) begin + if (Burst_counter >= 4) begin + Data_in_enable = 1'b0; + Data_out_enable = 1'b0; + end + end else if (Burst_length_8 == 1'b1) begin + if (Burst_counter >= 8) begin + Data_in_enable = 1'b0; + Data_out_enable = 1'b0; + end + end + end + endtask + + // Timing Parameters for -7E (133 MHz @ CL2) + specify + specparam + tAH = 0.8, // Addr, Ba Hold Time + tAS = 1.5, // Addr, Ba Setup Time + tCH = 2.5, // Clock High-Level Width + tCL = 2.5, // Clock Low-Level Width + tCK = 7.0, // Clock Cycle Time + tDH = 0.8, // Data-in Hold Time + tDS = 1.5, // Data-in Setup Time + tCKH = 0.8, // CKE Hold Time + tCKS = 1.5, // CKE Setup Time + tCMH = 0.8, // CS#, RAS#, CAS#, WE#, DQM# Hold Time + tCMS = 1.5; // CS#, RAS#, CAS#, WE#, DQM# Setup Time + $width (posedge Clk, tCH); + $width (negedge Clk, tCL); + $period (negedge Clk, tCK); + $period (posedge Clk, tCK); + //$setuphold(posedge Clk, Cke, tCKS, tCKH); + //$setuphold(posedge Clk, Cs_n, tCMS, tCMH); + //$setuphold(posedge Clk, Cas_n, tCMS, tCMH); + //$setuphold(posedge Clk, Ras_n, tCMS, tCMH); + //$setuphold(posedge Clk, We_n, tCMS, tCMH); + //$setuphold(posedge Clk, Addr, tAS, tAH); + //$setuphold(posedge Clk, Ba, tAS, tAH); + //$setuphold(posedge Clk, Dqm, tCMS, tCMH); + //$setuphold(posedge Dq_chk, Dq, tDS, tDH); + endspecify + +endmodule diff --git a/src/pipe_dec_ex.v b/src/pipe_dec_ex.v new file mode 100644 index 0000000..5e6da8d --- /dev/null +++ b/src/pipe_dec_ex.v @@ -0,0 +1,108 @@ +// Pipeline stage +module pipe_dec_ex #( + parameter ADDRESS_WIDTH = 32, + parameter DATA_WIDTH = 32, + parameter REG_ADDR_WIDTH = 5, + parameter ALU_CTLCODE_WIDTH = 8, + parameter MEM_MASK_WIDTH = 3 + ) + ( + // Inputs + input i_Clk, + input i_Reset_n, // Async reset (highest priority) + input i_Flush, // Flush (2nd highest priority) + input i_Stall, // Stall (lowest priority) + + // Pipe in/out + input [ADDRESS_WIDTH-1:0] i_PC, + output reg [ADDRESS_WIDTH-1:0] o_PC, + input i_Uses_ALU, + output reg o_Uses_ALU, + input [ALU_CTLCODE_WIDTH-1:0] i_ALUCTL, + output reg [ALU_CTLCODE_WIDTH-1:0] o_ALUCTL, + input i_Is_Branch, + output reg o_Is_Branch, + input i_Mem_Valid, + output reg o_Mem_Valid, + input [MEM_MASK_WIDTH-1:0] i_Mem_Mask, + output reg [MEM_MASK_WIDTH-1:0] o_Mem_Mask, + input i_Mem_Read_Write_n, + output reg o_Mem_Read_Write_n, + input [DATA_WIDTH-1:0] i_Mem_Write_Data, + output reg [DATA_WIDTH-1:0] o_Mem_Write_Data, + input i_Writes_Back, + output reg o_Writes_Back, + input [REG_ADDR_WIDTH-1:0] i_Write_Addr, + output reg [REG_ADDR_WIDTH-1:0] o_Write_Addr, + input [DATA_WIDTH-1:0] i_Operand1, + output reg [DATA_WIDTH-1:0] o_Operand1, + input [DATA_WIDTH-1:0] i_Operand2, + output reg [DATA_WIDTH-1:0] o_Operand2, + input [ADDRESS_WIDTH-1:0] i_Branch_Target, + output reg [ADDRESS_WIDTH-1:0] o_Branch_Target + ); + + // Asynchronous output driver + always @(posedge i_Clk or negedge i_Reset_n) + begin + if( !i_Reset_n ) + begin + // Initialize outputs to 0s + o_PC <= 0; + o_Uses_ALU <= 0; + o_ALUCTL <= 0; + o_Is_Branch <= 0; + o_Mem_Valid <= 0; + o_Mem_Read_Write_n <= 0; + o_Writes_Back <= 0; + o_Write_Addr <= 0; + o_Operand1 <= 0; + o_Operand2 <= 0; + o_Branch_Target <= 0; + o_Mem_Write_Data <= 0; + o_Mem_Mask <= 0; + end + else + begin + if( !i_Stall ) + begin + if( i_Flush ) + begin + // Pass through all 0s + o_PC <= 0; + o_Uses_ALU <= 0; + o_ALUCTL <= 0; + o_Is_Branch <= 0; + o_Mem_Valid <= 0; + o_Mem_Read_Write_n <= 0; + o_Writes_Back <= 0; + o_Write_Addr <= 0; + o_Operand1 <= 0; + o_Operand2 <= 0; + o_Branch_Target <= 0; + o_Mem_Write_Data <= 0; + o_Mem_Mask <= 0; + end + else + begin + // Pass through signals + o_PC <= i_PC; + o_Uses_ALU <= i_Uses_ALU; + o_ALUCTL <= i_ALUCTL; + o_Is_Branch <= i_Is_Branch; + o_Mem_Valid <= i_Mem_Valid; + o_Mem_Mask <= i_Mem_Mask; + o_Mem_Read_Write_n <= i_Mem_Read_Write_n; + o_Mem_Write_Data <= i_Mem_Write_Data; + o_Writes_Back <= i_Writes_Back; + o_Write_Addr <= i_Write_Addr; + o_Operand1 <= i_Operand1; + o_Operand2 <= i_Operand2; + o_Branch_Target <= i_Branch_Target; + end + end + end + end + +endmodule + \ No newline at end of file diff --git a/src/pipe_ex_mem.v b/src/pipe_ex_mem.v new file mode 100644 index 0000000..5ab78a2 --- /dev/null +++ b/src/pipe_ex_mem.v @@ -0,0 +1,78 @@ +// Pipeline stage +module pipe_ex_mem #( + parameter ADDRESS_WIDTH = 32, + parameter DATA_WIDTH = 32, + parameter REG_ADDR_WIDTH = 5, + parameter ALU_CTLCODE_WIDTH = 8, + parameter MEM_MASK_WIDTH = 3 + ) + ( + // Inputs + input i_Clk, + input i_Reset_n, // Async reset (highest priority) + input i_Flush, // Flush (2nd highest priority) + input i_Stall, // Stall (lowest priority) + + // Pipe in/out + input [DATA_WIDTH-1:0] i_ALU_Result, + output reg [DATA_WIDTH-1:0] o_ALU_Result, + input i_Mem_Valid, + output reg o_Mem_Valid, + input [MEM_MASK_WIDTH-1:0] i_Mem_Mask, + output reg [MEM_MASK_WIDTH-1:0] o_Mem_Mask, + input i_Mem_Read_Write_n, + output reg o_Mem_Read_Write_n, + input [DATA_WIDTH-1:0] i_Mem_Write_Data, + output reg [DATA_WIDTH-1:0] o_Mem_Write_Data, + input i_Writes_Back, + output reg o_Writes_Back, + input [REG_ADDR_WIDTH-1:0] i_Write_Addr, + output reg [REG_ADDR_WIDTH-1:0] o_Write_Addr + ); + + // Asynchronous output driver + always @(posedge i_Clk or negedge i_Reset_n) + begin + if( !i_Reset_n ) + begin + // Initialize outputs to 0s + o_ALU_Result <= 0; + o_Mem_Valid <= 0; + o_Mem_Read_Write_n <= 0; + o_Mem_Write_Data <= 0; + o_Writes_Back <= 0; + o_Write_Addr <= 0; + o_Mem_Mask <= 0; + end + else + begin + if( !i_Stall ) + begin + if( i_Flush ) + begin + // Pass through all 0s + o_ALU_Result <= 0; + o_Mem_Valid <= 0; + o_Mem_Read_Write_n <= 0; + o_Mem_Write_Data <= 0; + o_Writes_Back <= 0; + o_Write_Addr <= 0; + o_Mem_Mask <= 0; + end + else + begin + // Pass through signals + o_ALU_Result <= i_ALU_Result; + o_Mem_Valid <= i_Mem_Valid; + o_Mem_Mask <= i_Mem_Mask; + o_Mem_Read_Write_n <= i_Mem_Read_Write_n; + o_Mem_Write_Data <= i_Mem_Write_Data; + o_Writes_Back <= i_Writes_Back; + o_Write_Addr <= i_Write_Addr; + end + end + end + end + +endmodule + \ No newline at end of file diff --git a/src/pipe_if_dec.v b/src/pipe_if_dec.v new file mode 100644 index 0000000..0bf713f --- /dev/null +++ b/src/pipe_if_dec.v @@ -0,0 +1,54 @@ +// Pipeline latch between IF and DEC stages +// Dean Tullsen +module pipe_if_dec #( + parameter ADDRESS_WIDTH = 32, + parameter DATA_WIDTH = 32 + ) + ( + // Inputs + input i_Clk, + input i_Reset_n, // Async reset (highest priority) + input i_Flush, // Flush (lowest priority) + input i_Stall, // Stall (2nd highest priority) + input i_imembubble, // fetched inst is bogus due to icache miss + + // Pipe in/out + input [ADDRESS_WIDTH-1:0] i_PC, + output reg [ADDRESS_WIDTH-1:0] o_PC, + input [DATA_WIDTH-1:0] i_Instruction, + output reg [DATA_WIDTH-1:0] o_Instruction, + output reg o_imembubble + ); + + // Asynchronous output driver + always @(posedge i_Clk or negedge i_Reset_n) + begin + if( !i_Reset_n ) + begin + // Initialize outputs to 0s + o_Instruction <= 0; + o_PC <= 0; + end + else + begin + if( !i_Stall ) + begin + if( i_Flush ) + begin + // Pass through all 0s + o_Instruction <= 0; + o_PC <= 0; + end + else + begin + // Pass through signals + o_Instruction <= i_Instruction; + o_PC <= i_PC; + o_imembubble <= i_imembubble; + end + end + end + end + +endmodule + \ No newline at end of file diff --git a/src/pipe_mem_wb.v b/src/pipe_mem_wb.v new file mode 100644 index 0000000..a2ed0dd --- /dev/null +++ b/src/pipe_mem_wb.v @@ -0,0 +1,55 @@ +// Pipeline stage +module pipe_mem_wb #( parameter ADDRESS_WIDTH = 32, + parameter DATA_WIDTH = 32, + parameter REG_ADDR_WIDTH = 5 + ) + ( + // Inputs + input i_Clk, + input i_Reset_n, // Async reset (highest priority) + input i_Flush, // Flush (2nd highest priority) + input i_Stall, // Stall (lowest priority) + + // Pipe in/out + input [DATA_WIDTH-1:0] i_WriteBack_Data, + output reg [DATA_WIDTH-1:0] o_WriteBack_Data, + input i_Writes_Back, + output reg o_Writes_Back, + input [REG_ADDR_WIDTH-1:0] i_Write_Addr, + output reg [REG_ADDR_WIDTH-1:0] o_Write_Addr + ); + + // Asynchronous output driver + always @(posedge i_Clk or negedge i_Reset_n) + begin + if( !i_Reset_n ) + begin + // Initialize outputs to 0s + o_WriteBack_Data <= 0; + o_Writes_Back <= 0; + o_Write_Addr <= 0; + end + else + begin + if( !i_Stall ) + begin + if( i_Flush ) + begin + // Pass through all 0s + o_WriteBack_Data <= 0; + o_Writes_Back <= 0; + o_Write_Addr <= 0; + end + else + begin + // Pass through signals + o_WriteBack_Data <= i_WriteBack_Data; + o_Writes_Back <= i_Writes_Back; + o_Write_Addr <= i_Write_Addr; + end + end + end + end + +endmodule + \ No newline at end of file diff --git a/src/pll.qip b/src/pll.qip new file mode 100644 index 0000000..e69de29 diff --git a/src/pll.v b/src/pll.v new file mode 100644 index 0000000..03b4b1a --- /dev/null +++ b/src/pll.v @@ -0,0 +1,321 @@ +// megafunction wizard: %ALTPLL% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altpll + +// ============================================================ +// File Name: pll.v +// Megafunction Name(s): +// altpll +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 10.1 Build 153 11/29/2010 SJ Web Edition +// ************************************************************ + + +//Copyright (C) 1991-2010 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module pll ( + areset, + inclk0, + c0, + locked); + + input areset; + input inclk0; + output c0; + output locked; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 areset; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire sub_wire0; + wire [5:0] sub_wire1; + wire [0:0] sub_wire5 = 1'h0; + wire locked = sub_wire0; + wire [0:0] sub_wire2 = sub_wire1[0:0]; + wire c0 = sub_wire2; + wire sub_wire3 = inclk0; + wire [1:0] sub_wire4 = {sub_wire5, sub_wire3}; + + altpll altpll_component ( + .areset (areset), + .inclk (sub_wire4), + .locked (sub_wire0), + .clk (sub_wire1), + .activeclock (), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.clk0_divide_by = 10, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 13, + altpll_component.clk0_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.gate_lock_signal = "NO", + altpll_component.inclk0_input_frequency = 20000, + altpll_component.intended_device_family = "Cyclone II", + altpll_component.invalid_lock_multiplier = 5, + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_USED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_USED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_UNUSED", + altpll_component.port_clk2 = "PORT_UNUSED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.valid_lock_multiplier = 1; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" +// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6" +// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "65.000000" +// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" +// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" +// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "65.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" +// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +// Retrieval info: PRIVATE: SPREAD_USE STRING "0" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "10" +// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "13" +// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +// Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO" +// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +// Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1" +// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" +// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" +// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" +// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v FALSE +// Retrieval info: LIB_FILE: altera_mf +// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/src/regfile.v b/src/regfile.v new file mode 100644 index 0000000..9bbc86e --- /dev/null +++ b/src/regfile.v @@ -0,0 +1,51 @@ +/* regfile.v +* Author: Pravin P. Prabhu +* Last Revision: 1/5/11 +* Abstract: +* The register file for the cpu. The regfile contains 32 registers that be +* read/written from one at a time. The regfile provides two operands on the same +* cycle as requested. +*/ +module regfile #( parameter DATA_WIDTH = 32, + parameter REG_ADDR_WIDTH = 5 + ) + ( // Inputs + input i_Clk, + + input [REG_ADDR_WIDTH-1:0] i_RS_Addr, + input [REG_ADDR_WIDTH-1:0] i_RT_Addr, + + input i_Write_Enable, + input [REG_ADDR_WIDTH-1:0] i_Write_Addr, + input [DATA_WIDTH-1:0] i_Write_Data, + + + // Output + output [DATA_WIDTH-1:0] o_RS_Data, + output [DATA_WIDTH-1:0] o_RT_Data + ); + + // Internal + // Regs & wires + reg [DATA_WIDTH-1:0] Register[0:(2**REG_ADDR_WIDTH)-1]; + + // Hardwired assignments - Readouts are asynch + assign o_RS_Data = (i_RS_Addr == 0) ? 0 : Register[i_RS_Addr]; + assign o_RT_Data = (i_RT_Addr == 0) ? 0 : Register[i_RT_Addr]; + + // Synchronous logic - Writes + always @(posedge i_Clk) + begin + // Perform writes + if( i_Write_Enable && (i_Write_Addr != 0) ) + begin + Register[i_Write_Addr] <= i_Write_Data; + end + end + + initial + begin + Register[0] <= 0; + end + +endmodule diff --git a/src/sdram_controller.v b/src/sdram_controller.v new file mode 100644 index 0000000..54ea784 --- /dev/null +++ b/src/sdram_controller.v @@ -0,0 +1,405 @@ +/* sdram_controller.v +* Author: Todor Mollov +* Last Revision: 1/11/11 +* Abstract: +* Provides a high level interface to the SDRAM on the board. Provides +* four words in a burst per read/write request (and thus works nicely with +* caches). +*/ +module sdram_controller +#( + parameter ROW_ADDR_WIDTH = 12, + parameter BANK_ADDR_WIDTH = 2, + parameter COL_ADDR_WIDTH = 8, + parameter DATA_WIDTH = 16 +) + +( + input i_Clk, + input i_Reset, + + // Request interface + input [ROW_ADDR_WIDTH+BANK_ADDR_WIDTH+COL_ADDR_WIDTH-1:0] i_Addr, + input i_Req_Valid, + input i_Read_Write_n, + + // Write input data interface + input [31:0] i_Data, + output reg o_Data_Read, + + // Read data output interface + output reg [31:0] o_Data, + output reg o_Data_Valid, + + // output + output reg o_Last, + + // SDRAM interface + inout [DATA_WIDTH - 1 : 0] b_Dq, + output reg [ROW_ADDR_WIDTH - 1 : 0] o_Addr, + output reg [BANK_ADDR_WIDTH-1 : 0] o_Ba, + output o_Clk, + output reg o_Cke, + output reg o_Cs_n, + output reg o_Ras_n, + output reg o_Cas_n, + output reg o_We_n, + output reg [DATA_WIDTH/8 - 1 : 0] o_Dqm +); + +assign o_Clk = i_Clk; + +reg [DATA_WIDTH -1 : 0] DQ; +reg DQ_Drive; + +reg [COL_ADDR_WIDTH-1:0] ColAddr; +reg [BANK_ADDR_WIDTH-1:0] BankAddr; + +assign b_Dq = DQ_Drive ? DQ : {DATA_WIDTH{1'bz}}; + +// define tasks for operations + +task active; + input [1 : 0] bank; + input [11 : 0] row; + begin + o_Cke <= 1; + o_Cs_n <= 0; + o_Ras_n <= 0; + o_Cas_n <= 1; + o_We_n <= 1; + o_Ba <= bank; + o_Addr <= row; + DQ <= {DATA_WIDTH{1'bx}}; + DQ_Drive <= 0; + end +endtask + +task auto_refresh; + begin + o_Cke <= 1; + o_Cs_n <= 0; + o_Ras_n <= 0; + o_Cas_n <= 0; + o_We_n <= 1; + //o_Ba <= 0; + //o_Addr <= 0; + DQ <= {DATA_WIDTH{1'bx}}; + DQ_Drive <= 0; + end +endtask + +task load_mode_reg; + input [13 : 0] op_code; + begin + o_Cke <= 1; + o_Cs_n <= 0; + o_Ras_n <= 0; + o_Cas_n <= 0; + o_We_n <= 0; + o_Ba <= op_code [13 : 12]; + o_Addr <= op_code [11 : 0]; + DQ <= {DATA_WIDTH{1'bx}}; + DQ_Drive <= 0; + end +endtask + +task nop; + begin + o_Cke <= 1; + o_Cs_n <= 0; + o_Ras_n <= 1; + o_Cas_n <= 1; + o_We_n <= 1; + //o_Ba <= 0; + //o_Addr <= 0; + DQ <= {DATA_WIDTH{1'bx}}; + DQ_Drive <= 0; + end +endtask + +task precharge_all_bank; + begin + o_Cke <= 1; + o_Cs_n <= 0; + o_Ras_n <= 0; + o_Cas_n <= 1; + o_We_n <= 0; + o_Ba <= 0; + o_Addr <= 1024; // A10 <= 1 + DQ <= {DATA_WIDTH{1'bx}}; + DQ_Drive <= 0; + end +endtask + +task read; + input [1 : 0] bank; + input [11 : 0] column; + begin + o_Cke <= 1; + o_Cs_n <= 0; + o_Ras_n <= 1; + o_Cas_n <= 0; + o_We_n <= 1; + o_Ba <= bank; + o_Addr <= column; + DQ <= {DATA_WIDTH{1'bx}}; + DQ_Drive <= 0; + end +endtask + +task write; + input [1 : 0] bank; + input [11 : 0] column; + input [15 : 0] dq_in; + begin + o_Cke <= 1; + o_Cs_n <= 0; + o_Ras_n <= 1; + o_Cas_n <= 0; + o_We_n <= 0; + o_Ba <= bank; + o_Addr <= column; + DQ <= dq_in; + DQ_Drive <= 1; + end +endtask + + +task write_data; + input [15 : 0] dq_in; + begin + o_Cke <= 1; + o_Cs_n <= 0; + o_Ras_n <= 1; + o_Cas_n <= 1; + o_We_n <= 1; + //o_Ba <= 0; + //o_Addr <= 0; + DQ <= dq_in; + DQ_Drive <= 1; + end +endtask + +// timings for 166MHz +localparam tRP = 3; +localparam tRC = 10; +localparam tRSC = 2; +localparam tRCD = 3; + +//`ifdef MODEL_TECH + localparam tCL = 3; +/*`else + localparam tCL = 4; +`endif +*/ +localparam tREF = 11'd700; //1600; // our auto refresh rate ~ 12us + + +reg [14:0] Wait_Counter; +reg [10:0] Refresh_Counter; +reg NeedRefresh; +// general purpose counter +reg [3:0] Gen_Count; + +reg [3:0] State; +reg [3:0] NextState; +localparam STATE_RESET = 4'd0; +localparam STATE_INIT1 = 4'd1; +localparam STATE_INIT2 = 4'd2; +localparam STATE_INIT3 = 4'd3; +localparam STATE_READY = 4'd4; +localparam STATE_READ1 = 4'd5; +localparam STATE_READ2 = 4'd6; +localparam STATE_READ3 = 4'd7; +localparam STATE_WRITE1 = 4'd8; +localparam STATE_WRITE2 = 4'd9; +localparam STATE_WRITE3 = 4'd10; +localparam STATE_WAIT = 4'd15; + +task wait_next_state; + input [3:0] next_state; + input [14:0] wait_time; + begin + State <= STATE_WAIT; + NextState <= next_state; + Wait_Counter <= wait_time-15'd1; + end + +endtask + + +always @(posedge i_Clk, posedge i_Reset) +begin + if (i_Reset) + begin + o_Data <= 0; + o_Data_Valid <= 0; + o_Last <= 0; + o_Data_Read <= 0; + State <= STATE_RESET; + Gen_Count <= 9; + o_Dqm <= 2'b11; + Wait_Counter <= 0; + ColAddr <= 0; + NeedRefresh <= 0; + Refresh_Counter <= 0; + nop(); + end + else + begin + + //refresh counter + if (Refresh_Counter != 0) + Refresh_Counter <= Refresh_Counter-11'd1; + + if (Refresh_Counter == 0) + NeedRefresh <= 1; + + case (State) + STATE_RESET: + begin + nop(); + o_Dqm <= 2'b11; + wait_next_state(STATE_INIT1, 15'b111_1111_1111_1111); + end + STATE_INIT1: + begin + o_Dqm <= 2'b11; + precharge_all_bank(); + wait_next_state(STATE_INIT2, tRP); + end + STATE_INIT2: + begin + o_Dqm <= 2'b11; + Gen_Count <= Gen_Count-4'd1; + if (Gen_Count == 0) + begin + State <= STATE_INIT3; + nop(); + end + else + begin + wait_next_state(STATE_INIT2, tRC); + auto_refresh(); + end + end + STATE_INIT3: + begin + o_Dqm <= 2'b11; + load_mode_reg(14'b0000_0_00_011_0_011); + wait_next_state(STATE_READY, tRSC); + end + STATE_READY: + begin + o_Data_Valid <= 0; + ColAddr <= i_Addr[COL_ADDR_WIDTH-1:0]; + BankAddr <= i_Addr[BANK_ADDR_WIDTH+COL_ADDR_WIDTH-1:COL_ADDR_WIDTH]; + o_Dqm <= 2'b00; + + if (NeedRefresh) + begin + Refresh_Counter <= tREF; + NeedRefresh <= 0; + auto_refresh(); + wait_next_state(STATE_READY, tRC); + end + else if (i_Req_Valid) + begin + active(i_Addr[BANK_ADDR_WIDTH+COL_ADDR_WIDTH-1:COL_ADDR_WIDTH],i_Addr[ROW_ADDR_WIDTH+BANK_ADDR_WIDTH+COL_ADDR_WIDTH-1:BANK_ADDR_WIDTH+COL_ADDR_WIDTH]); + if (i_Read_Write_n) + begin + wait_next_state(STATE_READ1, tRCD); + end + else + begin + wait_next_state(STATE_WRITE1, tRCD); + end + end + else + begin + nop(); + end + end + STATE_READ1: + begin + // read with auto-precharge + read(BankAddr,ColAddr|1024); + Gen_Count <= 3; + wait_next_state(STATE_READ2, tCL); + end + STATE_READ2: + begin + // read with auto-precharge + nop(); + o_Data[15:0] <= b_Dq; + o_Data_Valid <= 0; + State <= STATE_READ3; + end + STATE_READ3: + begin + // read with auto-precharge + nop(); + o_Data[31:16] <= b_Dq; + o_Data_Valid <= 1; + Gen_Count <= Gen_Count-4'd1; + if (Gen_Count == 0) + begin + o_Last <= 1; + wait_next_state(STATE_READY, 1); // give time for cache to respond + end + else + begin + State <= STATE_READ2; + o_Last <= 0; + end + end + STATE_WRITE1: + begin + // write with auto-precharge + write(BankAddr,ColAddr|1024, i_Data[15:0]); + Gen_Count <= 3; + State <= STATE_WRITE2; + o_Data_Read <= 1; + end + STATE_WRITE2: + begin + + o_Data_Read <= 0; + o_Last <= 0; + write_data(i_Data[31:16]); + Gen_Count <= Gen_Count-4'd1; + if (Gen_Count == 0) + begin + wait_next_state(STATE_READY, tCL); + end + else + begin + State <= STATE_WRITE3; + end + end + STATE_WRITE3: + begin + // write with auto-precharge + write_data(i_Data[15:0]); + State <= STATE_WRITE2; + o_Data_Read <= 1; + if (Gen_Count == 0) + o_Last <= 1; + end + + STATE_WAIT: + begin + nop(); + o_Last <= 0; + o_Data_Valid <= 0; + Wait_Counter <= Wait_Counter-15'd1; + if (Wait_Counter == 0) + State <= NextState; + end + endcase + end +end + + +endmodule diff --git a/src/test_mips_cpu.v b/src/test_mips_cpu.v new file mode 100644 index 0000000..b020c1e --- /dev/null +++ b/src/test_mips_cpu.v @@ -0,0 +1,139 @@ +/* test_mips_cpu.v +* Author: Pravin P. Prabhu +* Last Revision: 1/5/11 +* Abstract: +* The simulation level module that is intended to be used in modelsim for +* debugging and testing designs. This module simulates a flash memory by +* reading from a file on disk. To change the program to be run in simulation, +* simply change the parameter to readmemh below. +*/ +`timescale 1ns/1ps +module test_mips_cpu(); + +reg clk; +reg Reset_n; + +wire [15:0] SDRAM_Dq; +wire [11:0] SDRAM_Addr; +wire [1:0] SDRAM_Ba; +wire SDRAM_Clk; +wire SDRAM_Cke; +wire SDRAM_Cs_n; +wire SDRAM_Ras_n; +wire SDRAM_Cas_n; +wire SDRAM_We_n; +wire [1:0] SDRAM_Dqm; + +wire [21:0] FL_ADDR; +reg [7:0] FL_Data; +wire [7:0] FL_DQ_Data; +assign FL_DQ_Data = FL_Data; + +reg[3:0] KEYS; + + +// UUT - Unit Under Test +mips_cpu MIPS_CPU( // General + .CLOCK_50(clk), + //.Global_Reset_n(Reset_n), + .KEY(KEYS), + .SW(), + .HEX7(), + .HEX6(), + .HEX5(), + .HEX4(), + .HEX3(), + .HEX2(), + .HEX1(), + .HEX0(), + .LEDG(), + .LEDR(), + + //SDRAM interface + .DRAM_ADDR(SDRAM_Addr), + .DRAM_BA_0(SDRAM_Ba[0]), + .DRAM_BA_1(SDRAM_Ba[1]), + .DRAM_CAS_N(SDRAM_Cas_n), + .DRAM_CKE(SDRAM_Cke), + .DRAM_CLK(SDRAM_Clk), + .DRAM_CS_N(SDRAM_Cs_n), + .DRAM_DQ(SDRAM_Dq), + .DRAM_LDQM(SDRAM_Dqm[0]), + .DRAM_UDQM(SDRAM_Dqm[1]), + .DRAM_RAS_N(SDRAM_Ras_n), + .DRAM_WE_N(SDRAM_We_n), + + //Flash RAM interface + .FL_ADDR(FL_ADDR), + .FL_DQ(FL_DQ_Data), + .FL_CE_N(), + .FL_OE_N(), + .FL_RST_N(), + .FL_WE_N(), + + //SRAM interface + .SRAM_ADDR(), + .SRAM_DQ(), + .SRAM_UB_N(), + .SRAM_LB_N(), + .SRAM_WE_N(), + .SRAM_OE_N(), + .SRAM_CE_N() + ); + +mt48lc4m16a2 SDRAM( + .Dq(SDRAM_Dq), + .Addr(SDRAM_Addr), + .Ba(SDRAM_Ba), + .Clk(SDRAM_Clk), + .Cke(SDRAM_Cke), + .Cs_n(SDRAM_Cs_n), + .Ras_n(SDRAM_Ras_n), + .Cas_n(SDRAM_Cas_n), + .We_n(SDRAM_We_n), + .Dqm(SDRAM_Dqm) + ); + + +//===================== +// Flash mem simulator +reg [31:0] flash_mem_sim [0:32767]; +initial +begin + $readmemh("C:/Users/fill_in_path_here/nqueens.hex",flash_mem_sim); // Change the path in readmemh to load a different benchmark +end + +always @(*) +begin + case( FL_ADDR[1:0] ) + 0: FL_Data <= flash_mem_sim[ FL_ADDR[21:2] ][31:24]; + 1: FL_Data <= flash_mem_sim[ FL_ADDR[21:2] ][23:16]; + 2: FL_Data <= flash_mem_sim[ FL_ADDR[21:2] ][15:8]; + 3: FL_Data <= flash_mem_sim[ FL_ADDR[21:2] ][7:0]; + default: + FL_Data <= {8{1'bx}}; // screw it + endcase + +end + +//================= +// Probe generator +initial +begin + clk = 0; + KEYS[0] = 1; + KEYS[1] = 0; + KEYS[2] = 0; + KEYS[2] = 0; + // Reset spike + #1000 KEYS[0] = 1; + #1000 KEYS[0] = 0; + #1000 KEYS[0] = 1; +end + +always +begin + #5 clk = !clk; +end + +endmodule diff --git a/src/transcript b/src/transcript new file mode 100644 index 0000000..e1ea94e --- /dev/null +++ b/src/transcript @@ -0,0 +1,6 @@ +# Reading H:/Program Files (x86)/Modeltech_6.3f/tcl/vsim/pref.tcl +# ** Error: License checkout has been disallowed because +# only one session is allowed to run on an uncounted nodelock +# license and an instance of ModelSim is already running with a +# nodelocked license on this machine. +# Unable to checkout a viewer license necessary for use of the ModelSim graphical user interface. Vsim is closing. diff --git a/src/wavesetup.do b/src/wavesetup.do new file mode 100644 index 0000000..0c42c51 --- /dev/null +++ b/src/wavesetup.do @@ -0,0 +1,173 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/IFETCH/i_Clk +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/InstructionsExecuted +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/CycleCount +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/IFETCH/i_Reset_n +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/IFETCH/i_Stall +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/IFETCH/i_Load +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/IFETCH/i_Load_Address +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/IFETCH/o_PC +add wave -noupdate -divider -height 32 {IF -> DEC} +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/PIPE_IF_DEC/i_Clk +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/PIPE_IF_DEC/i_Reset_n +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/PIPE_IF_DEC/i_Flush +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/PIPE_IF_DEC/i_Stall +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/PIPE_IF_DEC/i_PC +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/PIPE_IF_DEC/i_Instruction +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/PIPE_IF_DEC/o_PC +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/PIPE_IF_DEC/o_Instruction +add wave -noupdate -divider -height 32 DECODER +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/i_PC +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/i_Instruction +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Uses_ALU +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_ALUCTL +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Is_Branch +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Branch_Target +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Jump_Reg +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Mem_Valid +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Mem_Mask +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Mem_Read_Write_n +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Uses_RS +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_RS_Addr +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Uses_RT +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_RT_Addr +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Uses_Immediate +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Immediate +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Writes_Back +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/o_Write_Addr +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/Opcode +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DECODE/Func +add wave -noupdate -divider -height 32 REGFILE +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/REGFILE/i_Clk +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/REGFILE/i_RS_Addr +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/REGFILE/i_RT_Addr +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/REGFILE/i_Write_Enable +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/REGFILE/i_Write_Addr +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/REGFILE/i_Write_Data +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/REGFILE/o_RS_Data +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/REGFILE/o_RT_Data +add wave -noupdate -format Literal -radix hexadecimal -expand /test_mips_cpu/MIPS_CPU/REGFILE/Register +add wave -noupdate -divider -height 32 ALU +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/DEC_o_Uses_Immediate +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DEC_o_RT_Data +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/DEC_o_Immediate +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/i_Valid +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/i_ALUCTL +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/i_Operand1 +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/i_Operand2 +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/o_Valid +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/o_Result +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/o_Branch_Valid +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/o_Branch_Outcome +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/o_Pass_Done_Value +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/ALU/o_Pass_Done_Change +add wave -noupdate -divider -height 32 {ALU -> MEM} +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Clk +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Reset_n +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Flush +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Stall +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_ALU_Result +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/o_ALU_Result +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Mem_Valid +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/o_Mem_Valid +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Mem_Mask +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/o_Mem_Mask +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Mem_Read_Write_n +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/o_Mem_Read_Write_n +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Mem_Write_Data +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/o_Mem_Write_Data +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Writes_Back +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/o_Writes_Back +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/i_Write_Addr +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_EX_MEM/o_Write_Addr +add wave -noupdate -divider -height 32 HDU +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_Clk +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_Reset_n +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_FlashLoader_Done +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_DEC_Uses_RS +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_DEC_RS_Addr +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_DEC_Uses_RT +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_DEC_RT_Addr +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_IF_Done +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_EX_Writes_Back +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_EX_Uses_Mem +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_EX_Write_Addr +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_EX_Branch +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_MEM_Uses_Mem +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_MEM_Writes_Back +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_MEM_Write_Addr +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_MEM_Done +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_WB_Writes_Back +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/i_WB_Write_Addr +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_IF_Stall +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_IF_Smash +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_DEC_Stall +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_DEC_Smash +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_EX_Stall +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_EX_Smash +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_MEM_Stall +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_MEM_Smash +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_WB_Stall +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/o_WB_Smash +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/r_Branch_IF_Smash +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/HAZARD_DETECTION_UNIT/r_IF_Smash_Transient +add wave -noupdate -divider -height 32 D_CACHE +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Clk +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Reset_n +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Valid +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Mem_Mask +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Address +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Read_Write_n +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Write_Data +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/o_Ready +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/o_Valid +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/o_Data +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/o_MEM_Valid +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/o_MEM_Read_Write_n +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/o_MEM_Address +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/o_MEM_Data +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_MEM_Valid +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_MEM_Data_Read +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_MEM_Last +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_MEM_Data +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/r_i_BlockOffset +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/r_i_Index +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/r_i_Tag +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/r_i_Write_Data +add wave -noupdate -format Logic -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/r_i_Read_Write_n +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_BlockOffset +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Index +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i_Tag +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/Populate_Data +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/State +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/i +add wave -noupdate -format Literal -radix hexadecimal /test_mips_cpu/MIPS_CPU/D_CACHE/Gen_Count +add wave -noupdate -divider -height 32 {MEM -> WB} +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/i_Clk +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/i_Reset_n +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/i_Flush +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/i_Stall +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/i_WriteBack_Data +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/o_WriteBack_Data +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/i_Writes_Back +add wave -noupdate -format Logic /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/o_Writes_Back +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/i_Write_Addr +add wave -noupdate -format Literal /test_mips_cpu/MIPS_CPU/PIPE_MEM_WB/o_Write_Addr +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 4} {522636641 ps} 0} {{Cursor 2} {524245000 ps} 0} +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {523937382 ps} {524552618 ps} -- 1.9.1

    `(#ZS)AR#fs6OtZr3)kAesv*FujR!CPdb+l`TYJC^Gl)J z6}P6OL(nXi(_P*h3tyGVCO@DY%4U=_rqQqRJMVs}M#l2c5)bCS`P4;y zDdTe4--mQQYCk$9lTRtnd&!-xWh~+iO-G0C55m2Tlzl5MJu3`Z@>f}px(B-WO`P#? zGACrQezth&nncxS^^<-NdhNn;#67%*51JMS-i}D-(7C&h<~APPh{5Lp`lo4S`r`at z3Za762d<0=v)s5mvHE>Dgl!|#b6oR_;ILMguH@!E!Ce{Fm-3Ozzpat`pL4?hh4r7{ z|MFe)TTR?H5!m{pzxF{{1+=uU{57v)1>H`A`Fq>bN#oR2jed@b~ZktYmP%%K>&KCO5FF(d40HT1>*e&#* z(!+*g(mOy6-ZrTOMFpJ~wG|aYO`?EeH4M5v)dUz7uq@p|A=D&_nMDEmx;@6VDvtR}pr{aI6E+k=P1sNfHDN;`)PxO%7@M%65Ng7P zLX1t=PzW_)K{3ClKQ@K_g(S8YHvStFcQtKKO!+raaaYs!@-L!pPhR;qDDG<7ex(^OD!>lH{JrfdEFe@2Q(yu3G>gKh3A6G6p)g|;78FKJ zSo#VvHeu^4jGDkv|8Oj^EgQg3czaPK=;dr3yaIT_+bu~DilwjHO-Ue%v5`PgA-;5C zSWpNxVM8I*gbjsI6E+k^O<4L0p{A{;3wp%cJxb8q!OB8>>#(vA!;>h+BnGHryH^Qn zGBy-KP@1-HEPn zUBx>xqF|M8H}lez{n0Ako>Szz_V%4US$R)jAUR}D2f5Y2!+rabAAbBiCb?$H@M~3( z06)A-1@A2Xsg!sU-eSMX>aRw!Zg*ZN+PgZbxl~3H(N^c|yW=7emu${OGd(#P)qZW)FIzE+<8I3v zcVBHV&&fCwR5KI0?zi^46vjwmeDg!m!8if}>6Kt*Cl7~5cxAu$lnv%GqvZ_)7$O#4 zG$@i?Ph?l|yYlvI&Af!zarPviW6j=viVpKE zg_=29iJQ@e{?3Kg%`pmx!7B<;Kd8?1kF^)CSEd+ zj}Khd_48LV=S8opYDWylzf3=IEW#x}kNJC}R^lXSdRfMDuPbNF`{j2pqUN7Y`DSG) zT-vmC?Iewgt}ta%EEu7R2pne_C-}VlfJEcvRDvntYs-|1lU+O$ZFcI@@0FI4S*GJF z2(0P8sA_dGhhKZY#1|$Qrp0xjJa@MqyQ5A^v2D~Q*RzF#s?)bPm?rJTl!grT4sw`f zj{l5Qp-&#sOqpHciLD^fX0$7tTnm}q(|2=qOn6!5uBar%h8S_#nL&m5nLfLYt|OIn z@!4+$#YW@zKcSz>e1G}TYR&ON?U6W1g6_?7Xa0u%Ckm9(8Eg3lNy_EQW7mSLe$LD- z27A8wg14L9q>}B0fZI%>ICzSz{F)@m1vqrNI5H zIJ#24nT`1T1pd8DF1n3Wp|p?how831b+Tzud8`G zwYWUEzsLB#xn^ZZg>} znfmcrm6F$1r{9J72hmbfd41$Il=0dt6Deg#M2=5Kt|wH>;K0;)_FJSz>txV9`AaTt zb3>!;CMMH8mS(F`5-qvA*@ft2Ea^Vs@2-qzIe8J2Ic?@oD`&XxwI|yTk93|dOU?&u zV!O`{GDn>oxpr(Bg&&bj-N5?bBi^0WgVM{tQ)BL>{&Wv6vtS#wH$-*Rh~0^LK*E?{ z_^qz$SjwDCC>fbRWEVNZzNqh-^XSKc?2E@(&_Z{=vU$#5S zwQt1v7|O2;zrXN^W>LnoV}R!(zJ18zfnU2wj$U>$Z<`n}`sURq6E?_p<8A!dIe95n z{qW&BPkgr}i`Or7ZUvlm57nBr*-W+Zb(F3Q853DNaQ)07gBNM}q!tOY)Xx=Ob0!yk ziPYXRh}z_Py(_UQ!v<*U{ZYdI|8MJwvx@(hnPrXzPMXy zCOcYusO^b2TmKpY1>~4*{XN8{5fTOabg1pAH=w9sV-dCeELji=w)jwgq}lwn^hGvU z9BA>O{_uIRpkRv+^_SWDceW5V6ln3Gkk&1-!D2v*4~4XDkto>WLm{nO01CADP)O?* zfC4Q(6w9~E~sA+1~Js7UJ;9>slZLRz=*QE^uj(z=C@3NtnVtsoTAxTS2Jpw}%49 zVW3ZkLRz=TsNz^qn6U}mSEO}|jEbeNNb44fg3UJ6_LB!dj|p2;7&T$(E5z7@Eh>bX zz_u4^`w0ZVz5;D86lPk#<6w#{D#X}?4TVq>78GgSA|-(hg&3Q#SZyezcZ&>*m4(m~ zRu)1~V4n_!u`hOfwb)RIj~&>jLy18M3L6R`D6qwc!W22~*jKRGhC-UR$Ty1(g%A`r z6k_Ux4TX>sHWWfl*iZ;HVM8I*1U42?Nb45xa)8F-mIb%7B!KQ9YWoGGpz##!NurR} zEwaI2V-bb4ZjmV1SVUopO8?W>{}_uXC}Z)eLx(82>q>T{JYTT-gz@KBtukz}ySU66 zcMn!~pZ_$KRZ&=x_~S>&OwbJrGg{6QDeiTTzDqN@9Nt&rU+K0c_xj?YeW`5cS=}!y z+1R_f5@h!`lx6o4P{ze{t}iW& zy<_Y=8GJ-aez|zEDSsjc;KTwNIkijp=K%=vf}%zY~&>iDGb5Ced{x z=$}1Ge60HBymwg3wSfrt6Q4YIz6BhO$Z-w&Tq$MC6Pn}FTkb2Z)?i8Hx3}2+cEx+j zPg#@%<+b*yE4|0*@0`o>KGWVM7M#J_RG#kpJS{$zn_iT3rAmGu{S`-zXVtQe@`vYd z-mvvPVej&}a~*GGN>RJjN0Io=7*`#S{oMHE=bxRosL7uh%idtalB~$IJkg;hM0sSs1es%7iIhfiLROoUc=SuAbT>{E^}Fj2dQShYkC^X4)IO z48!wUeZFz4+}yiB%^^i$tMjoq>2Sfc_J*lb!?<)RQ*oxf`s`q>uGXPT%wfcY^~J{( zv_Dl##@w<^KO8rta!!WrX>js!%>#BeRK|yT^rEyZcrq3VS#0=N7UXmse2gNQn!`XQtCJ<@Y!>G#%*dwkCg zTr+BZU&IwyEwXxbZ;|s1zPxkA1!i{t_ivg`9N)YlZzMV`S(~}1C(NqunLp-{sG(vm z?i+2K6)L*D-l}=w)C;|m#@&0pkQ>ys)>GxC)o1XF#Vlr-u*F1e9 zcxvif&z>-|zmwW%sCtp#_?#V&e)qGa1#&`jZ5DD}(u*UKXPcgUoFsi;#zR)_${F3Z zblvBDSJt7jvLh#c)sE;N_YigdEc)Q)1R+b%mZexvdhI~^r=^btyY)&RPlecxNa;n? zSFS2sObn9t+>W;$l<-cU&RL#bJ58RIz^#_Cp7L`(Wz z{fE@_W7$QEmdt9XeM&Ya5B~nm#D6VVFj%@`Vr^x1*Tzl9L#)R&P6yfVaif)Wm^>)8 zE|>ARD%{r@&qX$1AlCgoP4OG~C)~|v$l@9@^G-e8Ke<^L_wHR8Wh_S^@$2VTxfbtC z+A1ZNZLB!0HOUQ&;1jbO4$8?b&F3-eY(62b?+t!I#TCqG)p1j*!7W&E4}XEsqmEYs z1DRuT{$FFlKQ0wX5PMZtkaj&fEMH|x*2}HD()(O0x74(}+K!kR%Z{wudY>ylVed}`z zii>x|>`L98iocdUy-koBkl@Et?BIv-69WmSmi7p%-Mtbl5@{^jryr(OZyI;xLUKm; z_vmx^0TZ_i7yTp77 zh{v-WKh4~Yj0mb%J^E`^?Y(TkZJV~PZs9Dnlh|SA>v*em@r6{V!KJ|yI&Y1-yNQE| z#ELsIdEe-1aQeHM%MEL(><mv&B>qEh>2Y$)HoqK#Qr%44#x?q41)C^+6s z!St{{Um>YPd4;$A-kax?AEttgqFc1h-Xulk1}!iU`*_DVwg`PN;g#c9NmzZyOB+jt z_mk^V)urLRAC9b`4?6c8Qn__Ey4{eZpq?gk6<%$lI zbLzGf)2#IVNedw&=2Lm$mbIG{db_M%boqsSFLGzy_6Yz;=P@L{6@j9X|FVr;_FV1RK89TmC4 zhenYrd}tK8!iPqYD|~1acQqkvZjs+tY%d3QH35uU*uElbZlN0tFm9ov;;tsl!D>5x znz1}#%+3nVDDG;)eCu(Jiu>3EFm7RA4#2pDMsXjTFds2o9}}|X7Fxpq;}*KFxT|UV zwW7e8DKObI8w@mpgwPOb0vka>0OJ-mD!{l!pje_}Qiyhz1b7+(Fm4f1!M36h zvgQ_`Ch!vm7`KS1*!l{iCMQw+<@{At+IdApw~F1H4E~>stP1f$YMytW1xu(e-COd&?Hz#*ghJ(Ggur=Ms(iSTHMJ@x@$5Qcc=qbX4Aw zhNZD6lZRZYxWwGrJPIvY@zm|orBTO?l(W?)uQmu}3OqSJO4(TAi526A`xi1e4(mUT zH(kuTl;zxb(V{dUoF}jEc7txgHT++q8D6@v%z?JQch9vg?^iubvJs(ninq(jHZnmh z=J~oHr(bQif;q>kqY%2E0^L~5pM&r6jx5Z8-ucz|pzz^RxsD4~dl#sJmPWG9CF%8+ zksLCJjeANX__%K+^=|Y&jTDdS(=7PBva4A6CdB) zB&Q07-uZf7!sgBCT>1K86AxlELHRMJn3}NzEt%t|b2EhE&&tE}b;QQDa?My)iyG-o%+DVuO}kjntdDQpGSSa8x+G)tNVR@I>AWNrj-0bsqJ^0T58wc%=T!mM>qRk zOY0X3U6Abgc!9F!Rzu=JQF6AuEbq{j3T+83$&ESnA3sF%c(ObvYc8!0&W@Nf^pR5g zVsfNWXv6m51qJt$M`YzV`(oenHxuw>T)Q>(B(c7CPwvN{U-&NVa^w;3Sfi}6Rop`K zD@5px*4H`)^|(r+;*LLZHa-yTdHM9X1@aa~dDj$WKEbKNG{hMn+RYvR8; zzb%QAx%cMD(^Ec6dkn0ZPZ9q9qI5m` zR@$-%tI*#i~_f!Z?OEJkbmqPYbK;&ai3eL@_~!^F&cTc%w6%)bL{>V-FKW_pEVGdE>HaQmhE6-);+9DxjW(oiW))omNGgFW#cqd;7 zvp2e9gTdB=(Dvicw?2bg6ODiN6^jXgJX8RH0<$%QF!SCW8!U#I-T`J6+b?1UHCPOj zms&`_4}b!Tp@fir9{>eha)5cS zNWTw&VtK+4Y7z(LISC>CK4erdDvb2|;8BRN30qVMHDN;`)PxO%7@M%6h=n2iV}cl) zutkL!o3Noct4SE?_d)j+>G#2-xT^{2_rXWST}?>84?ZgHYC`&b@KJGB6S7bfJ}P3H z0UAa6eefvmYC`&b@KJGB6S7bfJ}T~NLY}b;9~E~sA^kr1sJN>M>GuJm0ux1qk$xWl z#U!F2CqKeSzYl<7i3+18EKy;;beLfi)LW)Wx&vrHN~o2tWYEqt*59E$47>t{-WK&)9mf{$1*s=Kj58+)S6*sT zlrredI?gkXl#=d`y-Tvus4HX5ZA2$nOSwOeYLHy~%B4H71e^MG9!rbwl3xde+Uw zdmjJRCc5BMahsxU#X1p9!^L__x6aP_;WyvOj|YxE70G0^yXCF+lBLf>Y;Wu{naQNE zh_e2K&Jdv*A?2v~QY~t8t7wv+r}@34z7Ji^{e1O+nUA)F=X!Q+_T!AXoA*RY%Qi*_ z?e8&+bE=#sBtA3HaGO20z05`Ggu090>6t!F-+Cra&U(qPY}cl#tY>Lr>5I>MIy?JB zNxo36j8t;{?$>hQK^M8&KV(&|rBth2;c_Dj=lV&UkU>sgXRXC=nBYHig{-=qPsp!y znNPLB|3=Tsv#VS}QtQEOp#jzuoXkpxE$C^d9+SMvTE;KELNI=t$;j9Fyz09qwrpKB z+Xrn@Bkm$cwMwcxDs{S}!jcODPibk`3A&13eQYOiJud%EhC?p@mGYmTf0&6rX>4sQ z)43ZmOWQz8qCWK0ijKkVx9!oGvNb^ovR_B<#zw}X*e}E;ma@w<_=~Di4vLGD?>XZ^ z9bh?3^vIGUA&D*a5D{nfoa)qUp@F@jRjE|pUo{>SXw2(dpf?G+ zd*_mxdmYP+vE|3MAx*xOedl$CO(TtxE-MJ(KTH%7n+~@k-FK<9eL+Yv)w$dwnX&gf zUHR)WU%E%px4cQZ0y$osw-Gy;Qa2{9?lDGBG9Vlp^=@B?F!k%I;e#9aD%`Jc%33K{ z{E}>UzEKg`;TbkCPMtKGfdX6NV3 zRxMqh)F`KxkrBHy6Dib`fU;m?$RMdd4hK7h5tx9_&>i( zFO1Ar5XL0>{<(7vzT`(3nXiCE!TaUH$U<`@3QpA*My4wuQSb)8F!GFWBnsZ87e<~D z4nV<^4P>$c5(V$l3nL570VwdyCgHzstp8&-7l4Al-GAI{|5t4R5(QnYikTfDlNA6c zCP4r&iA0_e4nToh?ZU`9a{!9jY5+w=%+vuWX5SWsA|_Ty6ue(9{D+f=F_9ony~d1LQU9E z#55hg!4PV~78S9J03Q{x=z~WQds5IS(#wNKaaYqHRpwZJ>R6ueA4Pxv62)CjNG}im zG2yNzq?ZRD6?Ziuy*${cXrz}1kK(K*G_rONJ}S;*6B=2&2OkyZu?dZ=-Gh&c^Vo#O zWH4{bseq4)^Voz&dU?=Mk+pjO6u1$L#!UZq?kjL37>%smgO3U`HerbhqbA^_7LD}s z01d{1!l(($6NXU}mcGKM3EW^zTXuY};GJtU^3YY}W5R|)s0kYiF*adCA=HEog-{bV z6yi&_wPXOS8_>uLGm(!ND+{3~tSp3}z`OKlq@9OsGByKap0dr**W?`#b@^TYqLAd%=W7dowMQ8@-N_RM zDfYZW>r_uMd*4mGOd!XoB|jF;l=(bnp!7hT&5VRY98-e-@)u#tDtn50O_K(xsNbig z)bw}1a3ypd;b)Vecupq%=H@3^=Wg@(;Xc6x0>^9yGv{6Qzr*olQQ`Lr-lfbj|LQof zbjX%~G@eVdDo?0eGN)#@)RQ2hvq`a*k#Ph5mx@I7(O)_)-W^jij8NUrb@*6(@;SL- z$plo>cyR5>(|93wlzSzlx}Aa-hFhpe1M|4eb7k%vz3?W`s6Cvvhp~S2@kH~kuMA^- zeoF6+jU!@cx#l%`lGytOevV5^_1Y_ZO7ghjXWR4enRTW&#S=CTkCKyIKlf8n&yel& z{5?&dsVUaGdZJPW%CV`A1 z(iip?ZiW-upV5UR#8UUPr5>J+t~JQ#GHJAs8M`+4tNmL4+v{0GNl$CJj$WpBXuqE5 zEBMK%SrdPzknqNd*B@UIJ2$lS8|&L1Dt5RfVxcfQs@5M~VIs>Ur{3>$NG)`5sq29| zvr=H^euBHZrWumUJ~C<1DSb2(i|HwvWmolakO>fTxuKB2>e(!hPe+qpt%%o{ZW_4% zC5vOli?@f#-hd-z42;Y=`mQ?~c4V7f3B@j=1jhC*V=z%-K(R-NaYV+o(iY$(6d zJv$#D;QlU_w{aF_BlMp0_uYux{li12?Cm~Hsc!t_U!f93d4EbZj6Be_i^uVnSK@<| zW;UM@-2vAS75(zA#%CFO#!tpRRC%87-V;}x7B)uGwo5yW*+wOtA}!MJw|SR=tHstO;xKp09Ww5X!|3E}+nYW&_xc=8TKIT-t+q1VEqs=S ztZzltBrAgPn*21`!Csd7*8Qr_mNH5C_*4h4;}PuQWv*ldnHK0jYMcMhn-*wfjs*Iz z66=4a+k;IDH1afS017NEKqHHykto=CKqE6GkSN$HK_iQzkto=-KqE6G04UJ3KqE6G zkSN%+KqE6G04T7u0F5k)2B5&w0yHv10)PTb3(&}-Xe0_QEkKJPW_$n?SXzKaW=H@~ zEH4K!;{%{r9us252S9;U+~~h-!9N@&Bq|~fx;PV!G#LRX#&!Zs7Lg_+0LA>gKqz8C z3P3SS8xV?EKOj*wrmh5pA{L}b6ujY!Mw*Q9C}RBpk3y&kTZ19ggbhWkAD|nIG#TMh z#A*~Cg-{cgz9K84;iKZNCZx#-9~E~sAx%d3sJN>MX);1bMVgH8DDG-P77W5ig&3Q# z2oYH@2p<*VONT8g?rK6FWewk8+|`6E7=(_BG#TMh+|`6M8R4Vit|nx`AbeEZ)r2e< z1Vjbz#v@He01DoXM;;IgK(R!H`O*QSiXcr!KvbXyAc8y~6o3L7EP^x{0VtLR!>9>M zRG2RvmZ%VF0&nDtAWcSOU$LPO^CoO4#Mp!lg-{a~6lpRd`?@uu1lxJ%n`%e@ww6_9r5`3tCj`^XrBo#q(dF+fW~i1@ zgFGHPt*I8(7xZ`R)wwLv_o>t7gf@R*nY%@&K|Zf?qY-aQ$Uv4OUrgQ=_kk$?XK%V% zf6{-rF1J5xZgxgNiPwy6FwL9E(UDRxFz8&hDPbd#?u&Z%GP11;1?J;;=KGF@U!AGg zvzGDfu)U4dlZN3LPJxTc4{L4@R0ZvN=lE!m&e+j=B=N*Fb)B@R5Nh{b3x@H}@^1>& zb&fjkXAyH;Bz}8nI6mFuJExUSLJd);-aVg(U+;`-?JvrT9_p?wEYH6m`i);9c=&P- zH|>Gs&S*^b`nu|=eE#H-8+a2JFA_-pDp*jRKO!sEx@%(a#|1_HpnaKyK6fbe=HrX?iRs^jskKXbi(>P>gIzL zzA05-mzNs#aSU_D16_71O27HM*y|5;vD|podgg?4Pe}ZC7mtb%x=(Hac0G6j3|!i*{J6WkhE>CFpPb0`4~9mO*>-OAWPv=_Roe3p@C4AliMYc$BJcjl z*W2iEXJ*PbW}}bHF_&KDdmSsjoT=U&#uLYuaw784%3XR(kt zl5D!$T)mJvE!}uzW7PfsG56--RBr$Oe@TYOlu(p;o;Q2jhA6XyGG{0fDMMyLWG+Lg zWJ*%WoFP$$WQa@=k|AS6h76f1s^8Z8eZJRm*1E6m<8%G4>;2z3c=WpOdq4NO*Lpo) zueE*|-Lb2wz8Y2K%R#x;DO>(U`mha^fu;*T=N)t1?S>ZkWfQ{)4b$eUfo6EhiH!W? zPb|$}Q^(&~P*;@j*{5=B3vG3sI-NVlA6K zbl2jky*FdrZua@Z3ftSy+|hY9t?yeu(R?h>`tr8}m7ka`-m*(BbG}FxVt8Z!(>|P) z*`6n#@65f(&=Z&8uJyz(!-(O|I+&K1gdCk@DONfA)(*q(Yt&V2b zkY6-<=S24Dh8?+Y6_v1UH`_iYJ`4zy~!?1H3EeR#>yyzHP|5?arnPjc)i z{^}bUtQVU$SNaR(cH>2=9`ft4Wf#wB^hIzfj@+K-X`c<_5P29;e#LDyfXw@q_=qRR z4?nh3PrMd7&XZjIkj%uV6UX*)&HGwd;oGZWcCD)cW-?@9LSjT6^`~54+um5)X85-K zti@J9U$>aXW~7w0?E>7!SV2UxC}UfQ~aM=fd*mS@IpIKTFPKF^E=55C3z?#}*m zo*7G+{~! zc%B&x_EUpV;ak{X!4eDz3QeG5!G3B83e7WP35EK9yjOUh84DI*fKlOF*kHkaY6uFg ztH*)`7!VY?!3q{U_!fdf_o2ap1sEU-Ka_j@c&R@=VJvhX8Z3D5EhH+z6#+B8SaAPj z2uj%K1BL<%0T6{}Rj^<`HEI+rz(9`zNec8Runa(t0;>S@D6p(VkD^c$!iNJ|h3KOK z%S!a<=G6pRg{Y%~Rv~(H^J)UELiABLuO`qcL?3nYY67i7^iemjrVWjZzuOPcM@8|b zL-^)^Rw3%BpjC(--Tc@D_9LQ?y7{pQv_&B zM}(m8d?eV92tg5|q8XbIqM}g~JXs7{h3GFBjhYZH7>$|`9ut~39YRzTYC=e$f>t5; zn2=BuZ#pCt#n^;|qEHhOib73DNn3DpQSdn-vMBU~$f6JwLdF+7_!himBou|95R%0k z+`|8tESAKg*y<$M(3DWlOzGE^SIJqltB|WU;_cJo68`kFg)gV}x66AKCuO`UHq+34 z=dW-nm8HkLxL8ADXd9Uy8=Jb9`hZ!VhSw)Lax7uLbK}g)-8*^(j`Pf>H{5ompi65? z-J;8AA<0DAfxXWfS@jz&_;ndN4Lot`z)^p;f1cY* zRUw((UwW9gad(c8&QP&;R#|D!rJ;~R1tOT5RF8?L69z6gl@-P-fr5nHP82Z|tr*r(U#<&=_gTl9vJ$ez@8!9szpssqyM5m`-%h-Z zzkK^oBf_rJt&fMRDbE)sFP(o7fFD)0u?b#D-kM@wK6b*i*1*H!gGO+k-`0;^lJQv< z(hV#|wql1Q;*&Whq{?u&0v&GWdQXwRA2g{{c40i)A<3Adu|4QQA@`cjCsx5v#hvBJ zk1WdU8V)^awTiiMMmqEgRrkT8Zo(xl$J|@z9;UYEhm}rcGkhnpadLKLzPQs_vsO6m z?B&~c4ExI;Jw1^211nHerMKm#?9syXWBWV!9*utA5|MZG#fPrrGmA_Y zVAQOi@}5@5w`$2X$8nE@omaE&D?dF{Jo&viS&yXj>0D-ye%LjA8hxKn;|`rIKLrgq zNblI`@TmF?id5{gIlM5O_F`;%g#4@UNM%Z{O#g=MyETvW^b9VXV^&m1wfHsG(-igg zlVZU+5%J?Y2lf{lImTvUnvIHHe8^VOcg&DJ9(qfOVnkE&a^p=l{+jB?JvQR%jeL8G zUW!yd;C1_UM5QNK>v>i4w4x2s%(sGM1(J`Ck3ZVG^b5_BOhE|Eksh}XJ6_ZK3C#!T@$nox zRT!xe!*ugFk2O6R{j)v{vHBIl0RmIRyMD3>%~9T_8Tg$wo*A1~`ZmL9aCJy^yF>DL zNY{>EVKKU{6GvqXZ?M=kvm|WksjPn^AmDYvcHei+PgZZQMeTHvaN+ZhVykqGYKzjZ z@nn%rjrmf4WXIZgQFq%znR4v%*@+#K2`kNw`T~8!6ATHGnYgcqk0c)F>aA$zGK$7; zf5%3>Lyg*GoP_%2#K@2HS0ou$_hw1ZJz81qqw7<`+?$`8BigfUb-Aeo!>QhPjg4vF zE2$S@l&iEaZRhFI2P27U5Af3v632CG-w%elcAN;a%UcaFks%`$5F=u}QM+vN=IvKr z`;5#Er+SL8!rPu-C{L%HC2F!IlHhn?BY!N={>|{L<>^&-RScCHsnd=QgJAy13Wu)O zyR>5+3hQ)8w4)0ySo#%xG`Vk8bxY2dF}~QJm}yJDSNI-i;&{Vu%K!U`W3Y95{m@1< zyQ*NpstO1SEl|dSt=k}qko^U#DnJw=T@6-MfG9%Z7_6#*pzy>o*t!j(@We3=tg3*Z z(CtESU{wVKCH(tfst*TNRe>uo*lI1*dUl z3Qpnx6n;|9dQ;v%Q@9`sEfvOrt=r(eVxgtNIB*+g2ns##3_03N<02DAa_6f=f)) z_X@5E(4r9A5H$)eF;Sx^)P(SuAhsdusGC<4#5P17b@OV1*oLU1ZeC3g+YoJ3h;4`( z-MpG0wjt`MDBg4kLWJ0csH1LvY=YQ^sH1LvY?9c#njp3z+6#u*hN#iat7$`P;(u%i z)KNFDCa}X0d`Th*5$rHTkD?iypczpd*kK5X3eAY(zz#zQ3QZj2Ahsd+;lM8#VjF@e z{DQ#_L(~@xu?@kf@OuS23?Wew?iJ0O4&h!=j7{(qE)HTF0{4o9q8OWyP!wYm5{hEp zgn&Y9L$vn_u?+!qeJ%`}5QF=ef|@{NQH)NAEDAxv)73bLa|qln5{hDYl7cSRao|p- z;DdtiDT;$Qhrk76rC@!hIB+LZFzR~w4rHkVaSp+#&_kAS5a$p;;f4TigLC+oAs~s7 zKw$_(8fm(&?{6|?G)+4lPd31IQ;)7`&zVWpqTMSN<08YChxmC1iftRq14NsGVE3 zNNm8=64TWJehq<#>?>b~aoIN0nfEb9tzn^hb>4K<`0(xFt;y8e)0490=|5JSeXwjZ z9$WietuL61I$Pv?_^m;cz=F%;oh_Xig(F$-=F5H*xbg-x+9uyVNjiD8{0heo%32u# zJK8;z+Glq0sG8YlFTX4<)b>$sztM|b)q16$q%UZsKOuil;g@(>avHlzOe*E-a6v%Q z$%?zY(wyf9f5`8XqR}ded9W7F%PYQna*JM{a(>9;Q9HF0FY}a~>l_NA%vyOW(&{pP zT{%O;Fh3o4XRBY&OTFL)q1@hq$^9>!CWv1onN<1g+xjAoaA7>BTYWAKr`U`Z@6?dI zzcO?oA@gnb;A?hyd6fcQ(d>5Y1J8rhvadxycf6z8cEMLmOe^(W^x;I(FTCfQ{FmHg z1DdNxv>bc0JZuLKkc*o2Bv(E1l-Q3Q{vE3JFs`7fM3P^E}*(+_Zvr zHIIL;IPTkzSICph^;>+tgL(NQgHN0M;{CYqo%>3<^*@a)Z?(Bnd4~439A)Q=x$qmU z@9+0Hm`xN5pOazx`1a~c9o?G}s=*q%w*@)eZk*%frcDl%NVM=`o8LWD z$Esd^Ags=NtXy`E1t&qg2XDxFh{R5aQ>dFi^JoEAlz3b7%3T{deZd+`fu&*nW1-ME z=Q`t*Q+{^8UbdU@V{;xy?)}^}u*vD#M^4mVVBuTJyl2aEEqu3!3vI*mYkQV)GwB1er`%56I;cO#yx{OZK)E;v+}oH>HGEbD@Cy%?u&wit>e7WL7FebKLfuMmM<2T+q5&f2*ke#BKj3s z^Q(0vw{AjX+p-~x{RiS}mMr?GGwQM@+rD)RN*=cSV9B#kuEX++nO)(hY9-k(!OAT> z!p!_I3j?>I3;ciH!oYzwA-LbibN{*a9Y=T>|0CB2qHr?-2eyxcD7^L^2kub~qHy;E z2eyxcDBQwW-+%6p_lk$liNNz_!Kerp2G~9hL7{uBtXm9!ykPk8tvIlK91;~;`@UY* z{>P~6|2||UM%Ys1&nRIM4?}@v40ypfXze==EC_+1&=YrXVEZ@(g|9I;6omXvc0f?5 z`G?!!kRqV)?daFfSNh`<#uAn~kS7cpo!|vyp~tu4K%*0a!k;i`bV5*QcL5GGIzbd6 zu>%^N=uu!%j2;CR#b{9}(C9>u0tq|xC<-;fpRg1m1@cEBBB8*F82tsK7@Lryq8OWy zP!wYm61r(MNr6Tu>c<2co#@fcs|hqZ(MR39n!w(1^iemjrVYL02tzo6BsMfw{!4W8 zY6ANsQ9mZo=tPfhUQJ+sB>JeER}-=3N<02DAa_6qEHhOib73DC<-+p zp(xa}zC41gk)%MU6Z~KiSrqR&L>9&H1b2F*K(7yN`bqnf>CkMh2_HF=|uu>b&n??A_!p{nj0$U`(s93_n92OOYpx`Ev)CRBfFOx`IS{j8(fgQQ%cuale38GthaY$`Q|XZcR1GRWaOA!tdhicx1eE^(lLD8 z{ke!Io0pCA`_sLcvyZ=8dh9lDKDuU|I<(`~uY;F8=7*Q7`$}V#}KxbHkhu@=u#hwK#;z zJ1~Y(&rg$zU+y5&a3J-f_m>JicY(ylA-?MNS0uBMApGVy+vjB-jYOszzfmJpIyE23aN@c2Uo!rGUrL zaZN;2gAwL0o_5>&RToD-v}`SSlEXH(-AwzuiST5`!=jLj{FCJ-vsYGs-9IhF7*BuO z<7ciB)BdlQ-=vwB@T`r`(U3^L+H^$!Js%pAG8*fMS809JTvZ@)n2Ldp0(=H=;~X2cTd@geIoVw@)4)m-uU2~mycI%dpaoOBFXriU+HS7 zYC02dr)FmbZJ94OuIL|KUr@xJ>$G4_n%;r$le22)8N48we>4-ZdOWH7uCAif?r zf82;@WFkYeicG?(^-H3Vjqak!)eJdmd@@P$Ir$MHWpbMKg4V@d&Y!*j#V= zXr!wHZNIjt>P?*&VPA#~v2P`{3J>lcR#LVn=6G<{GV&}@z$=cb(q&`8s5rS@Os}>S z?DRB^Q3^Q3AW+>$SxlW>x5e_2PlQyT-P>Nl^Q}}Lch2WwdA>ZN-r2|Dlv13a7}y`h zQ?;$?;i0ktu_m@ob&<}qi@GfP@2NE>6c)5&tYnJiZyu>T;nS>UPb`pMptJjh`n;J-k$#ApMm?9=t}kHn@8^Ol3mz(O3cl*&CRty zQ7=qAhHXFnS2fqp3qE)AyBCGLxHDHmd??(%OnoW2bWEXpD`~%1hj!)J_)8uoPJ00itjxL<&5t7DVCJjTBho0itjdMG7qOfS^zlMG7qO08zM!vOa(LC zeN+@`LW&A38qr5Zp(doLn^zNP1fsrR&}i4|Y7FkGg3!;lX{R(MR31n($!9Bl@VD zR}*LiLZU)5t9Y>E5rRVVxp>eBgrLv_G#>1DM2`a5EC`BluV~bSaIa|IbO=$=s0kq| znl~N76Go#ZI7+yUKhDDO@OuR}N`-tl@OuS~KoEteg7IL-BYG5tnvkNRP!kf0LQP00 z3N<02DAa_6qEHh83L1gnhqJz9fUFzvpcD8nSQPVcc)}bHT7h6#BovLFpzG%K$?hM& zb~yOg4(xdZFBnVk(7>e`9^5JwMB!O)F|og2@KH8t>ECN`-5sL3Y^H<)GeV|ieP>rG zNh$LkqK1TD|IR58eyz0uf>AV z5SfP%F*Om}%?WmooJa}x2fbN7`K~G4jh1S31~*(Le}11KN>OfXf7>x{Ozgo}7IB_+ z3-N{Ufr}NpM>DSw^J}~$=T%idC806NVWK?V@u|O?=1^J$A7_2bW$nwwr%Q<@c)#9l znHyJg(+L@ySLaDM{AsCk)Ubgxllz--Yek%cxZP9tLv;pPJnl>S zHiyG$Cu!5CcRtBptq&K>?vESpu}NA996I@f`07djsG=0lk?yn@VZ%ofYmP!sPKrD; zrM^o!+z_wZzszrMd+X`!$i&@em#DAPwPP~9H7ncuk>gyacn@W<~L zagV-1D#d2xNj>lU;1lQ5Z9#VjERLoQO<8;-_i4{#v2H$$q06`ZPA-;YKV|Gxnwj|F zVx?$G>#;`f%(F=@Cbda7ifv79yW$bI0-E%G^{$aFv@^f1`3F#XuChpG9(~T(V{cjx;8z-ec+#_(lX=zuBUgZZ(GYxEpK_BTmI9?Te!m~Wt{ZfBQ4pYX1hcW zKdH{*ay|3w4!fzG9Ji=lEbJKRdHo39G%hrHO}hK))5)qv@or zCof6RB|gmmd{OJ!S54;pTN7;c2dNK{gw~MpO=T?wiKtdmh!cI7Vlu8%@fILC8%udA zzw27E{b5E*HX?lT*Tepe7{RNr6vbNH(5IN>9W$Y^wSQ{44olOx-m_NzJ&!xt|X7f9&_(pUT!g^S;79JSaTC zG3Ggch`iTs?%-3yQI@2kL&SGeR#y-4O(&l}Q&p6I16*l;Z*ebldaHd6ziH|+CdoQWsLmord1 zL5=41P=3E2v(EM{t#SpQ%V%g@Z;)QDdac_K)GmAcLg1{jC*O1q%e2g5*s*Z77b{X2o zJ+H!zQBm2!vhyUxNv|}rAR@7@tBx5o0hMs<2Cab(nvaefx@B338z{|MkE*{|rAnaRVf58BsI5SsXG3JJQBKldNzFIp?}VG@`{dn_a(FFh#w2LDwRGQJe?$Z zyV@Enw~v05B{i+SH0e3>D@C%#{BlLi4?-7gf;<4RB-nI2nuaQ!GpU8Kv0591B(h|v>+(7#{v&- zIu1eM^KG!A27*F+Ebw4O4FrYyk9e@621Mb_K6tRA27)3yroYeUf4gH46xxb{-%wG5 zfUbY0un%^FBmHk#VATj-@_N1jq9@Q%Dr+>+QoSXns0=wNwnE|ZRl%7Bf9Cw?_i{>CbOlL8IZweGcj?1zX+$!uAw zh#B(ab1u_}*B@0>iB9~XccZ5=iMlyqQ14Y(^|wG6`kck~hJ}Yd&8NHWcvbQ%obg{R-%8CD^>$B4!HiZH!<4wT z63PAtEDF&-*;;ZWqO{XmHP1XR4RG)5uapz?e0B7FSnNz?J)w50)V^rv!Y{sOrF>sY zVii?*g=c?_dD}fad+k){wt=U@4?jP3Qnh&>Z}wDp?}vv2MLn~+@0XsI_LK>^E$*mu ze~&HeW0>snpNVkKNx66@Rq|P}_cpz_)vNrIRPw#79r1GW4+U)MyS05aUdvX<(z>0P zyx$vJ+U_2v-!hZ0VOyhMD=NUP?v^s=e1KM0cUjx-kXC-o0n#k4($1%j553e$^EKFe zF=Vz};-dM17y6!F@H|=HT9t9cEL-r+9wM$Y@7N57uH9P=;I+vco_>`>Tz*$j{l;+b zR)=$wSE=kMl>`ErCx9+zwGS zJ#n_*oe#giKN}K0U=0NRcSQw?xggjK4ME{UCRhUjq6iZ@uo)Ue5oVxZGc<@I%mu+3 z2nY&KDuOi-APO(WS-+NlPF{fz2Y#9t9&Cn&M1`K_g$J9VAtn31px24`geiY0uvAt+b_0p2T?@IYWF z3N>K~^B@=s&dIm4p^q;lUaR2uhgE!!8(w zn&1^Rc(4WnjEaP!P!k-L25TVDMwKQE?0+1akfNec6A}up7y%(7p(xaZg#O)T`Ok6& zbyRSMj~d;ynxw%R2=t%p=G6q&K%kBa)~H?JnJ1_FK5&8rFA^%;HC&8rD)hDIM1 z#hVV{n*%mOqmByJK%hrAKQ@6i5a^?Bery7pq0vX({MZCGL!*zn`LPLXhDIM1&DaF> z=cU0K2uM_DS&TGT0s%pxStV(3*Jt!78Z{wAMKd-bJSH@1LU>GQ#wLWQXw-xd6~)*D zFN=}hQ0sv3R!2ZL7(V|JMKLxZMMd$ZLqbug2?<4^ruDfnWI`+rF>Zj_4K9F^W+-TYN|gONm&%1koU)EmLmRIC~;whdG(>S&JPsN);o zR^~@rW;5VZ=}6^nbw_@#oca0vbF4jY=pAYM3}THRju-pSZKZCTPq*7j?ZA~1e0f{g z5%v6%;Izx{+Ey>P`NjrZ`Xly;?xo*DlW#xun zwD*$FCVsT)+1=#WMek%N<<#?*`t_+Y;Y0?<$=8miDKW1{dZx*8!^a;BPwl`rX}Ku; zV!6|D>_@tK^%+UR7N-UzqABrBLoAN7(m5PfEXEF&%=he`bSrmNx$cvT8*tS~HoaBQ z>?3;VXCkTF+M3-dWnb6fo%`>S?|MnR;__8A{mJN&O!jG}Z9O8TH&6e(L;O`zNikuY zpT58A*4L9{i3~5yMHlKW;}Rz_Q(8ItzHM)IoVmd!7-Sn>U>l>(eN*h$TeIv*=dY4<+(medS(lW&Ex)Yg%kD;`P}1?sr$j<|Tg6E1OrQHwhZ&l-&== zwJm$>{i!v1SLR5qjnSg&sBNkz_fO*|O=-Ry{R)~la7Roko0zMGyH=+!SLPUpHmA;M zn}{2{Ot|TFE1>zFkB~s~7kxibhedr8v5}mq1})~x-xWj#Y};hLm|NeS4y~4w_vI68 zRJ*&KF?HXF8C7`Ml~XN`+pPFZGbX9RS<7lkO85TcPyEgod`NZ9vGo3=Nk-Q|XjjK= z8miq1DSRIzDYB}&jyc&B${#%W(CeOYfX?mO$HwJp~HuS=-3^YsWjQ!8rPn6px1DR|;2G~rG$iit-B$Ei zrqAo2j-9yvOE^pQks&7TS|rUD)pGt1hS5`W3Ij^#U6vYCZD!JkYG%fk56*pf#Hb@& zs4V^Vghf;?Z$rY6o~Atgn~9T6A13^H_r53fpk@r4Ia2F)%&O;C)^$1EDMPv3NdfM! zAGF8jjrRwrKYCysvSih^m~PD+FP`1;g*F~fEJ@8%N7XrXt}eM_)hfQjamX>;(rta-2<3uiOf^GrVj*7G!BLUU1 zf*6^jU)w)yH9Rh)?0=BuauU;?;L2^M^AkRbugGhp?Z(Nv@joC@K4E?`*)RV2s-mN_ z&FK`Gu;`V~Z~ZUx<05!0`2W zH|t~LSE3E@e*Y?RZ1w2c7#l<1uC3qrwHwn<>e%90q9o0HdZ|-yuqP!} zIw{jGEhVYeD5TsMQQgJXBur&{qJbj%QkuACTfFtN`vbw;hcFp)D`Iia_xVLye@!`l zB`zV1Jt8@F;d{v?>Q(mbPMDWz#QwT@hiE=gs*&ydYOF!KMX`|K*j(VR-42*BYe_YPr8FX~1_J5OHmj-u?kpAtK|2erX4f@+43Qu!OgZ?&%!V4{= zL4O-W;dyy!(BB48cye7D^tT}>G=D3N1zx!z3Qw*}gZ?%Ig>I}N4cglf6h1fq-Rb<7 z3;KKJ2%_*k-=#r!8-fz%Dqvz#8g#cIDD=cLY0%wi^U@|KSN^36J-T@{fu14ysGC<4=ozAqx_LE$8z`fXy7{pQJSi4s)b+Bge;R-2Q8aHl zSc;Gk6^)u;(+~oR=1m8itr1W(YC=HKyy*~7G-^UX(Tq(9PZ*7w5S}oKu?d=86c+{L9?NRuqgC|grd**3cp^U`rp!4!~)g7Iw;rMDG8C+<+!0hmGHB_>+G+zE)Fk+ zrb5-|`1;XRe&c!FYLBfro*m-RarTYG6pi#|$?C~cM=DDt(_h(INIktA8a`=G2uRtNi8Y3F6Hm9wgto%aT z9@2@mSMnU}n6}v0=hgJ^X_9PHNl_n})|0~IfFIecIm4_Rwbk!6ANM}dp2(I+TQgMc zKe3jIljNtp?|DG^1@8E&Orn1Q^C!u}M>1#?vJTEm<(urU%90K&{p@k^fvSMUs9QFL zU|-qRX2;nFv$m-=_vc3$C9f9me^dYC9g`KGvsyEG*f(;LxpSs!k)hWNZoZfy>JCX( zY`#2iw!nAKa(5d|Z~0mFpoMHZTZM6Mp)8l+Iha+^I@;C+R1p_%CTk-#;>u>(@}0ueWY zIRoVqyjP8yGRY218@hOUCQ??5izf@LT+*7~ztwZtKIE0+Cz@N|sx-x%va0VdHZi>s zk+~9Qt1e)i{%A#yD)#8GhNoql*H-J!?}vW2;!J&p9X`hWY?_+1&3~{a9ns<;e5!Z9 z`T4f1t3E=y!y_`Lqne`ADMz&;C-mCKPtnM5Er@XW{VJC#%`9HJUx*i!71ks^bIgW8 z)sH>pV4<%}H+W1<*4&b*=ABk2>EaB6RR(4HF|lnMJK>QWxRs(!eG@uK8P#JF+L z@>n=+7FGO-Cs9UUE;m%BDD3Vm=8U?2Mkad5^CT|*b8*b z&*M2u!74@Oo<#EQehk*Py9%!D8q?&Spy9PUds|fP%?ykt(?0ikem1>>0#g+kuR%LR zF7DN+y27(phAR8{t_YIGtjNN>#|j$WpPDBLXJFW4^IF%!!`piYiFo|`vc*A_ncUh? zmU6kgg2~R>YUk(4rLtJ=@a^wj`m8l{HQDTy)KC^!iKCLEeZt%GL9B%(T!H4CDid={ zo6Y+tCwvy-MZ-G_?^=YMUCU@nJK(6uL$*0PDa%I!*Cya&lwB)FTZPT4*3tS3v z5zk@`n}0~Q>r|lVi^n(hM8d?rU7^Os)v+EiYgjvD7JJ&r@nO%&aQe3Iyp9TtI=s!V z3Ryi!x-CbH#FNzg-Yy%i%)8$9_z?HubCch9HXdKTC?zHm?5?L#+uDK$NL!_LgsV@x zgqDWT^qca%eJ1^_Q?X5|Nc9G>Tcd9_pE3PZOndYcAI?}uT0S#|fnzk5!ztiH;1K3? zuLzm%x#2*?uj227jj3*aqS?a~$gNEBVCT+E33BGs6SS#C9&9@XFFtc|a5-{uA@T`V zRpV#x)2jUL`bWYZKPvouG}W{BJ_jx-tvKpRlzNdci$|rsu+t>ItvR&8QP1!8#e!qL z1=1wp4{P5`v^A8g(Akl#@GOn-cxA=E7$^#l9R0*L^YY})ZgF|1H%r4y-}V#L92$|h z;_Q36*ZKZY#tMmR{z}ny(-YT)rrT}ed3ztrGKl1#+$AdX!}lde2A9pt_SRQIJnUvs z#--mbZ|_%BexAR$KpSymv39rAo^OG!ng{)+(xcLub!@%TDJ5BCdll3PQQxHSy&5AE zjisNI`$}>6J+?aN2i9pnp8aH%<3UQMNa8W&W0r$5;^`jLJJvYy$+H)81eBf-$#r`5 zm{zXNOi`}VO!u%3WmPY470F6x8F*gKe@|fe@wwsi)BeIdTmyQ`p(^>9YjF|OPvcUv zkH#yMIoGU?{BMo$z=6 zoD4xwXkwl4cmJFWK~Sg@AT9LWx&p(ZI<^CJO8 zp(ZIVsu*HFbozIg`V&TpDY?Z!I~e%#WvKuAbi=O&5r~W#ptxYfiC1; zfp%rc2Mb*w68`S*QP&rU|6N)lFA)FRwD_L|;%_(j9}C0{Ht$~@j8c+l)`xnt=X=Fy ze$2f1AkVYwS%K9nufcb@YyxeMZ+Ns{q84IG6u6SG%l6mWon41jinL5p*WP^WFY_Gt zq%0miC25uah&+fzotTy@GN_#;iJjRc;YNfd5oP<%wjqDJXrk1>C(4Tqvyuzf7%|lG z^pZxO8z<)eLOwMv+?-b z!>{7%ev%~C6?C!oeU3E68qc`K%X6}9J#*)=?UpN(`QJ!XJ5~v&2dfFK*1x7xsU?3g z6#gT`z**)EFI!zAuigdwle#S_t$eR2+Vi;LvF{Rw7yW4M-yP_V(W2gORQr=D>-`%J zvtS3Df+kkQ!8iUF>G1cv!JRULZo)s#=IZjEcYW^ny1AvbNS?~j?Q8u4zYdN%ceqorVT9W9Zp;Qv02`~SOhkuU~;HOQ2M`ot4hR$+Kv0B<98hooLt(`ggbM~|W58pA7FQ5Za5e^_u(MbRDERgSQCLeJ z0R`WlAPVbTB%mnN1npcTpy1mRh>C-CE)r1i?Fpjr$Fw0^`JcBZh{F2n2#xoDe~%%d zgwy^YZ_f?=%}6NRB=}uLyK(vmTriff*)l9D3N>K~4+MsyP!pE$W`?0C)PyChlVB(c zHDM8+FbXwE!Y5QflQQh94y$}2q`Lo^HvbnW3N=BCD+p1+xji5WBoxKigoL6{6B3F- zO-LvTH6fuW)P#hhP!kf0Vr)V{fr10{Y61!l{sp>uH30<&|03$<)dUnA{EMiYR})Zh z@GqinUQIy30qUp(7yciX-oHRMJvI?mdz+8C>9L8hir;+HO^;24dC2CYZhCAY4F8*t zy6Lfrkc`}PRG>*2CPZj)g*YMaveA}?q0o7gIMAdFL!o&cap1(}e}SS=6T-csQ4_+w zqEQnAu{@FxtE8^E40{DL=RlMtrTc=!cxC@Vlh5u&0Qn-HR+7@OebE8@Q$ zmOsq>kx&$BLPAlf2?<4^CL|Pvnh;QkUjxjCkx&$GIwTau*tEXJfh=^yA%+cb#fU5l zJt49vh9`J&kvPP%0WKK{MIk60p@9@!5sE`B8z3qUx|kG)ST-OE|F#2t%8=m+CkEMH zknrk2At)?i*BZ#ZLM$8Lg5fE4@eP*EUjy)2lJ?HYehp*E>%rX0M8x>a$}>AA zF^Os?zkFJ24+!?%Z+-9sWzN+V>W<1k)>S>f{Ab*Vb`)*D99XeCVyua38j*YatsD9g0dun3FD(M&3cJb5d=UN?fw(aX1Kib-o z;@FbHC-X?kF%sKHxqI8gIi?d9zQ35BmS!1fi7^N5z4Bqlm%RAK(g;ii zM!jc=eI6&?wrMg_teW6dp7-5Yp7p|n`%oLdP^!pJ;#8O;cdqh3FePdI>eeWUL4(|K z!k)xieQCz;4b7w;y(!nzv>UA&_R_vObj+x;InCAp^=SV_II0a`4f@D?NfU9&KHDDS|75< z-fRCBcKPD_*rml^=R|eIGAUIm@1N9GC9P9a|H>&Cu9U}W=Pz`xee`?EkBN(9e2S%q>2B9%|Z|*~OM2b?Cr+-_6wX&tEo=ZKERn zY#Sr{WTf_mO34A*Z!;fMN}Ec}gC&^GN3UKS`bnwUS)3dG_^eaS54*ziX@f@A#>u4G zat}uzSJrRqz-XKC5bKF(``W};_jk!WO5nIsM;`FLVEy#g!~gH})~gQjgwtCc75{U3 zEAQ{qTZ0cqdwteBTqn)=#nSU;MBCQ|)|lKO!=iS=@vUrx<69N4{77u0B^=*+rLr^H zLe^*c7EUvnd{q3PefGW_s+}aJpUCVwZV$YEza_ZH@lu@R`Db09mPX4>F;C93|15f? zT=Du|h$-=MU$NRXi&l5%zniN}ing!La%2;X>)84&uo+R7P zcGym2iQI*0g?)e7`$e|?XVeYRUY$X1Bm0#pvZ{XnLjGgpGT|4bhaP{blvbn~+5d8{ zGY1!?cjQn!@nzoPjKjkh*=jWFj)l%1TBbhSKsz8`xx$Yk z+Q?N;T%xBmHgGMz3g}N`|pivE?aQ8(V=sSkos}!uYRa|_7A^m%40f`D<3vF-^ z5m0DrDzI3jgMSHXsCr z7Vn6IHXw+?v$^7+4Tv87`=Ev(38bjN3?F?|6ly|>iehX+LQ$v*2?dsnsP7fD0nwwt z3?DrTEE&1p9c@sQ`j1faIHX)%X)P#hhP!j?Q+JK-W zkWdtALPAlf2?<4^CL|Pvnh+B07+|wv$OwbTqR|tyYzqVIRtyOXPts$6zGD~)Z63w| zyA?xF96>o?&KpA*c>efh#}fP<7>Ytq@N~J@hJ`+%BK@ygcBG2*|JJY*_B&f|S;qYK z5jR$(V>YyN{gr{2!b+gXz{mW*6JV!lr;W)5)(?Pno{p5z8j;EJadNTXA{+qAv3>xo z|6py9IZi9tCAzm$y4T(@K8+t#Y_n6R#gFW?}Jc%WVqVrqegeO?X;cb~+h5 z-e6&oCVh0!)OaR(mEl*M;K~BFgx&168@s;9?hBsI-wuUeQ>5_f$*s`8STafNKt8TT z5$E9go}tXV$HS@Lp1O?AvnR>SEUD7qf|0v{-nIuN+6uyhJZCiNfAP@HG2HqUHPv2e z6fG$+N98ClZp>?P#^P3pd0<*e74932kWrP(urOnbi{MV|fH&1l-gA|j!RI)THL!^5(cl`?W?+}#~(JbOYTkXr@QG*FZxMvYT=p(hSjiLn%}qLrgn9^ z@#dSzu$m!d;zWcXE&Pf`tVAue4|B%CVDA!plX<3`{@YNwSjH4K#_kXBm1^spwGZ zkkRNuto=J@-fJ1oSx(uzu5Ha7J0;z@r_PA&eCa`botM`cf?Utn*OEwV;iTydsZ!&2 z&|5UP$UL^1mbEIz;_R%a%3=}l=5v!l2(wlR6~*0IPNC!aclFpG+xAXO^hrvZlD6;6 zEM-f{Y`WQ&pK)Gteyfkdqsh4gFUq}Bcbn%eq;IX_8t<`swCYf>L(PJ(==|0CqPI>5 zE}JXR*?s1V4gJwnHIpm-B%4NzqV{a82IC#^&eb7R-Q4?`7uXR)P%yWXr;&R>{@5BD!x(wNiZ)Rxbi zTk!w7N^yE)Ocj_@SW zTRNPR(QexDqdnAlh+ctNg4xsFlEpT?f2=~W>T*D1uYa1? z5T#z-8s6HmUW2dt>q7LEH}?A(x78N1GHfHd+hkB!qb&b+s{K}DsoATf=ClJl+_h+( zccxFKI8Lgx-BV@|rcXGv*>dhTnqkV$^XtwjsER{LylhuL8CDgl` ze~CoiS28NT^M^jYsIM z<_1&F1(8|y-D)S=*R5A zma({fS|u?ZV^&A(Ncaj*Aar#r5on^IzUU= zTIs6c6-pm`Ek!4#f)}1u$_264SBjq|!x&rbu^dp=2)QBuAyOP?)-!O@ zg*A%u$GMADjgN&^B zH_dJz;^L|XmlyLn-Q7hA^FB-Ay}r3DBaMwoWrs)H?aoCtMIZC@@)vix=shF-2EXs> z&zJLN#!j@_3T!tm+g(8IBp-j+z->^L1N{lxkUoYuQ@_@^}s zZ$HL>UNQuQ8p0URO9oNs*{k9h&`XA(u(MYQC>lM%mzbcJ3`T`7_WrY4`>Tj@eX;l7 zj0N&y@4p?#|5@z)wxa*B*xTSS|J8ns!=qX2>1mz+eSm6S{77jv^K9>w_4)y-G_>R) zBw_p6NYCY6;q-q~6!3Gc;if#&y8EV$80j~2@gI;!o_TZk@~Y6MzGA9lahHZecg`fNP<`wav37$ZG0qJAUwJp_to`j@3s6Q&b5G zJ`EJrv~!NSBBw4JToZO-YE{*`vs=XS?P(j84!4hYFyFXuMsM9UJ}t_+i;VwxPAgxe zduy79@m&fA=Q-(JUWdL!y}8h3ERlR5$2NH$#8hn4Q0zNXg^=zQ=rW$36$=v09nHD-|uz?C0=PJDcTb-=t;8dT9=h|ESerog$o? znuc)?J90+vnMd2j7jF!X@E^66+cT8;vOc5Vd+kzUigiDO*rPY80TTQ*Kcp{dhQEoj ztUncU;a*1#V?a~cPvwf0pQB%N znP%q?WXy;BzuBtg`rJudiFnx&h>;uW;$G#f+>#ee(hZ$TZOmb~oVU`mc4IRYJ*uqA zCaQ1k<;G@CkmGqrx8En3s$$swGpZ1NCdu|+NsFrD*#5JxI^m}%8;}3RKJJ9-Wx(&j z{H~Mud)W!R*aZVtHb7AL*alWMfGENo2&`-XQG`hwSlIxg2-7F9vH^lZi(N2aWdn%9 zi(N2aWdj6-_RwO$$_5AuZ8cv1wEvOsgP_n>V+`2f45IK>V+`2f3_+o-#u%`{8G<5w zI6#ITf+9R7@J$JPb8xUDJjF3!Wdj%$dPph(1v07-6n01|0R?AXzy(7ONhP4*#0x}W zhollv6l%f}20qAC5v**0+$+Kp2J-VDDhZzf0jDq%2Fdk%`1^9I)yY;Z;&b<<;$1lZt=KI*2&CJC^?8GTeVV-vL4MFOmBfJB8BfJlH1&JYxuCzBxj z^pEplc=|^IY;Z;&70uX$@R-o33E?rJ8JiHIqEQn_ zNGOW22?<4^CL|Qa*o1_lP!mGdRpNJ6;;-b~@3JfK5hJoF^n}Qw5EQ&nMgn5b0CRXG z6vgla&tgh!uxI|NFTseT+47KZ(&_q^_!SFAg!+v%U7n0=+-;678It$6O#7_VxN!HK))_c)_}K)89DDkAi>J|!-sne#j0@8Z z%%pTjj5)_O4aQspMT_G3QUXk?F*4Z1d5F*9jH8fb+3CF39WJo&-*rwM_cwrkAE zcBBdi7uu_&&HQ)gH|7WvnmpfAnm?%JDLayUd$c4xS@27r-7E9O6GRu^GZRiaRdVDm z`_8gc{sN~1-A#_h;gc$7GoQV)>ZT-|boxF0+Zr?Z<+Guw#k^}7KPM%gWJ}n}t}O*M zb8^;s&oo`JXy)*}LJ@Dyt#ON(BJw4t|Gj5{-vlZDKjz*%tmf|f|0fM9B@GgqlO~O) zGboXyLD8U5X--i|X;uggl19y%5Y3}zG^nJxG#8qsIn9#a$>(!_-nV=2b9vo;uitgO z|HZ@Fuh&^;owe6BFw4p@wYbJg2p#># zsCT$E$IX>EQSkFrm7I3k_vVwV#jij1@4B>HBx;r2?OpD3bRUzRQRc}4s|ktLAG!2Q zb&tpd`uADAs_rWjd%9F$dCBE{Ch5aJN6GR3gdY8_Ay(X?3Z47ZD19D^>bV^`VudN=q=0X%8Z$K z9l0N>HSrf+1sCI=(&)(-pWV$#(^ta2R~O4-S4qBR$uX;j_e~`_ zOEWU@KJVG_@#P|y7@gt%L)Ct>Ynf(+-#_V8qi^ZQnX4LR1H7PfqtI^@V4u43ylw>C1lIx`&hS@Y0k z9gdH_e1qOTT}NeQubwxl4>T2cTyR?T41X!w(^V$VxbUUVk9Srfu|5{7+L*`TJFiPh z1h>|me;}m(RZ26Ntb+E9D!-#ia+2z!zOF8k%*b4A^Ls8Q6mF~qcXWjb%Xrs*W;WI4 zxkSZRvHe!ZGuaE+9i=NrLOKSRWpk3AOc@y*H4YF^ykb=@7k2GtMdH1Ijk{)jaXBl& z{B9MZljpRB1#TFy>Qw(A_Y)u7r`Q+s*3*rH?Rb^K>VpY#DzcazRGmRf%*m!Z53^@4wf4;8k!jP^Sh_cs*JS)Tu!f-b5e<>eL_#FQAKoIyH#GtKedw zP7Oh!g;p`}qz4d%SHZc(!;NW34%hK2*iFH zl_rEjM?S?sl^S9do_~WXHHgATKE*(l8iGPcKE*(l8iGR4bQA+sY7iw*8vs>mqm|Pdr0Ickn}!7zK4f)9(;`4>ejsp)CCb+1$9B>=+Vn9vZap@E zx*&3^TaQiP!N-WLg1R7b6iIA?mjS_vXNXmJ8Uoz3j6Z{I(>MQ~g%c>Wf)mfkQ6#Ym zo+E-2&&aJJiA@CFFcNPf@P-k@CIS^iP!|NBl@N-+n+Tx@ViO@0!7m*l6hUkvgd*@J zLIqE7-_-vs?6k`%DRT zi~cnY_^VqK^=oAM_ijDsVS{Lkl3mNdYnU-;W$foI&=5F&=Hog?o zeZBvb@}-V$vW!!>zMxY=3OMDP?#K1yLmq~j*O+|WHuhQX_`z+SeP&G`Z_o^9)Db5u zRFfaplpmx}=;7-%7t-p^?r+!yE>?yrPXQ0ApOVK8OJl9^grEDnGZn) zuYbrm-s%2wI>1^sBPy&fuR;I9^s+{8P(Z_UVdma5;}ov$?$RH%b~|Wc$SQPMyaoW;_`a zbryUzc2>vy&a&sqo25pHjk=1RYjaxp{mbQFa`}x0)ygY%*XgCiNz^aze9V#I-hJF4 zZDW>lMe@waNMA{%6FouqrD($}gVprgiDTLU%cd1;2%hMreB3D{wBSJs5I zV+4+ws8&BaGpu4#r6#JNnHf|TZBjxL^AV%JD0xWuS!~ENLqWy#y}0KMp6aby`sWnq zM@Ns1AJ-zwv7wGGCL?~|Kh58bp-dRBJh@NTWqkfbhRwUmllyh2A8LrQ$?d46S}XqA zVeLM%7{h-bt#tHr8FfO(1yiqe=DyGG97FcrXFnrA)^vp|V^J^Fkee)w!>v~~N$U0i zPH*FntrJIRG6e1w$xBw>OGsE`Kg)^XZI--zJxzs!>A-y)S0sbp>5e1mB`@OFzG+Vf zr*nVZxY~|>vRE0gdTsH;ZlirdljAR5abBMGNmwqi)3;8{&(%F*Z*#ubCGkVi4VCwU zYwe#MIuG_bB-T}O>-KHCxR|hTLO#ZkWmt5d0becs+D@AN+pnh`Rog*qWFW^H?B>OU z({w%FB&E4~qx_g{SlCFP(k<4@8LI{28uBAfm%o}P-}RJfldI60=Lk*?jeo6hxiJlU zr)lPe7KuJ)*@1_N+eGtRvd})Quh$!hhm6yh9Gwh0yiK1@(_ITljNiZ8!CpyBeWz0_A;>j{8oh}TG)+!os2m*|4@b2G0#wT`t)R(Hq*K|?#PdG zB7UT~8vcqegB}J~jlUySua2#XQgyV=ROm_a?Q{2!3h*{zfJ5s~`KxEClqQs)_%|SAV}cwP$R{ z3UxHsJw@+N8x!t}rZdCu)>mJN-EQ`Kk$9Rnza`@MPSu!Ub*zZwp8Spa0zcDZ=B+LH zUmuomop<`Sw)5u8{mpxBKvLv>{ax7`)QFr#mCi$+6Fmj1bq|*!ty`I zD3)j!pH%n71Z69#j^ilk4$>)J=1YrHappH7BHO{hq3lc^et}~9_AHg1*a_@N`Jt+( z4|nEtkkYhhz3KDWCipOggKKtPQiv#kveD&p;=$@q&hAe-L@WaCKMN_HWsG>Hes9sg zlCEOe)9~zI>EgbnIwif)IK6}CG9qjm3xnnlJlV-+nCO{n?sG) zs#q?_NOF(rL9Jllcfzsv3u6?-_9zVb?yMks$|CDEcj~Rh#y-V;O2*|~Vr(-*3#+>p z9(c=`4d13NJzl=(bufd`>rB#i51qjL_Z!O88~HwDLa!f|)_MjDy%pNFQ$_nccmej*q zKV7%8pe6@Jr-S%7G%M6GFAsnCev@d|Hbt+cFjyrXg--glx^8C2CUn}$=(^b{Gn1>PW}D7!f8EZ^$eM~m-Zxae&ZbwGrt};C z5)Xrjj9Q|_-Y*FXLuK0^6y^sLW!u}Dy;o)P6+G0tx-NLA)4G*RWxK1PxI~GB#Bdh- zSf@R8w3jW!wqC=-9EZl95M!U>e%(YWB2Qv@?+evO%TZRcoSn`U6}NpH z=*dFnuCw?)3nY>%o=mn-?wF3b9poVF;5ekIVWziZIloVXG&hvGdPpoH(!GT~pY-(0 zU94>f>>hFa5Z_~arz%c_<&f6&&L14OYLd*UssW4a*tT;^!=JGOWoPlUGXwNPT*!;1D)&;-A1JW&!c!5 z_mf6x?xHVisJR#plEzS!vi&&a>2l>Ulk%lHHiJ0ni!U$PzTtDKQE9suHXu5gQM6Q=E-A4~OT|UDaAndD6yk7t_s-DfLUzm0)ZwRd0S8Od*zJ z-d(MuO&Xbgp(m5SdhlfVtpwlen4#gNjoX7K17zG84YvuIuSY}kuish={@?R2gfoEn zVL=QV{^0G+7RO&-2O(MK>vHPX@s9p$*icSggzZ;E`t_H;MRc$$0rjiL0YL@^b|ru) zfm{geN&r!KF9ZtgN&ry;$s5>}0HOpkFt95DfFbOfv-@g}HMaj+)={BYofHt}Cg z1%F6t384tQi4cn5myQsMz?%r62)v09iolyTzpIchtT;rZ0lswvSp@z>kVW87Xnfln z7-PgCG7Yd~LMVdN2@O4ATpS|PfK~~h2pkFxJ^M!-BGUj?#i0lIh(lx=#3%xXB4iao zcp`)%a3?}20&fz>Z_FXy1d(aL*F*qC;7#JtlcdD)QTC5Nc7#v_-h{$ub+Bhe5T4)? z!scN@|5@<=^>U$*jNzs+)@t@)XQwk?Jdp^{OlzPlFwF^)qvc*uqAn{hB$-kw=r>7~ zi3ksf<5M6lA&(q>#lHPaio5Eg`;`t03yZwo6Hp_f%Qi8#v}y6$ zYU|08&=hVzyn-n(dHVs0PAFxS$u{RYb5>uZ|>^4bY=+6-znk|Vi(RlQ$Eh`QfABrcU5h}$EZ zWV<7Hgtzogt{-t&PzTPG?~d}_lon&=$F2>JI`yy(B$lzYq0i2`Q7>ecJREd-ep52; zh!m$(XY8%R4~dSG96eJaDNrO{>=zS}rEw$GAA4bEfxSfe2clsOe zsg<1;_&C{-|1slg`A3?Pz3a~}EUBQ+d~piT=jW$%G!s7L`qnEoqrWVAZQH{OhgU8t zG!fcQA5exhKk&`>VdiB=h<(+#=1+1I5YRT87DK9qRh+#xiil;%94e{owKu3 z3`y@T!663zYg zn%COV8kWlHq(sMBduDo5lYeIA%ZsnfG_+jgsoEyp>D>I;F-?BQBNx(XJZ&G2Hd}PnlVyeE$&Ig ztS8x6m4r(;^V6+HOwnpkXa+8-U%R5dB)xy+owokmiGG9T7lu)(adW9-vmRcZ&NQmM z?bThy`*Du~VlJEO)fn&(T|SVf@v<@{=So;oWeRSH@AbWu>6HGY0yFi;!`pX6rsn4+Ma$0}__Xk$GRa#A z{m3uQ!nIy3eqv0==KX~?Uz99V%TIr5v8&Ez>g#+UE*^59!n@?*b?KUdeLs5{q(;B& zHL3b?A&m!^Ec9BQ%)|cn)T%O;hZh=1M z$K@+~H2TuL`y{Um9r(-yc6#>9ayhfzt6;=3zl)&r3bU+t!LmINIA?O|^TFLT>}PVh z&c;}jJ3p*qpgLFUHr&w`68?tyn1rJiS)6es*%(d=y)(wPkuJO|=3)kljOSTt=d3KM z356!wMRlzPbH9FE^%S-zq{OxCxxjc$|M_Zl$pd#h?#AZEoNMtOzr|w7rsad44Yy=Pmj!tcJ4ulJlu#54+l#8d{oXdd^2N^e=K3}A{VD0Fs2q;U+Lr9% z+dQ&MyutEOKYbMCpDOy0>TFDtRg;;C^GDoOGW^-}gZN9us?EMAi&ICLPd`1k&tyOG zi|j#X`XtVStXyBcyF<)E?pDPA#1Bn>;V-%ZlM2OuYZ3T=mkR%Xz9&~4>@5)g)v54j zp->#`Er6iVW{Ay;X8!Z*{!2XoQMlSC{@YMG0TeDzivQL_Kmdgch2p;nz=Tk|t_Mki zer0#RZ7RWM#o$jhg`s~>f(Tf}K!pc!P{oB zY4b}BLxBw%#43Jk4?}?s8i=Cs6+jq@z?)F`Ax{_zY|tQ9;cpmJaUm$QwNm_77tR5aLgDe)r&;mLs>ib#DS^%aL2%x;KHd<;bmW-J3ua7qL}vwj4RSb#DS^%aL2% zdTat`%aL2%dTat`%aL2%dTat`%Mn`zRb1pKg1m`9L#fPn)2+ms%G?K&Y8fkUC8Cvc(hD)=9_u!K+q4h3(MMT0sn`0Qk@ z?I2bOpa{GP-c5`KhwVVCaCs8_n~M7%c~Ts~JQ7}>wEG~xl#%INLvM7bi0_b11Imwl z2eqCOs}*$)-;~<9N9Wm-g{Qv+%!K>Br?uJPEzPi$h*=cT3ym#Lbhc&ZB zL6?^&=daRkp9x%za~8BO+@aui@MGl&Bk9Dc38Dw0S!YyG1zp^X(sm47j2G<&xGWvcRe7D$*ji`xR)sZ!o=f>+3lS4y#h#9&yhWxHCK9?~(LwPOv z(roPdr7zxeUut}2eeRgQP%A2%=iW8TaqH53bzhapcp}X=Plm_5rSO+bmz2NuX_b4- zWEvB-?WH2+>Eh5mUCj9=xt~5(I*-jB-5KX`>_mHp5mqn2T_Zr)M&M}Kt!CRcCkf}? zm@*wkoDZir57}xzwZo@Lxf?~#l@d`5q20|?bWMEw5RS*7Blrnh18l3j9%eG zCFgtZ?8+PXKDw?xta^&YQ;|D}vWMR!^co|U$Ef)d?c2w0G^|?TPnn2{R&4zB$(S-` zDpyyuPh`^s{w%)L*u!UgIONIM##8pY_U|nn=gOJ37DrE6cj-F~anDXH<;xk|_tctm zv+y3xmz`s7Qldx^-q#}Ygq8K)!GnuL$xZ_$GbM`&`9|vZ&SfNK6jGm6tmKyL+{5qb zd|=SY&gN?6N!qclpzgbv=Xy@o`u_Rp92JwvoaNKzmeN%`j2ybNQ{zrT{APJ<(i3+} zYUe-rNPc|mzD}(iVJs^7IC;F`1-(X5OysprsYJS$Qd_d)lI!j0!k!TlYY_AWQMZ9;v1Dse0f z4}PgVjRqT=b2ULiWydY7NUrPblp)*QR*ae_&$VOBKtXT@D@3VSQaDHk&$k zhKC_?m&LUH?4<$68jh2G2E#{duUJ^n_Jxl{#8C!5dwSX}-A=*sMV0+yvpqJ48NdIu z6MFkr-%hbRagR2=fNyIR(dy;-y14IcZzNIqbYEyl$fqK|h41dS@McBry=j#epm4-Q z<;uOFbuG#HaguX`k7B|}`|jCRfB*UNab23zltSUY;^^ksgX~_)PF)$5Qyv%E1DtJ& zJ@S5dp6Ob#^QH-S&#dX9;bXw#grc!cV3eOu`JVN~T0mK~Mx}>B$$LcLik1GJWc}9J zgfE`HBNs=ezmokQUf4oOl)4T0b0n zI$`(dJ#y;@!(H1Rb#3>RY*0$}X|_zV=k>M4bY)U{k*V=a4)ovV>XWvJq-z|yeMeaK zEX^%@$rIZ`Ox~NuX2+M7y}f^VtkJRY1>;!ehW%P^mWQ5%&L3*YpUZLp5w#X$hnp%2su|Uh?WFjP0c0ab! zE{oBer!<(~ZramyBF}ht%1VP0JhUt^&-)6o4 z>-9-AUh(-yvWWiG%k*b`5)F=sgD6}nME}-(^edAGQTQMu`ZxWT01B5j(cp+UXcewc zqCpuKf6sk|6K^Yf< zLT}GQgTuHG6gmTm24!3b3Y~#OgEB6NisLIUkc})jj0-`b6MAS+#)Y8xxkHFmP{xIz z_!k00fek+d#i#o)6xg9bP-wF(8kBKC6kY^HgEB616xi=0M}Zw0aug^aAxD878gdla z?;}P*85cQR{wnx9&~g)xpTEZrz(e85glt zP{u`$B8W`{Tmrm07`fH0dlPtdFmkI~k4@m!!N{#{JvM>ExQMNSGA?p->#+$O#zk&* z>#+$O#zk%wNo<13LYr0gKWC;;xetF{?4MD%7=;FhalvPWi&1D$#syKhQiuj+T;wPc zZ-T#JP{swV5_nc{7#BndJu8CP1lO@PZ!G__OF(rjG$`YO3npY0fj1FC5yU1!D1u)) z0w^fsg3r2{M#FMxP{;ijEP~%Uf_4#vr_GW*B>e}KTyV+oTXQy#;rpXQ5ke7!Cvkkv z0b4KvhawO>uHmujiUv21q#aLpf9(#>0=@=H*OnXF(Gl)+C zXL-}zX?ttpRmcP29Qokkol zI2>DVw^{1t)8~oHt*fJ3Ie+Yfdq0}Z+2&f$aF6Kt^|f^8IQ*2%hJNDbV}cvZ>^9zYsF&?}(j8Vg{(|kHJ?G0Y$D}=0GMJbX1#A7Znue&=AT@c@x2Zb~_SK3f z?!C{?(q~B|cS!!dO}KjD5E9B8@93V!jbH?`pf28k2lh1)f>l-3}YP+lS&r~u{Jge2_BD$V`j>c#Bg)i z?EBz!F45qn#%?NZuNNvEROo8e)X<1v8HH`BdrWGp^>^ZYBs7HI(CEdB6*}cunnZXG zL~87zU(zH!|K!%bsdsn6&!?K~xA%QaYqsvZqXoBIT~1WO?Q?uOuu#Y$>0Oz{YFEf0 zvBbQKjQNfE5tr`+9%7<;)dmtyGsdqmEc9A zkw9JzMocloJdI`U?mY65h>CAsmp+TKmgv=8AFyMOup6%!^Wv5CNo$MZ4Yr6WJDaD= zBpG3GQcPiIDszZk%!#}77QvP`f}YA;imA);wKQwEcA%C1j=jyUp&L1IDb!F@tvi~?{yvadlh*%gL~b(Xem8ykpgsHJIvuax zK(pMCjbmIFZ|8ariJKnyC^k>rw1CN^wPaSKh^e)_{2kj!U%un+Lz3j(ftHeYER2Mg zc9`yOVwW0v-^e!POe#`DRkK7KSuN%xK1iduuim&^gq-HwH7^&}N%L-T2lx_iOunP$ZPsd8zg|jZ)C)P2MmAf!O zx;KFmA9AZ(_a;!{Lu?hC)I^SM-J3v(54qK?$0qO=VB}V}9-F}Pc9C1%dTau30Y+>U zl=zUNTaQhk#E0A}lGp^TQ(?gKb|F^b8H_lR*hIi85^o}46-jJ@UV?!EB|gZr5SX4@BWt4PwAsfRUpJyor!i1hI(_iolx)p$NQbGb@Fqrl7_Lz9)h# zg6Kq$Mc`2IdlfhT^dI$MaLI&F1mOvdKfn@_!-FaxXcY}T(jEg2YeG=y_&Nqu`5-9# zdj}3{LQwqf8uqLR9130w$NZ-9{Z$JW!6G?d?1pBqT`!u(eN1bTGeTrfpY-Sv-}Lj5 zM^(*=J+h85YbMc~bEzI0FI@dT?HPKi%CW|TqU>T;m%Zb5^3*R=&-uwy(ZMRxTqkaY zP~1q0=Y3+fz#j1N>_Pc=;i{xujb;0@SmvoNXU-lsXddG&HfxQ7Tu%rQ6S& z`q@2qMblv<(alOR;saHElxsVEv~qXe?4?&8eW4a3V(7=Q<)!PYFEw6~l#3nsG#2;7 z?_mGU`}8-e8{P~RJI)eEJ*O5NSh`pyV`@$D(Yfo?zWr1kEgGyvd=DFj5kjQ&~j975x1o ze}H=VS8ZYK4-&0E$w?$z;%!(AyFVO=xf#`vkebj{Ve06==SECz$v(}Ie5?E7q8wpf z=_&dA(MFsOT^2jNABRsRCOa~FuIN5|F!Fv!V*c?tb_u(8)aO(~O4;w!Co|Ss-`}=0 zm^5*3l%y*y=*~^a^#a-shcp4Vqp?LjtuEeop2|GXi&!uldnb>ZbgN6*>o{So8qBDq zy&U}0mE1}9d-0c)DGEpN%W8@f`szaO^V1JJef4Ovb60QMuHCmS%aet_zoWkH%Cdjx zxm7t=ME${m$Z+e<^OT;?saA%sax6J`m;7Wk<{$}qf2&=lh2goyxK?&W;X`fJoU75( zvF8IT%Ef=Y|6o5qqdsljb@EIjN%G^#8CjZ(4i4&P&A&;QHZbYkjP$4#8qHmm^|-dt z+0ePV%A|7l4SfT-$S%$16QzDP8Aqlg{1_=NJz&(k%Gx_P`eadGnjWv5=Rrnoxor$*j^!{i^m>owSPIFYm-vtKcpCq}DovOP z#jU@0Jyb;BeXGshhTP$)`^>-^rTO}eHIV?ZhL1N_ek6V})Y&bEJ*8qDWD{`P=9Sq! z_n!%T#dbgJujaDw9VVAPqw>mnYg%QTETlil=s z$8XzVJ1G+_eD=un{emfPOi&)RA9Gby7~0$AtNgJSJn2Orb<%=9YQ z#_){B$pH3Pmm8_XhtHiL^}2X4>f%97i?2t0X-)4?|NIii(_}#0VSlNdb69Y~fO&gT z-I^RZW~WA>-fU}Rns=(%X{|Hc-eWUJa<-H6;g1IUgx)!@d3~UczhWn>&W-u4FX6wx z&J8v+V1BhnAgFVLr;CFqfr>NO&;X+Fo^K4;&;X(YO61^NI*1aebAt^H5ENeL1{)ec zlt7&u3+DB}hl7EhBD9$m{xcy0QFvaDKZ5CxC>nZ-(B>J&e@3C#2V%f}2Jkg)c05B; z6Yz9#2#PP7!cZ`;2VT?Wcr*+J^LhXkhxWK^UfcM`*CbBhHGyduXccvFJXMc_@Frzpcv1l|NM;9|kCbntT}gn~&u@R|srUF~{qe+)1LK39nH zhxl2y?oANo52;oB{(7s=3Q_(LTiv=hfx~@>KUZ+L4>`K^*aQyuA-B5q*aQyuA-B5q z*aT7jkh%mo+y_}Ov>Jp3hx;HXvjAq-!9wytGX^GfvTI z&g>lL_3)|#p%uKBDEn!{yj#gaiVwwbHTF>VPiKB&s&$-fbzD|4uKi}25m(JQfOeak z4!PUQ9g^j#^sCc6K3*_4dUBD&ZLZ_?-74k1x882YcbXezOXH8nF%TFZ6D%>k^n5HX zZ!IOwQ)_Yld&-gUsn>!7)*6*A`u!bM=IR|(>pJPn(ROGpsjRj(&O)A>8BrQ9Ny_h3 zb#hzOJqD?caglXF|DEe!0zZ>`cJBkeh-zz z)Y>x~(;6=7d|2}374e$ZC-X_GYiZ_wtUlpr=0J|vGP(G>#2F5(!j%?i+3z_LY)M5y zJr8G9#Xd~!`OtZeDT~O2JVZvszv&C(#-1N?&7l)NMMaO|L=$Om*l>0FMDb@B&XH2O zzRtX)mZjewDr6$!^?)Mc%rxC)kvfu8bIi!oXquVt;<- zX~~Ys_ak3ZJ2DD3rlgM5E^%?C7uJ_>HIgtMeO@j6!N}(D}Ygxv<+viz6yB)Fm zoIAd1S;Xn_J~pl;chRBplKs%G?om(detR2RJl=Ji9BFJy*YUyTZFeUm;kJxm`w23EwEg?qDSDS3G|;z5 zsych~wXL(G9~{_8PxW9Y<-2xE|12^^vBVcQA0&E?Y5Mb0ys<;=`)0a!C_jd4Xm@ey zAZf{RV0VB-sY{c%c+edYj{Mw-#3M;m%E#LpLs@#5@?-0YUvb6I`?*GKujU)nMM%h~Sn zJx4>%%KIe|XE#1gmA2k&XfMGxv=?<+h#FfRWqFiB#wT)Y&ik&KA%;15sz?&!b>SJk zNc)~>RWFVFV4KQAmD%+};pL4g{2PtW>~D{(Xk;m|lIAZ5x{x&Pf5=*_tT(pzSb59j z;?>B!hh%Ai?F*O1Chg^BcdF`|3}H{K{n(j!uRLpapvQ5ql^A{r&+Fg!yd^#$_k^W& zMvlg>z;@>$o735!V~Ks9V|jb89Sa)h!@aX*HoKV+YEn>no4!VDl8Ty+#p3G*(S^yA zx4o{gNH)G!tz~ZBE<=}=L>_kfsBt{)by9|npwl1aypA_LCdmv;WmnG54!qRfMElxM zWGKQbw|hl1Sz9sc`CVe;yvf?A(`EwmZ}3HadbXB}up&S9x2}o*`XWCTY`4JTFG0Xx zbk8QFt)u(vrwo6&J*@JF1=}qkD72X!3$|N;D7;dS1t+RO6fP}b!Gp0u6kg=Vf)mvc z6k6oRf(K)RD7?sz1-mUED6~r(3m%LOL7~m;Sa6~mfMC{%&Lf&wH2g(@&uP=JJ> zPz44H3XmWwh8O){Zy13$5h%-o0wida5Q@N?2%!kPi2w=;kcbxy3XsTAp!9(p1xg>t zQK0mJ90hi&$kDBP6DU9;x4Lz2`fc#=*Psnjt2j`AM2-S=59H{Uy=gNg`iClk-0GIS z2?vfIBDcC_Z^D72hsdpN*_&|S=pkaOpa6*+-MTm7e>%775}*Kye8F4yCQyJxZguP4 z1PYMIts?Ozs6K!L1xSchs6K$h|A&A6T%mar4iq3ER^bZ_QA zJv&IfD1FqoKVv>}dgl3)f=i@WCB`T877`@sCu)TCITvYvp1ZV=d!V1RFTz&fJfDWG zNKt9nU`aF4!@6$wi@iGip?e$e(+buZ>zGfxJi@=CnHKd@HUmq=9l}|dv)knn;{ij} zluFjNrYCK@_kUt(XPI{Y^qpueqc#oQS9q(Jb=N3oI-QTku8BPA8|oI$B{V zDF016>K%3p*Zjr~M8opINK>DeF8}}!fCO*kA?dqTtULrZ=3&iYitlkIa8eTZR^!Uz1tvRU) zOI7UU{oDdPC-;`6bfqjBDfq&JC6AwB-7hL#+Mzp4>E!}Sv{ zy=Q$arwVL#JctOKFpSiCdE^4q+&iD=-(tSYcF zar6x{+k4?{x_O@8GMgfHjp={3&MjRa`*dt=rgr$kJK6mQT@GDywZDGNo+XKB=49G> z@vi$}>Al5sZ4T-$JNmmD2d$(e2L^XF*rLvzY*dlUiSq2Udv$lYZ|geP;x;|cH7MZDEOkPEZJXNUdzo$1*$zRXd%rAbFW)$>6K~{2 z#^ll|9LL?S_`1ByQg1Sk^3maI$#~Hsaa)L-wx7)R(g8s%#C;xelOg4k`8=_U` zRYn{_R+Yw|49Y5+kn=R~oarytW}z(CR=NK~?Vd@a?fUNX4w@Y*R@URD10p(95|U(- zJ9>IeQ`?{TojRj2&^G+S-#ma}!(}3ohoa^*HYnHF#z_4Gb*{jtK;?-l_lHJ42B^@L z=U3%<8ISe_YMQ@UFV4D6Go~VRD$(C}$li7j`{aFdaqnm8+hq(@JeGL%jrVBQJHK!} zYuu4rexua~Pksi$RRsgE62p0Anb?$LJBc`r;$*QQ~b zB2!?tI3%>j(>89u=4M`SQ+c(Vu{g?IC#}=wMuqEn!}hWlF;;u&voe^zR*kY=e)m>` zY*csuK_lJR2Zy^RSKDt4ys`dhZxT))`0%UGg%?gAUebHy9GR+bbmwE={%YZKw;noi z$K30J=gta+1)2I&A~s^F%LiqcgoNgEciH(pLPcwRsBraNa97>tz_t6ZEpIXL2FFeN zB1R?bLCTzobD}m{+>(y6WC5$19@m)CU~Vu-ED~pfV>r z&dM=0Ro`nDv#?i+e8AZyPVRhWV)v)&ANTJ*!r(4r0{ql9M-cGlb3^ zS9;h~>o3q+F~hA8T&zF3VL``FRizlp_pC4MDo1vz%Be|o-q^K!2R@z5Zf&}^{lGew z+%oj(egk{Ais|t?%&B#AJJ`?T3VW*rBm5F@1*Xo4sKb>-Xby6${{#89vdK7`I3s#W zVci7c8woAg7U!K(tsmc%*W4>N_38}{>p1uAElm;U9$E>lqQm2($7nxz(k{>`U}l0W zs+JTB70yw{w2`)kcoE+|uzM+M#G|J&yZ8IOlIt{5e9~gqan-rN zs+Uvga&{Q2EKodJplDZ8GiRYZEy*)$@YBkDRqeyIyUd4{nu8R6$YeWPP>SnyFXcRW zF5F(TQo-glpWxlX^pjF}TX9BzCQLTL{dP?Df4yvi1JBpS{Tk)}vuuI`TW~-WE@0rm z790?T&qmB;%O*JRd~FB{t<2-V;d>B;%O*JdfB2964+MqECOEJI z2ZBOn6C8e+^UqeHvI!0xz6Y(sWfL6Oe*;0GvI!2nCK-alKOC_C27*Fm6CBuo1EO%* z1PAutKv1Y`f&+)|At+Qf!GZlZ5ELq#;C}1B`Bh(ppitQa_nVAN2!+ZfxL^A1Z`WT# ztiredpc)LKaM=V0s=*KxDx2UyH5h_IWfL5z218J&Y=Q&TU=W4NCOA+H22r>QfdkcG z#s393Ti7mUE02%!kPi4ck)Zz6;u zh)r<$0{^fG5+_0|s0V}o1l2F_yMF}!LZ&PY2Y$774Uq>CQ zQ3$EAUBFiX@F~7Hz7Z0G!st^7Y5w~A%}2!l{aH2E41t>RK@FFaUQPC>4~onZH5`?D?QJ7G zJ2);Gq9TZst_;7f{Mm;4A^E`ONkB;5l|ye7IR{FkJ~8@7V@lqaN9TCfrIe=5FZO7N z-{93MdFfXpTANrqyep$GAW`r=m2DW4UPq*8P?o~F^_s_Z5!tz`aNsz! zQln%YSUTWc^)7;*_Hmi(-INwX@nY(ziTI+0s57mSs$n{ruePme_4_9VwC#=?8h09I zjX6Wd=iF*l+Ru@9YKkV49+12{+rHayUYz=Z zSr<-|JfzU*L9gN3e)czg8rh#)^3(b$cXxg?r#2}ME)(}xXL%$NV0h8S_vaovXEzrT zW%7nscU&h%WnX(vWpLmXl{}43;mAWTgzw)!8s8&B9HOvazF^O3B>|=DS|9vVb@7Ty zg=k2`tHG8lT1~0Oz44uKC)I|E$m6d{SS_8Ut-(_8N3hHq(|kQV%pG>9xT$wl?q|jF zX}^co_fIs`DG#BQsYZflDZlH+sF|lzX+OXXc?wo%TQ$=54vq*>dxi~>=sa4jI1=9# zfBdKcO@!-C^D&xQ+Kgptqe_~fVDd*Sfv@cx)EVqg7JG=CPhw;ro748?iVB~Y%D6@u z)&0V5(WagFy^IFR!j%nWrv0`Hr=~2zFPqv^QmdL~YogowZM)q%jqLO7=A!*1U1*^c z+u2DGo_W{#LwiO28Y@K4UhNsEiQavUTV$S&`30IUm3^FBAk)d=%255it0VJ!Z`)Ga zxZJ7Ub+1%fxz>@j=jb7UUJ0Ci9qr?&%Id1tQ@d$w@4CFc9eHPO?PqH(Hm{lu<;c(7 zani&ep6%w8O<5Z{=6|E~!J*<Ctj6gO$`FAc7@KwkCi5{Em;xjXf6B z+5-kz4@LF{q%UxcjZ~B;SxK6^WV1(i)O{zaq7X0T z)T2pkuKqCd&309t^?m>4?M@lnciomAk>IkucSy_rK>X2bt#m6X_;d3omSkr+4W|0o zYN+|{DmrMfOF7vd*Jy3Fr}*|W^M0h2$yA=L5m!=v>YMWpHmPg9R~K6H+r|dR`mAq8 zuRJ+-UHp5Fb7qmVch&Q^J-1bH-2%LZ?h|c(4F>0f$@S%{+;`mJRB8;FBQ7b;(iNyI zXmInG3?Z6V6`!MQ*s;iC7GcGYaqv=#ehIZn`XS*LEp>Y@RLeUR9nIp=pK9~Fn&`oCv^=O^SqXKf+?>Od^pLQSS%kq;{~CoPPTx!#lSlWtROtn6 zQ3|FfPrqX)Rtx0Jw%}T}JJiNEcWm3ztpRg=gNvn6F*n&TcIKw>NtRJAe9Jday;YRS zt`JRsdH7z~o)QY>`dGsX7K6gMkq`@efn6(~YtFpWHdcvbF=FG(fEpRMv+}=i8v!j?alE8bdr_+L`Q0j0FH_kw z6F($hzMa;2s@C;}MZ4G~faGP_$C0wu1~-Az-|oSN6Pk1<~S@9Rx!Eq7C_ zOGv7n`*e@nsN;m^v8aZM+^qEhO7Yj+nR;&BE$R_pkDS}q?^}+W6+Sw2d^_nj9+#d# zh^T`99sGOJ^xrP3;FtT)YzzNKo4MN`MHT$N`)9HRLt(QhqQdwD`S-d#1cfRic=VsS z76gS#Ie7G+xfTQ^lpO-+HT)a)pV>Qvg(hnFfA@EEGg15BoRn~)_A9mfD^dG(ne#sq zwcq-v{z}wvq6qRd`m=Qu6~Z*7Gg|f8o&ns}!J{t>&yYx4%_g!ut=6>^c&d5x(?V8d zmPCM=g@wlXT@5F89pO2>s}>tNIvd84CzAL6(7yURsH9vkd3O2-lwY|YBp+UB!5NE2 zA8}3zLG^@t^9?WxVmn@Y!2~(eZ z!gcF2%;hf74N?wv-J93yI!t?kaY5*p z^|x!M|M+D^v;ERwe!Wj2S?B9=>er2~o3TksQV7YZi{MAL{>qAfON@a_rtyxr`K3ef z4FkHsASeM}|1HDc+>=94ynBJYXQINuITR3-z?T!~0fV4W*%EK{@19Oz!C2VE%Xswf zTMt4g?5r|8`uAu}0L9?dsLimT|NDmLH}#wl3X?(bR>7bPxWwk|8!)S2&;`)V>)9YE zAc8|aoXvY2VJH}ML92MbfuUf~1yTIw5r+PAg9}-(I1VzhB`OSv;4lctQY(;1CoG&zk@d{9m9*yorESB(Vwp zn(ztsKWjBW0#D#I0U|i;SqWH0;7x?AB8W{Ge0vHc2x1c<6u~bY92EgX zaKsB10YvbBfgr^s(p(f?PI#3Ct5 zhH71K>P6FfjNuQ>Z8sbXoLTh+Rn|-7%iJW_olJvxC2yJPP_TYp z61;8p)PeLgQ=&t?Dq|4U?MMah#MfPUez5#!;tk3Mw1-9v-Oaw?C2Mlk9zXL%nJt`FZq8n{i|Eur9IpofmB) z7hhM!f8xTY!5cjjw2`~`p|pSf=&NbTR21c*;;A&NMFEGeP9iIh1zqaHD$)}lT0c-! z^=NPUMA(Yk+?6%*O{~u2?PJL!^w^n+??|{WkI1RpvuBlrK8qRFk-Fz1xz($A`(xn! zutljs^GGlT^=4x9ZwE74ascT zFAII9JiOOcHHyA|++lxx;@C!*@QV)IIom}xg9f(2yI7B(D_TBVE!0mG zLv>~rN{OV}+>rd3^IY*wq$csWUXbpNr%ROZrB&H_QTMCD{^94wfAa$uD)b$70~S z?d35rCdx{hxt#>B*q@JHXZ?D(|yfbu}l zDP_4DdVzYgh7eq*vADTFwap9ujg)O%s={YCCSycD1=BI>Cz(45zL7nFXSV~ z)N)YN*h=aE--{5dAM{JdKlCihuNIz8y|H`S^>)e8Me%xZ+B>5+%2YR{j*5NuF4-|O z);mo3h(kK}y6M_DS6AS|=?i$OsS2E1A18ST?mm3b6hU_TXR@xwU7gZ}9kom%@*g$J zKPdBigOUb6xigUV(i|fHeDV;7Etz59!T6mTtL>EY3TtESZf9QE>U<_+9uw!J;eAfj zC#LXOw@LB)acz!rpTNAq-pPXFbt`T6T<=}bjgHm4yGW*FjeT3}y@aW+ce}Cn0Jj8d z7AFzt9Pet*s@nPRP7!!P6D9BCYqOPEP;FjbY^;?vgi8Io~nz0))aiUYWT*8;z2INRdt{%IZXOy37w0*mjpiHXQtz`RW@oPK#V5zfu153bi-CVSn|T(-EDsuDsQB%|CU+ z!i{OuW54c{PZW8?u_APdcm;< z{HkH|^PLTk``gJ`ADdDPhK>czUYH<6w7Nef&^yC@uQCe|Fb{*t0gDE`2Q?U z-t#EN-?}o|`aJ(HqmK8yDC2*PTm1E}(R&-Q-}4&-dFB`Tb8qzr597XUSjx zg!Y*Eg?}Fp|C!gv|3?wrZ%+taTKDUJ`nSoB0{nl}4PZi{h8_QJ!~Wk3{L%ln|BMPZ z?D#?ZA4K5}Bl>au+Yg1|g5h2t+KWVh!n)uD_(A(0ykKaHA{qrwEf5s;`6e{_?@5W_ zUPYi~%KX6B8X!Lo^z%*VsKAj0ykHDRmOo5>3>OUjd=okk6!JkJ6 zU4;nQh=WEs1cg@4@dLBNA@_>GiTa~k{J)(jAw=czkI`+6@d{)$Yn)S}HUt98ehVC{ z%#)uz(@G{6>RQjBduvVwQa?Dpx4oEnfcRy?g=h5qf;&GeGpp`IR*kgWQC3@u^NsY! z3Fk8|XB0k?-WuSta+~~>m{4Hk8*v2!hD>?RRPHN}Wxery!ie|0@bfszxWWUKo~2G( ztSNKY1yWDwCwO!BOIokHsHx?|eVm`mGl()X5Sef)>5CR~=zE-)(DLrwZ9~+#l2cr2 zE*oa6{GwNUH%R>$Pn_8l>5UGSB%@^uei}K?8~y@2m(uvjDKo5$(I(dNZ&94~6%J8E z#e+(e$1J4SHu7tTW;VMFS1WBA-iR2D_OCfi#f-3>Q|ZwCFl0e!@VNPhZPV+F6^E<~ z-_$lvUCB2${qlM^=jvnf!UvRUcbF<}o|sr*{plxd-n4b!M{fA;nwfXK)A^3K*hfzq zF^8GpuirAf^R!*RYr|A?`L(T_;tJ=AJ#TSTS7u#%@hPZF)kQDvn2F`_-)I zo7a8sGn+gjyWZpl*|;CJdS-H+DLcdMdi5N;$F$v3tW!z>T3VVM53UoK`pXVoC|u@o*Kc5wR&Vtk3f9mzOq_a?yz)%p|dWF0maxCj@ewzfY}#L z3vtxLQQT@Y(AKXM@DLdIS$IE7o1z9}jCY1bMGl=zAQgY7tly zPSdql+oB(4QG3KxkaO@>t}5$BhExqfuB*r4%D98M>IDtvUp#~=4e!6hlXzyWQ$ZrB zX53XkB%XzHL&Yu+M}usK)V|R59Gt!{L`BIs{30%kpYYrJ zo|i+!>n>C3kC?cFHiN(eSCfrDnS6#{yd7vXzYmOY)5H8n3;c_rF|B}*DN~5i>Go&X3dA9X%+1yi%6ic23{mWQsUP*vMMiuTCh<>{*r0SJ)O%TzK5pqNz?2 zHM`b9qWSs#I@j<{`XPqPRh1vUX82ic+^DjTDvPJL7-r31mdmrOIcaf?J;O^!#W4Os z-t24!c0rk&DFdMb;f$E+i`}l+1)KS=+uH&Mr}IkBRSZm=a#m`Sz;QUl8Ytj>`q+4y z`ue-1o{J5(K{%u-?H3KMf2i`Wks7+d?D;s?|8DH#Z9j#td;%OMS}}Ytvr^CAc_@78 zk{{bjDA`J4+a>l7hwT(TJUpu`C$=p7pq@1t`@o&-vwpAXn%SK~ndUx3(*;x?6#O>R z`LybEWAY(gH?v1Lp5lr8tw)_QIVK2%<3%qkd9?AFoaEFEghwtYmyMXD#fYPtxxBJkwTBmM%9ExM%A>{7^pn&U*U= z3f(i|+Kl*#XEqWn+i-bP)_rjFj>Wi)>W*oPy`T)3xG(QJ%Xg5NWye$gWNhf--E_kU zMH~OJnUM5r5el!lV{RrYY<<5g&-r5_^ji2WKOqJzsjU$A%H{X8sD)ADnlF7m4xynV zI~Py^vqP_?lvW>Z^Y)F&-m~u$Em`^Oe3k)Ufc+Mq(k;FltgFEn{FzAce>Tnb z?yS+9VFW0&=?(1;AwbasL|{=7WRnouXoH~$vPlU2M+=Jz9<~5f5WV)nQ1J8)qOgzq3ZVaV{{Fkd6=MO2BMU^ugd)f$OelhE!h|Bo zCQK-TY{G;h$REK?g#g5n1yQKiA^;5UhW)M> zP$b!e;a-uv=`h?Yl5D~d70H_p9u?xq0#6ujm3!M+I-iePQRgd*5C z{o2sNcC`>o7I;n=Sp@lnkwuVDaGOQ|V#)%Si~)t1vH&WK_SzuZf3S5KTomCBj{v$C z=&$;M5ZaJ|MMaQMLeRb{0f;FJ+^Z0}`T!OcK|*0d5iCza=%pSO6+t>-LJ?$>AauNq z0NA<={;qI0OW+Sv_P^aM^vC58410R|ZK+)T7#S<~hHMfgF%+3~{D>GwU}S{PE>r|A$; z?Xgm4_8FVzJ~R!sO4h}a#777_HhybmUQdp*ng0;tvo`p+8X(-j3)7Mj0xc~yUh(75r8%0=ou)2-|r zH|pVqEPVDB`9m85X)+?KRird4YjxzUpG;m8-RkO;IGfWn8J2FOhwiQ#)T~f3yK?`d z>B>EYPdKNzXkzdZC2PVwC%%-7oxweCuX-iNWx-^sXPxRt>9r2EH^b&Yri#B;$#IexEjhy4A`?w*R=wABR#GLyvDrK#<3c*3F7_JJiZT2_FVpcOc9t5fUS3a_ z7&kG*k%>Y=upQxu9jyRH{MzE~Z^KsA(Kz)LcelG+q|47n z^X+YyG6#M%^L1oVi7Svf8ecMP-6T)i=qU4Wnvq(>tkyo;rAK(NErRBFp6D1WWBTw( z$B4MwueMs&x(`zikA!`SB>VQR!qoq4P511btV7D($_pw}d5eQ|+ezOo^1?=IZj@Es zD-7Nor@1G?lPff!KXS_9*>JCZl*MAU(bY-y(dSbsRwoHPFWvSn_@?OG@PW2{-Ljm} z-Y;X*yluPF@!LGZYHJ*;=$%hpw*7O~4vv;K)te&xB;7%#q3YKYZVi39bX@ZSC!cJj zPCBJGwPQ3+W4Vp$vW}|tPU@>PwweZ}!QpQ^hY2KP!nW^;EY#cES-iT7M^74nA4e7N znCC8UK)q|3wS)w!5 zWGshnvM*j6jHcEzuSe0OoIW@~5DZ%;{wNA?g?%U&; zvfmvD8|?|6M3k%pBgPAub0_7_K<7V z(yMoxqfmmH>D2hwcLGanTml+g@de@A1VmRabhi7M4XW!FE8TakY-zkWNO@rMJwa&9 zwWPL{`AOM^lC+%=txJ#JQ#t#4JR19XkrC%yQcB9^A?FVNPc@WuByV;t>SiCWg%14JDSHcqjHNocQcbLVqFa-zoAfEVBYp4E`WkW(7gv{vcRp1wrBd;2&jHzb#`3 z3ik*9Fvl^V82rKCr;9)OlfbAL{6Wy;hM>@{C;`yphM;hN5cIe~6oWqqdfX5c?p}c& zHw1%((Bp=nP=8Pm^teG3Zp#RQ9yekX^th3ufX9s-1;h~KC?JL)M*(#WISPm& z$WcHHL5>1q2yzqQ<# z$H=4ZKbt_08*x<7<3^6|e{BLiZsbw-zczs$H}a_aUz7@{Isn=nL0kWFyUOc30kWg@wPZ0P zgd#{NxIZWee4`o?748oTf;Kn!jN$&EAZT+#P^dpB2sR!=P^dpB2z;X&a<6cIP!Mc9 zhD3$>gMz>}s$o$vpa`-F?hpPNJpUi&EqK9je^Bs`#^ZnagCfF+{6R(Z+{Iu1;Kzq^ zMP$az?*<`sc{N#Mo#pAx3XatxWT+1>axcJaMyzg$9Zqd?52dEH0fhSQ!u zrYP@Rrn&i?QHjy-F%e&dZ}<7K_U3cn7F-DFsmnA-iW35~lMi#1Dpc1GpHuW%O3jHm z#vf1gMEOXqOzj5Co02wcgW}1`Iom$c+@Ky+A{yJG8)1vxrN@7E+XUyn(PWMI^y$+` z+K#r%WlgzyM=A8Dz>Za4W!x5d=v&7A(@ig$W6%#hc5!)hP8qvdVV*c#px_=E-C?vp z7@_AeQO`sZIQZ`IGZHDht)4uxjqPT)BE7~iWwzB{2BBDDdBDc|_dH^ej-MsYtCpVI zyH&c8K*G|*I(A8YCS-4nqJN3FUz*;X@ys)S0uSd8ePnrGuv+sicAu}XO?UA5;Z3;{ zqa8wO8aaty4x#wABs;}7v_lxXsugx6s~q-1+Z zjEftj+`(opw4iEyBh!~>lcOKe>iGd*iO&S9TJT0-<*RLnLo&-Qqdz|rORB4rTqnzF z!o7>*opm3FafJD;KL^XHS3M`Ct~b!?Twy4^(DeL*Wd0FS?6zChq1~vk>h_--_ZNnW zm1VYtDaCn&R~m1lp~LS z&#<@XeQ{P5VNWUc2yJ3*S%ub1N&<=M#3gy!*7;xDBQGQ*&%F+KCh63cfDe*?rkCqlZIFTW7EYXH^?ETq>_O^ykMr>9rtn?rGW^mzs+>le14T~ci zl)F+gS+Ka3%46GP$ek6O&86sx$ynK+O)2(!M)KTDH*k7 z((&&PMVsjEpQ10yoR8qkt>;y>uUghxb5fd*y<7FxMML!Lla)&_ktw1hZ_~54 zg9>-OD$QRBUN0(7T5;ky#&*ulFnYc;D?a5IX(i62un1Gv7Duz6+!W+zpS>lcEM&e|@u6LolD8uiJ#&u;`=p+5 z=~`Ol>`rp|DVkwpiDh+huHwQq{puulBa7RPg5w^NMoqN37uRUZi~YRGafGY%JAcUO ze_v0O>gOpaTjX{b)Ma}b6?Wn|e}Agh*`1a%!~Ky&=j;srobqVJiA7dRI?HyKktNalQ9HpA>ajEXpOWC#c6hfTE6r~fz^YyV2 zoP&E;z39Kk4$s6543*$}h1z`~j(;}na=0Puqfq6WFH-?jGB>?XU6P#PT=htOxw<4d zW7o-#XQ*+JBH&FWVY&BQ-5G1|Dv`OF?MC8(A6DB%94oDlP1n3E?Gl>U@^F6+=dUW_ z+-byjo6pvhJ9nkw&BvEBVVfOGTt8Sv6ByP9a|*?1!y+`e0#MVt8jPDZiBpOcVa~!L z=yu*uQ{qnqsEY%lHCf6TgF-!&hydfxPjbcxi`xCJWDLxfF(;KL;fUD8+*yh~% zpU$guO=~pEdiep>FNa$MRD_D-%TM%A^uA$Mi{gBC7F)KNE*EFBj$b{-k%5tJF=G2= z>o)>T#=HWH+=8^a>Vxl(NZDCb+@*=$y+6WCz3s=$`jm~p?PuZUvt^XxI796; zo+gz`;w9?pU7S;X+FQxG`!89W)O^b{MPwT6*^R#`0+}SOg`bb z(gYOg_-FjpeqKO-1ns#|L=?4$@ zV3`{vDqM{Cqs;BMB?zMM8H<8{Sm~Hh`0)fBJpzde{p_3|=!ip5sGBGVI^rNIg#Ph= z9b5nWVx1u9h(l2HQ4fX!#}fz&ov|ngI^qx%I%81~bi^SjbjG3}=!kf;;3NP zH*$3U+4RRaZw&8O_#fS`{^)(K5-4yEH}ZSk_u7O4=WrvBy6?3K1SFM0i} zvx=WkW)%HbSoB31?|QxFE49k>kYN@4J)-;|aTr^-Ge3+#@bhK0Mb% z?AJ>I=4}smdRAqJwY=>*d}}Cg{aCbGV9WcK7Tm!KdoxWtP9s#0`wPb`f-->}0k0&z zmIpgk@~^$9c8_SJ`z;#r-D5y^EF%F z*$tFOGsy=e(W;1+kXuKYhudhLPj=+!F}++&5=xktxx#%Uj%vPo{`ni(FYg(n^J04@ z^WLP3PMbaBSR)szrfoiV=eOJF^@JMK5i|XW4n@i z?t~0#uaB+#(S(srZ`9?V)rmtc-4+ic*I&+2Nt64Fui$$yno-V@s_8cdq|Q-Sx$rNO zHfMiHeAt95WJ6XiN^WV}t(>0dCu-j&7L<6qad^{7Blp9NBW|{)%nF)$;yRK~76~lq z)&t%xwsu7(@5(!5SFCz;n=$tv-mP_Qx&7s=-;Vi6r|gwF0jl|s)lh+4ukr1UWfP@0 z?>u9qq7SXwWg}2CdXveA~bK;3z>gR zDSww>-Cz0C>+{s)O_jGLlgF8#F-2@lc85*%ik~yP&H6;tOs>uQEspLoRe!v99O+ZX zJkJQ5AF9WmiAad>M$3#HqI?mOQ)g3Kg0pktlLVWK?ts}(Tc3qNMF)WwVr!gr9!IV` z?lp_jh*9TNX;5QR2`+zLx)@?dlkjauQQ3IQ`DffX`}I=xr|udl>Sdi(Ic+?wO2$Nw z()3=v@{mw=I&Vp7>QPuJcnn{*ihP2xvu+Ka#dGNP=ZBerPrg!6r~wpeMrRFm{^Q~ zn(_^YT0z}(%z5AEatDm5>m%dsMe@YrjOjBEY`femUTjc}xRdgF`@&n&?^}hu3r;4X zX2}JHo9#D;?X2$*No{a zuW+L%+E4v*MPKfhx0gj3j2!xLm89$*A7o#dtF}DS>KGu$7q0!jGvqxjbA38xJm<-k zj_3Ct%e&ugJQ$33iM{@w%!5EL;(O0pJlsb3ZOrjcI&i7_<9F+x*CpfPxSw#b?`@3H zL67a5ALP0OU!34#3^cnEg?Ds3yb!A;t4qO`@*0N_Pi;8eqJ%Hri~?2ps>%6X-qdM2 zUI9F5(wa#17auF^TMaI7IZn===UUzE$$I{REc2;Y#33Vso8;Uxxy=Q2H+>>~7|&-? zHx;Qpq^Px>>Y<#K64GlLmNUjZn)`r!sB%ZVlbwin$o{r+xiG59-D);MxItQwK`I%a zqmYT=;?@rSIe~MF_|JwTG;GcXF{C(elM}`Txy2q-sy&fn`GzDTu;m@~pcmGdmqfKp z!xnyUe&~VVd8}=s6azA85m`Y$DWV+qb^C0Yl&>`6d(Np9Z=zL=z8;tK`?^dyJIofR zNVkOhv6HbuW-MT8c-_O6zv%T?^}C5xKmY6WM{clp^jh5d_9V5UV5BH!+PmXh{t4nG z8Ej%K4gX7SFsB0bM_tqZd8YyePVPVn{W?ki-KjukBqX@DI z(**-(U*u7dtW8j-0tNb+$fE*E3St!WGm)df!529SsJzHg;NXiK-G4TLekSs$`_CrO z&qN*-P*RYi`_CrO&qN#*^fQs8`_Cq@!xwqf{bv){;fp-#{<8_}@I@YV|Jej~_#%xe z1p1lC(S5H?LSTn4@~Hb>n|^)1@voa7QK)(^1a|mBE*Pq4{~Bbx@2E)LbQq!{$tDc< zieznqM+N;%$YX*>1^rA2iXkeJwFyI1B-w-^>i)9{^fQs)D}ro-3;#l(p9x0Agd)f$ zOelhE!hoWGvcLZ2U_ueBO_)#w*@VI4_%-kRuUCwbMUYPzSp*w#xXB>|TAGloK%a-e z^NJAoX<-nB3;#lYH2MCw@XyC5gh2Rzs{OA?cI0z0_(P0NPIPQ05#!~B8B(HRZ4_Lc z%#R2aF1z@6(_o#_^iZX=lIL=*)n5qHy{T))J2d2=`jrL|$J?Tn=`3>x=( z;@OLn_S9V4%(i;EJo?G5+Lr!C-=n8VNw4wN-M;kb6NN{4{;<0JFrlUW=YYyC9Ee?mpG8dZ#{}`=*EUDHruwepxIq-_UGgZs)tr z?p=pG%EY4QzRI*;H7;?iuTq33X9Y*6VN0kTj`sB5dwAW9YSNWZdeN(AFgI!B))hC^ z4oXGt=%~~3)Bc1pwQscy?RUZjU(R~23uMfaaRxlqjK8>crA|S_1V^}aIBmSmwT(&t zaEyug>Ck{N%fwf%JM}&BSab(>f>+7(?&Gq$Bz2G0ol2!+rhUWzRKd=xjJ{C+YINRW zutx524@$i8+qVnCq_lD`FhTZ2?nY@Eu&wo17ywuqYXt1iT zcoi-day>)K-4%PZX-4B5>-(*dwn%Z$4jDQ#?~1FV8?k#q>PY8vuFalJ9*w4wi?|_ui$A}zymur0KF0KL=(?3>4~cib$=eHL ztYRDyfv2`h7z!J9O;IQk5fPg{kN`SP=t)VF-^Tb|C0uH!ymqR^4b{(;?Wl``6_2f6t@ z&7ZucN@JC_C7;m?o;We}8P`r+pJnjz8!J4g8 zAh3CXpipU82&{L2pipU82rPGipipU82pjZ6O_)#wZ#qmU zf^5QsBFLs+dtumy7<9|PM~sn0kWUy{1PKL~ONBwd47_AaD1w(ATrL&v*v(n6uf8%;hnEU5tdxKK5I1a;t_Y=nlpX_Pd zUS5`6sULgEQEb*R;4EFG#$#c*ds@TcUTZCryo9LlR7C*q3Li~@(u}y&ydo2{vd_!qxALBOkUN~ zxC1@59^|2}AM|9=0iR!qBht?Fugea`y_H)j*A-Qe)zjrz=oderMPqYFH{9}Ug37o1 zy_qldg}Z7R45}|d#6H)0u=qX4@}k$I5TWNtR!(aJc`DDz;#lD{7K3TVLlO?(wlX6W4N)$qMKG zrv6+5+@xzl&ySCr-1l0Vj2&CZv#H9sdZ~QzO>bMs=t9QO6K2kXb3+V8-eKh@@dC)n zOZZammt3npk}=|NJ?ZAnc@*cnD~W{UkNWcXh*Y|ppDk~iew*}ZcHDEKWk~x$ z^@P)OqCCoKijXPB(Q1mQfhsYh+p2|15ygYA*Y<&L*7A&?B7V;C$d`tUIG2)`WR>(} zeccTB$nPeXw@YXGK6T>H*q)tSjwJQDsOx|2$TN>bnlq>C?*vj*Tx=t^4-a|zX7RCy zH5IwUT0j0t#TDc765S1~(sq*AcN6QSa$@Blv(zm#eA1csh%1iWP-J2;SH2{9BAqTX z(#gbZ@MCKRLqHM7jj_&YR4eMqlrz7++}IIO>o&3>8B{CxRz2s;xP3@5Nvxl-s`5am zq#F(?*)yRFsY#4Yn^>tqqqnidTJMl0Iho--xGn8#bailBa6Np?a;f|?|xkBVV&9Iea} z`tn)ZvY#=QB0b>HUbxQo`ug+l^btz=1+nK|<<06jQvc9Z`5F27ehXs%8(lIi%_g|YDhzt?U{tuICJZ(e zBS(Q9G;$O{HetG8;5>#rDuQgn6cyM$BaR9-6(dK1?K5%|K{jEySI}ca9u+u`AxHP0 zO`ykyJnH_l3G~>IN8NumfgT&;sG!G&9Nm95fgT(3sQb?*&|^a$b^mJ<*ino;>i*ZJ zKRSvrY(p^ose>LH;tNK<>;L+?!W4D?*#tJEA-~}LXA{_v28jw4@`S;LGzbb6@`S;L zGzbdc{D2K<$WbI~6Fe&Du|cB39~0=YK~VT(0vpmGD2Ay2ei+2`mxCcHf^34zhr*!8 z23{~G6v5hr2}SUx!-OJOn=qjWvIzqUdTiih!k~5vgB@xAfJLx6VT_9)p)g3Lpv?we zGA0zk)(L~k`iIT-FO^jQ$>){-Q)R{arLvl+YgVCE)_2l>sI2m_#q+V+|D&?@HHAqK z%=1KElw$cV z(RFEYM4DvetF(IdX)Ee}ryxSy?79V_sGX^bmS;xW89A-S@6|kr*jd&Igqj14RC$iR zpwoT5UMcfgA2;4ypO@y?YdV(8E|;XljSREx`ntCn2EG~$y>*;Cu+2BomUmxaQ`~Tt zMTC;;W#cQ89*L!vMmxNB$tiJDhFfO$B>nlP+0vu5{kY@x4Hvg~TH>0%)s)jdB6)vt zWP;X-2d%Eg+#|`%D`(fQm!JC7mnyZ{dpqB)E7Uyk_}nf>?)sh}{;a57%0xi*2P(I7 zR*{yibNVj}6!J|jbWZekQxW|Tt{Bu=^zVPy`BN*gbS_cEo32Nn7|)GGq>jvwg6K#5 zo0nJ5RL>tvjxo_~dT@N{{N^RGMa^05d}C7WdrD0kk9!imHqTnSUUTuj#>+9dWOHMt z@x~A9q8wK3^TpK+FTEp*Px{u~y{jG5_DWw$Ma30CJ?1nUD~s|NRbq;%59o;w=7^{Ht*x%mYh%+C3#f=+ zZBwDBztNN~$wO9;*F?s6iih#F1aHh;$%})QC)gRM^+IQtrhZO0tJnS#SD&Oa1qvvg z`}yGF$sR^?^g@7Erwz7nj1lD>W%M z3ucJ&pMH`t>kyy74He?HRbLyyXJAsYzK@bQ6TFH4OIyXEoie#gs@F%3mQ>I1aWCx< znZ`sgcHfQG8Z&+TFKxAnl6EK)t*xdlsQ=PdE$ax=NnPche6t@T?QO3~kvaV8n|tO3 zW;{k*Igz4__&!3_?X-*j&TS+1v!{K$oUVRKz(q@|W|c{^S`~I#UBPOUHpL@K_X+$} ze~)11?%MN*>Z*TC-2K0=tAe9?g@1eh2y|7@tp`yI3Mc5+gDAXuRTy;ZK@@`|3%d0n zia}Qe-FgTL*HyvRRuILYtAZb|g`jX<6>M#Vpm1Fk9Mua!;kqg~sux5t=&GPw4?*F& zD(KcjP`IuNj_QS=@J&A0+6tl=bXD-P5T`gMF>Y(fzMYfAqEfmxzY=F(Fx-pwgWP#8m^MLZv$q zuyYOan4p^<5wLR&If~>>haoD0Y=VnuB4Fnlc)^%Z1Zxu}6hSs&LJ_P@7}PfruyqZ* zSxhX%R|E11Ba2{pf=hQIVDlPy$(T?C2?baEME+=A`dwr>%ViwKLR_lXDw$BJI;@nqg4HC29DpK9n$vJ={)|Tx%Yg-r2_zzbET*J+)?}4ol|LsD{9^;9Ht1=4CT^UI(Rv z&$BzFsNYYS%}ch*Pp%)-=}KK&)_<03dPzU-g5)Sam(kalbDFf=PLnb60rV|KyR@sd zURDBiopN8c=6$~qV3AZ9@!V)B^3Qa6tEt;n;JbX;?Zs8n0Z&bCnrktwBf2i1*spYN zmtI?_8hRpGF~_SSv+dfab%#WGoq?gBZx)LPHSyWGI>SAow!nY(A&-D!?Rd|Xsc-M> ziQc``VVoEFU{yS0OBOnv7*s!XS1!qtJQpSS^sZcN&7zKAuIguZg&g6^ttJtdCBf?* zNeRRGKTk8bx$GU!8{BfC(Fya;FM8oNoa0^annL4{wj<-upgedp7_3P{g3#0}pYWJ%%S92k0b@Jv{8>ZdsYhA1O4?r22`NukPl3InmN%`P#QeenuxL`)v5ol3o!F?T9-0)i8vs?9_Bt@s%Z|1SOl= zx2K;dJz|#}aZgTvOLG?Q^pVfWPXy0>I7mQMq-R~(b}1M8QDMT#wHK$AD30LXR&1;w z(|e%B$g6x~gD$t>;Mv<#t(J-`?}AE|3?!>wm-!!wBQ(b~zAud1d0u|$YNQzI&4^x# z{^^(4=dz^KA6!#-iersxSS=-*uQxIh<&^eT2s|Us#CN{EeW{q2D+}*P78T{(3xNe* zEvlZ6`p=2CiH@Xgirp=!xQo5cBI_Ocz=&x6A@PM?#o&3yZK7u%#o}yzrHD?PO4aJX z+B^88Q7W$Ug|q1SfJo*Cei_%FTOOs$yL+i(!Zd)yC#-X=R`7$U`C-wJE}n7u^i}~| zBga0*5)x{^Q_KZqgz>_j$r?gN)gbQ2T{0YCjuJtAPN^5ML=U7MB#Fu$nV*%_Qp2|B_#=Ye{ba= zC{*qf0gZVOh0A>+;G|dx3YGgrKw}<)LghXY(3ppyP`OV8H0D7RF8BQ!o&O(I6A%1@<-&6sn4f zfRkb&C|cQoMFnIb5XB(8fs)^VZDA=Y3hQ)w_h8lqxV>=r=r6-)1j2_rh5c7;Y-P+x%%6Y;k%C>0gsJoc=LwVb*j-)PPS(?!G zD0bZqYI!c>s|rz5kG`|^;^mjsMc4~b+EkG`22VWA3e0+JtNz;CcW;1VPZBTb>_hXM zdn#W=34FZWe;#dS%VP2Bif-~mO}ft>_8R!UP`FIueN#O4wo$EH%cSXucHA9OQtKae zw{q`PT3=~&D%o<{mUb_~U0ue0KNRrwlW&BOmpT6@)b5I(6bc$+i!_`Iv0+xCvp+e`-?;wh`|Qnn#V?k^w6`kAUz8y}79m5-Oiu9M$=0vZ8myq|Vj+ zmT-m{o73DDCue`?lXD)ZLB+?B@|hw(`-sg9lP&m#;h47gS|9LSUX(m(W>NT3=5>wB2hWPrM+9FyYw!A9;a!S!afag$3$@dY%q_Bc z_Y}*}dY=4bbGc&TYh|&FLgse0J0#6{$5kar0uRyt*j`#~xOBOd(qV|O(2nO-q~2ij zuw2wb|I}0AefjBx>lYP-u$SI&H7|+Bao|_e9#Y%jK3d_dyUOBLdG=$o*#i&OXCf0E z_e-o!Q%LBw9^F3w~QuG z`r?X;S!4Ip{T(CmS&cQZxbJlRtL2u;%Sf0>y$|7=-6PfR=nFcWTzUNMu7F}_gC}Es z-m!?KuP#Sh-oLlz#J15M(4iyHh%K_>RB*PK%AwBcsmQXYYRy*i+66DtL;!J5pJUHykMPE5Pb5-(sLEP#!7xNe#ii-?kKa8IG4tz|ZInSa- z{f(YFj`>V3G4!xD@{sZ?7yLO-J zojbF6qCcEJ6XH`*yk(xWVY&$kWPZ49Hgs8asTDt;epM zRT7t$J6xCelHTo-6Rm36c89+r`onL&J z{1D!2aLwnYTXofR@lfWjsqdp9EZ>FTv{x;@8$$-zEIQ8C;@&mH%wM>lYE{M8xf#^R z4pq#5AL3Zg&RBW=tVmXz`p5wZ6Z#LX2YA2Eaiywvdbq}=^M4G;bGw>cp?1XgT5~f= zeYPa`we^ah)K|oHDz086#J@^++TVLDZ+2$r?4T;1SA`3fdr>WlWY(J6C>lTVfn_1} zfkcWk?BD;LyF4oH?nj=n#818Pgb7nTdj`6KwUIXInTAO&(D@ zdL{DuxUOf8d-~q&9j&L;6Sk}g@5h1+iDH+XWxWgUUcJ#2Yh53k?=Guy%C-T=iMQGQ zrXy1^M{K|q^5!dXE8$if+~rb!_X>4wof*!he9lpgV@uJ>xz}-%UMc;x9SgH;qX0qB zd3k!mAZ5+$mwYdkOh{_&&!lz#f7HEaR8&dV_e~Cx5fBgr1PO|SZgS2^L4trFL2?ij zkSLOqL;({~f`AH=BxlKB02Rq1pa_Ua5CtUY(>m8&_c&F(=8VsKul2lZ%?HQTKX;we zyQ_BX{jXhVsMiUqEEzOWF`9`t>`cx%DXAn;4;@HwKGm^C;Op|xn~=!7NJZaPTq(L^ zort{mjHBYHEsL1f=ApxN3`$djaXfp1X>A>=w5H`oQtUOEV+@J%bjQ=rSL>!Mn_*c0!hKkx~m z|MX-9FI=9GZR*QOx(oY9NtS~jPKFMj?m3rZc2Qj{dg``*3H`A{GX4pvbXK3+)wAMO znn?*LPb$!ABnf`PyEXkCbIqHQ{^?9uc|_uu)AIkD<&od)-YWqfte5yBJYyF;Wn%g> z6l6zXQmL?*5ZIlAD7-ZB9^tQ(GtXNP`0tB%N zEr>{f5+Dc)RU%7(5+Dc)RU%7(2kRjyREaEsk#YayFGmd8Gx$f|>90()}^3N3U=fCuX# zD3$>u@g{gi;FrQbmfx!=eBt<6asQ>d3=S9@iePTSk~jhD_YkX?gE6jOqR zpkVzTf@0=A7z$(#K~w}&A%dX@ya^i$WO_iWqVP2btlxvE2qw=B8!&>oNd%K6f}sfJ zCK0G=Kmx4ag9Aom>K8Dp2<9eiD1y031QV-3tb+A>aKIv%R$(v{fj6Nsub{&Qyn47Sn2)qdmpAo_OJ@|&v@EH+oUJarsco+iS^a?>S?E+x034u5L zz+fy`zz5ArU?fuzv*5vcx4`^Q%KewZ{+~)=VNpp0 zDfgGgdgsT*r~`*fYt@}o7?Wr<@A*3?)oX-Mn!NF%@)qW0U@a=kKb}0WKDnH5?Wkl= zHTyB*#nu~3360ynY*(cA)Kc`lHYcn7h=)s0Al#81L2&h$qiY%E>nC9qsYJMIUWxdr z7j*e}@z+=rnmZ>isRmhw5^lS>`(^gzpQN>@DbgMsH*7h7^hp|n!1!$SQE%yS@0Ie= zB_FZ4yx!5y&MR(q%S9#5&X@g4bTen8t=nd%?|j{!`p7cTLdMnDJ(cCIkvmPsB{Q&s z%aCQwi=U4Yx))*|{A}KCznTBc3(kGzVF^@LsB;AK{BJdt&YmjsOF3rr%H=3m(v#`i zdu#m16)PeGZCGO}QiX^uWqSGO*Vckv3+t)ovUrkehf>7_7@r(&IBLbJ)hR?cPbN_M zx^F6XY0JB1!M(T7j|(Mmk}_%LMZZia$?caZDZ6I6&8XDw#m0HE&8iCBmxnUOOPrOH zgil*XPCOo4FQRBX(3JJ`g~7LQ5f*eo{?wy8>|J?tZDp&;J zZ|$+CtX?zm)GfA(XF3v0?f%HkGnmfl)}anoD_Z=OlJln9v^|-(X@%BFc9_aC(>a&; z4VVvlWi*{ZX;Ih3*m&DXkH6kaeL6BpQ$HZroKiM3lFc{kGk35TK=H@{_CQ`G5Pm#ZbZbrAL_e`wzSCawC z35h#5u(*0U0=M9ZSL5-kn;*;%enzp;C&%C(N7IuHfJXJ2p;ZkYn?l4RJ zE!u5OonkI;&&V{z5Eab`?HTs7&h+%_$>#$}!jl6vlnN&lhq&+Xox6OpcEvq>-yQsu z86G=yZ0+QqM9A-zt#z5;$Boo6#`7_I$+YE(^*!cMzQ%NhQn^uvBIki739U|?wKLtF zu9F|0YqVi})>wSN*?Lt^hRLa|YqI+GWr$V_kdDjl>T<*~55+P>&_C}CGNw!h+%(qIsFp7%4 zdp2@LM-Oxq6)b&4-tHA+_VrE~MRRnXBDCR6P3`O8gKW_Ddp%$@rXPca zjTjUpfvhN|Hy`9-K|vCTieNk)hJrgm;9-AMGGHhIcM`{RW`&^$mO3$bUhU`3=+DgM zkB!xTwx3uxR)5NuerlN{f9jTg)iO!`QX%~B#!3W9M zY^V}Fa7{QKrk!ECG)7=tL?_|0u87+qz^<2QTXs)xE4bhOSZU2v$f^wGO(lB$^79|Y z{rL{49-JCGcg5~}^=>cosVatZuKs29CGj7p)K6zRhnbqR4t*eel{)<3$T-oPyBcj- z>7}i66lvdSNj78pf;h|zR)|oK3^2zp8|`?yAIrnaxPyDgCO=Q#O4;}9G<%d21TSWDiJ zXp7;|Rz9lA>EZ-uqJRhQ8;wi=cJ|m_E9kj=JNz=$;#2FATc6jz zmOdagJU&D}U|2WMw0h%AZ__;Iiv}^XPfF!;1^Gg+nOg=kzsqTHqzx>capPc9b_ibM z)d|O;;mao~os?dqIpmE@ zOL9buk^5LX0b%t;e-X0zl_qJc8;QnaA;U|G;xlus-Wr#_Q*gZ*qA}Ze#zx_C`q9y^ z9HP0$gfm#-4{gYH4B$@b*h`^36oip%J;8Xr?Eb`EHz|{v)lCC-1q?V zldXY68oNg z8e46z>UH*Gz@+Jg=ckh|9+Q+VsUq^TR52z<<2kH2%2K8i9CCf=rhUlM=WOFwk9_re zbl}vnZx-+E7N5_v(rdeGsXQ5TW*K}CqRux^cyN4I*KK6HI&$n~aRAjo_^tz0X}|@O z3h^P4)A%X=3yG572(_cV--w`^0x8Mt(;Rhoi=%mzMXqNI+6|}nl^zo>7v?;qzB0RO z>VKef+4x=dVCo}^{5$#|S(z%#-WL~bIp*Z#WyC-9yme;CC-BWwl?uD)bS>i^mQ7*H zn4>J~6{ws|m%+L8H#AD9LbhUp?e38qMz5Z(w(Wghe)B>~^n321fw3kY3K`+xJM`U*WP6rq28BggF~ zPEKRfc4Mg5z?b!zS-a-JRkbVgD0O0{PVVcMRYHe1%lcR9`)C`V$_J469&EhKIrvs? zuTE$5WdaAk8!O`%Lb!}*hTJ{Si~SSSq~8N{&ysL@a|@(6nLar_mVv&S(SLl*Oz3MW zw`*VOVI%ZavAN@Me)ccAgb#g-K14gNKlS`$;D}88vD_7jR43H2CKevQ6W@%3adAk* z?Xiv7=2lbQ(o z&l8H6tdp7;`_B`HKmPdFeG}&YB-w?`x;3Q|j=`E(){QK-LFPoB%?z*-2R;+VJ^h5~CL1jQ^}Fceq|At-cbAqk2TASm<%qa-L!fS~XQ z6a|D<5EPo9mjwHhfT$Q|`vH4RK$0DT!rw3`PJp1$-LWJnPJp2BXd4tKfanj^HRM@A zaRP|`z@RJwcM^rlDgKC!{yUBQ2(A7I1+j-#|4CE-5nBDv9P!_rwd60?0sJ?#5);SF zGEOd@b{_14YA#lub}Du@=WXqNq`NP8de~XHQtZ))jx!qfz~rn0=8P9PuW6B!zV2xL zYT=O4Q6>5@?A`l^Gg{UMlMgG5txh_$M%ebQ+rD8s_ROMRpbwA4>U}_CErpd+BlY1G zJQ8+_L$-AU@vqyYZ~3wH8<1F4uXvO#up~1kliQ1$2)++88o9!=taGWku{nOmjGH#W zv%FA>zutl~qlUS}tKnr~iQfLrJLVgr3EZg1o$uZ;o?9tL8ICJV&5=gGmC;g>pitka zIPrQkuFcYyR!;BJd0X226@nyr?P>I@31Z!gny3i=zU+09H1qpSr_}_Q{20lfy+3ki ztDufem7%3pao~O7Ja5g{3nJTU2Za3zgh&Gpe|ovsa*W7?$4Bb@W5o?GOf=^+r_DrZ zN>5~ro}sAhV_R<@THCB8OwY_*F1C2#@a?nsz&N$zljB8Q_oG7R%=#I1 zuGp*F)NWn$Tu?Z^yC{13Y&_Xw%%B-{jIe|XF|Lu}fa_{g%~{O@EHh<{1P}FW^X&=>dW}w`CurcP?#Jgtl zcXi%obqdPiJ(8WdUG;FGyvyZBMXVGR=urxzCS2Q}^yHOsP3S##|z&o(G~Kz5U# zT0xd*V6Svdrl^0@#bbo2WXEhQ+zCCj7UwA*JaNCt*wymfe$0K?VcKrvbWWO&QrX?J zU;VGd%#63>O>9X?^wsD0s_jOSU8z3gJi+M|vlXIXPQ-KnQK5gNH}0Mc>61iSd4%ya zH^!sWn{$XZ^lqu*YMJFkCHZYGO4|B8l;qEf0}!KkFgjZ zQS;SG6@&Q&D|W`{>uc%i`Y(=#7Iq~M(d?&Eo~dM(w$p2IB#U8P9&tE9SG`10o~7+F z*zT4z@>D`oyJ7y;qHux8g9Ne8b?Y{-=1QM@&$=ouydGZK8sa`9Ya`e5<5t7?OtxJ2 zgxYJ+q<#b+o#hW4yH)rl&DhzM{(gWMzduLxZs*+f_;U`^dP)B8x`W?uR zb}JLyw^$e7DvioM`*qtY)@Vayr9t!6dI0&hE4~2pj@R)+U}*cR;F5eYdS8JI?8JhgN&{j`9W++>FP z!rV0n+D+7xuWyjk1h64Fu2#T2;U?{k00en~#%l8W8gF&lst)wK_CkBE-6)%#Y z&J2P=%UqJ+1uh^eg6Rnkc}?I2E+7g&JuitlMEbYt0&*1GvjATXHmeA{2^)&Qo3Nn> zya^kMz?-n4;1(F^YXW6vh`$_AW`-OE6Do)Y{QKSn-rjXWFWNv~zE5<4kZ-PB521PJ8K|8i!Pz2tD4Mi|FVM7tbP1sNb za}zccfj4185qQ&&SQre5(L$gq19T^>ECPRmcBjFZMc_}^Pz3%Y3M*M)tRnCy5!mqw z42r;?;3ot-EGePu6UJ84H=s%T)9|)fl{_d}S_TL1NI1)w2HRID4 z#;a*DQu&9Rqe;}S6uC}lyEtS>YG1Og3M`XiRm-)lrhTvc!u`{7zW|O_o{PgPd*|r~ zBads0JsxB>e)WCYTb=2qpG?Xb$0%^wD^#S@7VX!P(pV=Heznv74T*(xF8%Wx{Obx$ z5#RS6*;$jQ(++HCtiNH+SIOQYR@Cs|h|z?yL1Jt@hp~2(>!;mjN7GO0P5vYM-XA}8 z)67Drw{g>`+RmItEi=;QU7n6F_kjGqEbck7GvD10_V7@D6HnAoJ`kOk%F&WEF3)6g zqO$L@;f+O}Y-R!Ps~@uK_N0-O)+szK7J2FWQL;7YDFa>B9W5@)!0(sT&g*yYtsnKV zSWhP_!6)vMA=`AnoOoM*orLSmYq{mu1+guwxckF8^A9}ZS!0i|*sCP=fp4^Ob#$+! zmcz-y>2VxElP$u!MzaEWn%>2GJr%~WGR*;+c_b$saO{Z$?L+rGkih3EJ&<;-Vy5|A zYzx=Ooytcs_K6>nye%c-~Ve5+@ z#6M{Ca_WoVYt0Y|mvos)3^H{X))EyphlmEf8{85sok5LO%6c!PUVf3gfeOA5b^;bd_=<+^sb+xDC zbrKCKynVD^_HDB1Ibn8XH-4()J=?b!d)6Q_s-Rt>rp!HpZ&iJw0>21;s-EwU!GC^_-TyF2 zXzT2F8Rh-sFBr^aNUT~m?cSs&gx+*svJSVnRD0`6o3ZZutxEL>Rz?cV%2qpuFBkf8 zrz5xGACX6W`=~Y~nPoLRL3WQazD$Mj(6iRh99Cw% z39^Bdge`o=mvy$ipV&%V+N_Nr-Am?J9=0IiQJDLR^^u={Zj4R9V(y9VXxl|H1_ge% z(eAcyIi1v3b!V1i4eP$rcrtb2x#VdpEry<1{_ zPlN0;{6}jIo?jg4RZJS`HJqPuo09(8pkR6~x7tUpk@LW_#`bc-Y0mHh^@~9?14BIV z!2$;>Y-|L_^*V5Lc7!N)zkPKM4D|kLl_YgzXX~5%+*?1<+x1x)*2~@}CBJYbUek1b zVwUx`ESl1YAw5C9x4qvDC$w15O5w{Zp)OHTwI+LJ|9z>Q4_I#Mg#@aF83emC8=04W z$$2$>+gnCL+LLrLP5YOmtaD0tAEMtf9@pZ|G`T~YGmt8#&y9DUoiO`zUnSk) zX(B4ywj`xvSKSU!CAQgfO(^2|1w%Ch9ryDVW6^alez z<-wH%_pX$dh|Oo4Rmz$A&W!m?qRbLoWUL1w>U^G%d?%aHdAo9H>OyS3t9}04Q;xdo zJ%s1N8Os{AXGi4P9g6Q*i416EUY?TaNtq@;9Lm>}$`qFzytBubDbw^24x=w=kZa`G z`DPrZ2XdAQYnv+EM%1@+#7Q1(d^jG){~*2bJE4fkOFOrttBye<4n)1O(TNfxESg)p z!31hX&cs~1fg0B6!=Hn>mWa8|FywV5_^aVf)>`w9VDa6do$bPGqexFXk{$n(L| zK|IU*QbM*o?>`gzeirXtG_(AvvZ=0EbBa_lHaFvu(>*LL{%0Psi%*#^s?6l>P)?rz zHYCl^#fm>=m2qKT`{BrIm86}%3E#fA;Naun_Qp6siXxch|F5y)KNdy)Q4jUMTbV-( z0g@%mU;Ws{{9}Cu^SQsri7*tFCB}U2?{Ojog%(LLpZj~92tlD$9n9zc9w$OjXnh6q zxxeo_U?@x!hWXrIu?WAgxN zmM|~yuYUHQ&4Y-97y`+XOY-A$<3iM`8#^&3`l?SZ=kcaZh-$M)C^!!rEvRNh93Cja z)AZ!qTK&pos*%KCK9BqKRhG$+;&e+EcacXAg=r%&(nEi-9b5M4`-iS z=V`NrqH%xY(dO=%6B9XtL1JRFi^cNXGPk|)2TG{E>2@mK>^{^%RZv%bYX3sB}L>wX4t=aCXt|pt89zSiVwo9+3k(bl0!fPt_#WH_X zc%j(x*gbO>iKYv?@r@}%*);dG2p@YqIs2xyG@H{GZ}n2@^ULz_n@r!BcrNFXOCIa> zh?in=N>E|;63^<{2$3=Vo@<=;ZcpVDzj)F&b*_CZWIpp^)GYUDGxg@gh3@s&&gw>p z7MtxGB5mmCCwLqYs`rRM9RFVD;`{NVrw{2HRJ*os-#CwHF-G~JxfZ(K{C}(j`Rggh ze^IE%crG~M01^*qp&l&+C_FI#+K-vya^kM zU~a;OBAA=7pnwzt`S%J)A^ru5U~a-T;NSNqKnn3M2K@Wp1V|zNh1K8pCO``DFRcE) zHvv)z9ANu;7#zl zw=f`ufD9NLiXd*nh9dALY$$@c2}|lq7|=pM9u_N$V0OaFA_$$}m3m>|(j3T;v7rbY zN)*$J2n@u9fgTkQt5{G#4Dl~e1P&#NxmOS}U_c82vx)^p;84(>8fala3jwnVYsY~> z5xA2m?21neiol!TnNVS<<^e5^FMHBB+0p+A9~NJ5O~SK``&<#Zb#(PLv!D}1xUqvi+qe}&(*6aQAFe5>KtB)2(8wd z?Om1d`!W;~zAD2P+(UQ@f2iFz`jz;m_^lT$Q8Q_oS>|R=qIyQHdeXaQ8-tZCXOH-f zSGqkH6L+mMGjb&k5{YfFyKetz-{PVR!Og*diNU9P2n6{9#UiSY&x-9z1ZHI<=5mM)-vG?1h!Cc9i1qe3?c`?i}~UlF+#rnT;{`eT_F2T0UyG zl_U(T?wh$G_TU)J<|^h|3BuuvtOD()ZrFXlNKL)nqv7hasV7*uOOp1rv1p5Q`=s|E z*X}L8w{*rAjb++Muet?SRNak9PF*+4QHZn_l2hCiTpByM$9vp!@B_{ScOY)+jGRaO zfLR$gJ$Zd|>tnv@f#K%R<`BCom$A~YyfF>Rtx?TE?eVSZ?$|Ah%`T63xTQ9%N+070+?)yHcuZFMoQ}hDiomWSHt(#FkDfA407L(m z!*aw^?R6|J8~fGMoitOZ-qZ|=NU(U);RVP{mCoLK;F6GUJTNVH{RTyzhuGPolDDhz z+1aO1?|pOjXeIeRe?dc_Fp_Nqzz>)oNos8$(^*QCq%W6J^&kRUi_FcZu@JiiH zu%z#soa$9Gn&kpR#%_w$PC4Ak=GJi8B0Vx1NpWVqnqMlr89vS)bJQS!Og zj3Ch>QFy(AUt^@Ni# z#{G^b2zu=6NEXOWcV34vui30oe8Q{7vtaM-s-$E_tYhY zV}8<{_>Sv%dOK-1RCZd>Cem|-?Fou~yfMH!d~u22H^SJWBcA#B6+`^)N|)(f z=KiC_RiQ^r7HtVF+Ji791Ci-XE?CJx`2SxeYRrvse@{1J`YisfJOHmO2!qva2nsDx z3xm~d5QUcqgu&`Ih{Ed`!eDh9MB$YMVX(RlL7|leVX(RlqVUQBrnm4ve>w2V0;a_S z0u)+Vz?|bnfI=$^nCkmKqwvatFj(CNUy~TDr0RwR)1jVGOVOD`S5`toiSuhlVH;H0g4u&G|Cg{OK%(Ei!CJ{`J zc8FE5bO}DI2&TdTLxEih1cl}xgu&7!h@zodX<@K*2|-~eV9}U%w*UOgK|^ad!eHqV zv#{(h=> zg@4vQf9Vm07XGE{{(n@wD9ol6fsFT>vB}l(TAH6n0IQN5@wj|lZn=~Wi5?6@7n zCR0MEszfWE%e%H>`{~1)DDyRy_$Kd1Q4iH_KkptU&Kv2oXl%M^J^nQBZU;Yg$mM)m z%>tFP_UWTVgNvQZ<%!l+sdk~&=p4?Rz2$|iO`R=Kdp9 z!(M1Ly2P3frsuMmat59^bsrWR7b`tG;Qc1+Y2aN7okOWU7b>XPo(vZjrG=5~9PgJe zef{+HefDo3k4O8|?wokmeo7|h*$JCfi%VN9mpux+4kz={d?7Dz)Ef%wP0#W4kPOWIVC zVLjUgC3_SO3f}ZGFiOAk&L%dy{`AZD40mET(%;8aORbZ<+qgvUWO+#G>Zr^4D))_@ zvl2HX1(tkfr|7m+`nLD`f2!EaTWZe8{fLVDAnwEY@!=?^8J>mYn@WT0lUG^!-ktq& z;lXX)ZI9e2I{V4g7TTd^&gjtGN8NMg_bn z@y~_I!!^o^dLMk}M#L`MYbrH#Qd2TC4iGhFf02Nj$Z}qu$86M2_1V3rIX?SF%RX&M zMlKFgy^h*o3vqiqz@nXQ=~(V$YC-2$j?P!jj1UQXYUMpicd3UbD1+lfBpH+7u%TeR zvwtwohtg}i*Eg-Qr-jm4p4tl-T+tQNW`FmX*M|GV$;_U|FGeomswMG79jNy1@myVB zYFDWz4`AwE;tKJlJu)Rq(cQp75jk}2yuC8z>ug6IS?!JLg0P4@R_Ed@f@!;R7cSfE zdp@%N>WCC-b<3TtZ&uZznS54P;?vkO?eXb{iywHnvl3WO8>Bpta`}2S|G# zF7Nl4vVdr;kH$F8HmJ+IO zlCum6&1bs}@}YIyLZr&1whNvMlAiLw^?DR@E~=tFC;K74hKZK`!}O|vb6yMGTA7RM zJds!BOtJ-Vwus4{*oyl2)!N$`(4tK3^f)LnHr?EwlARYk2nf>9fPTXS=x7 z@E!CwIFFC5&{5E}MU*(S6WW|RBkbT3qlO`*%wJc1ljdA%(FP&GNTP z_ijINU!6K>lUHkd`_m0$;=YTb3^dm-+DL64w~YT>vQ=tD>%*AH?`^J(!)oV!_)%ed zWueWBdr>nbC1*-S)GzQVo?h9wD1bg69G3CQx!yDR6MB$a+#o&7z(eHiebm=8U&vlW zxsAHp53MN3zI>B%KAxQ{DDfn5t7@5$dKV6UzExZXzE=bTd))f@^Omn#*3E@zMMHQ# zt3=zzeX4gC@#bOJ+e52)(co461tT5{X#z{qGzO1^_V-G?6gZPC4*bE(M@XypPS^Bh z`C6Tgb>E4v$X+m+iax8XsCaQ_mw&0AwDzE?E?XUOAH`u_N5i`Z0-9g%w|pg9Y@Rgv z{$mJj~Uc^>?c(*D+m;7!{O&&8z^FG`gxvn)_G_A?K zSaj9-c+Xb@LcMx3`7cXyg@*^d9U~KJP9KncMUq2m-GA))aN)i70Rtm~>y=B~xl=tBMdWdtcwHaJh-J;I_am zZA*i*Z${&{J1Z&+2382_Uq*CJs=PiHuAYFOmqDKRDLpL9c2h*TpUqLRz^kqkM>_LD z)dL&m)V3otJc5h?o@Xr@uJ3nO8#TVfFzBID#Wk|kcgN$}b!_2!eg)gMkO%a&&~ZP`Wc-z9(j zoFi#rz=wHngPoqp=Lm-ztJ|T6$XCqj&oH$Jp7Ny2xL(G#&vYtX?BNOKy)nGo4TVReqb7lELHjmORaQ2cw?b+4ydOc>Ad(S;L#ZxF|TV zudr1ZA30vB-#{Z%M%{KrXXjL`3dao7H1C<^$???HFQ*cMhpv!BvHJ1i=)Jk~jJQk} z)$ouMZ%rPT2@5GEk}u?6_+fU#7LfE$4zD7^fG0tHu~RS9S&`p0AZJr@BCSOVI$0|oS+fect2 zv&97S-zcE>3=D;JMn|JSy%qSZV$l3I3M1A3$7dCTwJ66}1$R`yYZ8OjHBg}13Nm2K zO**jG1n#E*tD>;B^_T&J*&YyuT{(tEfodyoz@pGH0SdSf2=cv(LMum@Ez&=KIik>` zJ1ESRVE_2NiejoYkT(pftsu_|>tc#|O$fY66jN@8Sw-MYq8Pt{p z|42prCy9YQ74e@l#6MFJsQ+B8@|XU}Xw)yI{Xe@AiJ_5nBg!zIFc_Dh4%)CRzv3-O z^X6`{zCE9RJ$|p_V>1UWTPL5Y?ePR{8W3&%-lHEFHJ>L6;~P!HAHxQ<{+YCIC2EnD?@=!sl!T-;|ue5LDZMI=k-tT!t6NE)-* z4V79}Zbe)FP-#nstos9mG2b1(u8<0TkKs}zzd|iU(=Pcc(4C_uR|AJi@+3oH0Yko* zc3M{O2r>7pEO*`C9lIEH@TTNP5wAMV zG{b=xMmOx!r)!f92be=2^u9RYy1@3xr_6$EJ@_^vCktu8&3Gh(!5GtJIqRVk$)>*%w9(=PC&y_ zY45~c4q9b56qU^wW5Q})TxUw?{n4Hw(%NF{cQ}PT;v*_$yT_si3So?$U1boIFwH)++LWwMt$1Shr!i+z4-!4jgxuq3oX zcZ*rI-d9DhbsLq)zDlAv){Z`-*=G5$;@kq8^5GO|r9DqWRPOI<{q94}a-x{+zA9ZB zvuk#qGZA_=uq~YW_G-;~$D7f_JgqQRylUm!Bn@xU$F54EV;E~}0xy~}@>n^j?_+$R zG%{RI#3CVMos%weOwm0hR7oa3n^9jkMI_s1>p6~IetYTaxk7oga$$wuqTOww(Yt|O zV!11tVll$|-Mt({P@|WN?lDVc^xWrBiIQR^XvcUpb&+B2;iI@V2idJ;qHn3=l<)GB zTc3|pQG4l-qIjfUb-ZAM4&|)a^Gxczt-9yD%~+e1Or1V{QS+@u&Esg@cnABqv7WIM zExFs|u_p6h_Z~lq=iubp<}|jYaL4jU!%?xT9DaH>ue8eV+`W9srF__jI43HzN1XK1 zp@!z8o;)93>pMqqK4(mSc`$55XRXmNCwuI$4&L7Ww>hgaOPJPL#}G<+>X}x`PB9GXs7M*S$ zRB_E6x9{qTzn7!fmTUVWhFZ@hR@c+ba?XQ^z`eMT@bJznV!ZBa*WaqIYsIrUuVxpK zu`+Gkz2bJf;~|&znPEQ4fRw=oKU0RY0nxAJPc!wNeDKBega~gwTgjLAcdj`N_e(Q7{riz|dwa))Fb-061?#YZ>Q2-9M?TaXKj-D({9v(p zSJTXryw^UInfOVQ=I8~&aK-}?XUC`(4l2*mq^5e8nW#@U<17vxoK=?Am)JQv_#{Q} z`H~^ec0PVfYxm=#@rKH)mY#1(qSZ|zzl%7Ohpw6?NF6DDS-OvJtvwih!0DY#*QlJz0=%zloQm^_z>TdUu+)otvDam2nwQnHT))dLSxR)4 zSx@8fK&#~A)(d0q$D-2a4iLXFoHseUN+eoS6Jtfh!_%#@Ys=5K!)%-)z0cLu=TN-h zF^`Yruh|3>9Nd~}Yo$aNNL-qU1$qbM0$j#+*lAOI^YI?dxt=(1g)yl0ZI--Ea-7Bz zr^keNvCJJ!XD3ctbZuSjMfotrVkX9G++w@TEJp|fQA5|&# z@sqEP3`b42TzwitU>W;;>PVtHB}>#o&leL$n>OwRNq_vRTVcZsg5B!*MF*~vZ%=XZ za$oDkk26TP6mpTXFTi-U$=_yIaaoi92{F~9%jk@Ty5(|-9P#`vMjd7XjZSsbw)pnd z>qE07enT&1l@$zCNhSB*WlV5vzM1H+6G!o#R0v1zn>gQysm0Rz!B1chDvibnlB%Km5khGoEF@R%Odpn+Dg1?Hd# z?O(7!cnz{*m>m-29seRY{TZ$P5$9r!R{yM({SmGH6tVqUI79uSPWv-j#T=wZP&kV- z{O^@r$1Qr|dV;5#&Nm;r9hWIZf5)$E4d-fN$29^*oXWzM<bjq!!wA!;CWDzA;sJ<&5 zKC&4m?_GZtPvfLr>&8}vkD<-xi31V+B;W8qYElwwZPc#&-H~4%4UuBq=ND9f--DFTlC>K$zOC2X47fTWhqP^sfPiv9~%6E78+r`G}PbD>RlnNeU zh@5%Ml>CI1k-7S+TYyz{JU@bGv&FjTcvL50ypi@b4G7 z>mmrgh`0B5d{OesidWnZ2aw$T~!MPxBRZzjh6bg1B%1o#`>BHAbx5b?fcUpQvIth1_(ruCMb+ggBO^Wa+{6`5bcMrQs(MV?=WXT{d z)8R|tXdLzn30(U^$yXjYx7<{EPN;Kq+#-=)@0OzCTi#ML>5-9H!hQOcnb!$EOrO@x za_Y zmX@DW9?}bt&?r#v1-9;i zpipf-3e>+sP-qh!6xg~4L`5;eAxL}z>R%x!yfy*qUm+;${!}yyY~2Gvp@&3Jp#Bwt z!V3&yV3ZBa5~7%-ChRoAbLRRmsO0QIk+Rk%76g*l1w_ZcLFvdnYV9e3--+fk4|B8IT2)qf)8x}|4P1sNb-h>SW_iTvY@b7yQsDDNL zhC%%+a`gAT32fbi+$vCCLyrEwH(@S{`(5`3TlXLz@b7yQ*t!R~)!(0+K=mtPt6=LM zhlR&IO zH8*Imbq@rEYHrZKwC=$&6~i?*=wJFsU_&vr4shpykp%toYl4d;FtVnj)ZyTo!rAMTz2J| z=*ELshSoJMUxCKCHotRu1!MmGt-E65m-!2B-dvOT9*Jj0NZQv(B8R$}6sP4EmvTm8 zJ9m48%=Yb!+dZ8XMbY~URRJejtoh#cMn92FotSm!?&;*o3SK))W6Mo?hfj$obWhJ6 zk_@)A6!fQ)HS0E4K62U%O}`{KdEidmOJUBk!<5AlcthSyWNMVBPl;7;Zr>9qqI7ve z|JBDXONzg91hwBK`JSt>_Iu#~qCorAau;(}QNE(;vy?|lX-Ok}_g%E7IS?j3E%E6s zzlJQm>uDp|Gls_I2Fq1z!!U)4mhzsGa` zmc=^8_OUxX>J!E`2I3*opQ`VRHCqy`wb}%H9@z=)CgpSpSSWm5Ws|#qTr@vE;^1Uv zW2eW) zdw%v4&PTVxwe&I95|LLeaT2-^o!r5Dz5IsohG6jQbh+bD$jeh(OB-vQ)^zz-a=)-q zJ0Hr*axuubq%XnHr5ur;$o1&NwRDQ(A8C(7X(+ebUN})=#>pL>&5d)%hZ1#Hmr47o zBH2#l$6lZz7ku~($^x6%r zcQ!w#B-e2w@_|TuBCAN%@|C>zLZ2*TgGU`-u^QOXriJ$TGIk|*@68le3s2zTK6d#b z{UN6A)EI)kd@c>4F`>3bW<@_|eFxLCu1b`%6-DW>lM!nPdNz2{+5=B2nC3Esv!v+s zHQz8;g;I6PEK#=Jy}>(Wa`>+7(or>&m=%M}SKl`)-;7OJFVY;os<~jaA^RL}Zy1kf zP@6%B9>v)WhJ&0qvPy)t<`e#f&xiy@9#hd6r`f0Q9b$Eo&oKBnbs+hS0rT1($y+Db z4_lQo>`ZqM++xLF?Q10KZ@J=I`;z!Tv;S-P970j-a0;?l+J6wjxkG*5c7+vM8<-bAUr%+Ok7G_K({qrRlG?@NKdfScr< zjy9(cep-`(a{bY{sk1_@v|4f{hi^>%5LbVGXx;_OCu1amf0>>B@AJu+rBm|f8mNz% z-Y^Tqe{;x~|C3}F7W>)&+h$CN4mGQ^+LHby3f`Ky1Om}eQyHW(?G1k)52hR4uZnd!eDt0g2Ho$;LRGyQ6OW997W(w zSTf4s%^ILpY$yV6!iFN4o3Nn>yy-`z1`F4~`W*PMSXl&-6E+sC(1CWbv5Wtk8j0#W0m37>Xctf=hPL;8}0bswh;lg9a;f5ELreL4#+#At+R`g9a;f z5EQe$fW0OJ?j#D`!isCTOFhd;CRi$Rdh0{Ba_kCXGsI_nF zvf`>TOv`*KP;xEf80U1Y`K8h=kLTydXoG&vjF=bkU_`u_S;3he&E?E}e%jfM>m&iJr#f*c zR1@-SxZf+^3r#1-e_v|c)bdg=yx&oHUb&^krsST4eRRUP!drcz@g+R-^@sXpH4V3U z>g6Re7H*1m%b=rM>g^5Z=q2K^G+3W`&RGlPu@#>dj|~m}e15{b?(VGCA&bZ} z;`oyZ&8LiOzTC|*)1xy!h4el4 zd`)p{|2ehEG(%pL8i(`~RPXgFd@)1fyX9v3>)FL+jtkBAw<(KoUvn02=hB=!LNsG` zo#o+Szl?i&qFSe|D5R1ErDOe?E_`9P$-Y>r6p#pFY2(@t)+hP0gj{VWGWfTJcN)j^AIvs$Pk%BNRy0Z$~;sOLWay_ zC>bJS3Pt)|dcM!+^K_r*weEfUt?&9hzyI!auU_ledtYyRpXc#Dj^kvCz9gQM;~nRz z{B9qcU%TPRSK%HHlx2&I-|omM*NbHIN{2X5(_U8RHuKXic)WOJT;bHR(A=g#ec()h z;j@7;w$}d1q4F<>t()B1LrfW7+PCcQXE8n(Rw8`)w9e)-e_7z2@q`W8GiS{CQqg56 z(=3057MyZTyC>cf)hIGMgdbP^R=5jj+8mfy!651cL9&!k$v_1($ZNMr`ib!rbgL9Y&vh3 zD~&uMcW$apl9p_bj(&gS`13TXK1&&Y#z$6f>0}w$vTIol%zt%;bTs&!vk*=fPszF~ z+b%oR-5SwqCws>)HSLPjcl#fcn{HwQX2(A7is=dNFz&x2u1KUl=E_oc|N2Rhdu7uT z@y)&>7CM|o;#%BZ*M6vDB^?)rtgm@naDCTtt|lz)n(DrF6hqNgXx@I&LG@gJVifzY z&&1Al1dsc-9Q8t5EWGMk8Usy#MBB8vCGS5OI?|&1BiS#fG5fII`sHjzdY(fz*VJC} zm8PiBD)VaIP^qd@(ilH&lh7k^@KcZlA%Dhc^+t=s2Cg|pNALTp#AUF~n%}))k+x>1 z>}8l}c-(mDuxEK=^sl6D9|SZ%2($y7Of`H&q3Olx8^QPBfZ@ZPA($ zB#u*@gIOA=KL&ODzqd60FP~_S0_!qRzvqSgy}f|~Cwzb?+-N|76Fxu`UNDaW%Q8R| z?u?+o2_GN|w>MB=Q3eEs+8ZdaC<8>{_67=^@Bu-g_67j5s5@5^Xo5nbLhTI{*rNzR zq4ov}G(jOK)ZRdWJ&MRtBwso_Q4y#K?iQdx6BN8)d?*4n;X@I8>F}Wl)U>@)gDuxU z7Zki%yexvZ6J8dypujdo@RISN2n2<}ZQvm5TChzKjEaH(@qj)kh@x>P0KlRm z5EL3`Ai_`tfmVc(s3+wDgUmS+fIr zdU5fqi*)QfO)Zb!S?*UM-a|-A%FaEkyeMm`QsKx&c2JR!I791N>p_%NNhDR)+iGh5 zQ^`UPnNOt!q0Ly-`m_Bbu5?TO^bN}&9Gq#mr6+E6kQueIsH@*z?Wp}SwCY+!<}=3| z->Mvp)~ih&`>oRWlAd;VlNl$j>7MIlqLC@DaBy?H{l;q3@dv-u?FZkZV*}54uT$&1 z<(IiSWw_VI<)``!&SDIa4fA6zkDI1?Z*`Z3i92qs8OKg*WXXIRRlPShg|X0YI(a00 zOU~b}emDOnmEQ#MsrFJ(cD6i~RMS)W+us5s>c)f^|~eypFmG#tbu$mhe?$DSJ&J1;sL+w4Q4P(hSwzUd>O_7k|=S*VtBY_(wx-)d)qG zo653K>*etgjfD3(U9VEpO-z*bt{pH{nd3hcv76)lRhmFGvSYSEb*{rV&e?^$pS2Pc zR}NirhqUNl9tULAh;%{cR?@`JWL`VC5%p%32< z?YHks9ah>f(z&2__(;=*9Sr$AHIc_YI6T{M5T5KHD+;G-Jd~$+z)G(fU z{k?l5=F*z{@l!szZ^m~e-?uYQntJ(N$g-K|)zoIb!;LZB+828sPj!`__dMeorO+wk zU)oZn!L_4*OIBVV} zJAZplpc?AW7$kae&(yvTHk#57Q%>jUUOlZB)s6EI^g3}ezNKB!Ng~sJjM(k+M+Fa= zTMr2eXl`3v5{q$c^pU#E8IpHc^C1h)?8x{w!$c80@-~EwnT2IeD3_IhdyFkJ>$v;S zfmBaj;^PZJBOili>WK3*r;`rXVi~;7JT2j?;w)Ugma_CZVw2imLjFiLndvjm7!K;L zeR@519e(zAL@DTNrGL4tf1mjLeR7$&QA6z>skLy_F7|IPtsZK(J~`7TME87#euHiy zsjckjk`80!P;q5eD_!dN%; zfz1_M-!HPRPg3w=+N>%VN+aV@bb&c24P&R|m$%| z*e?Vnb)EMf+nvpf?coxzrDog|Q0o(>C>XdQC~;k-qrNcmQ|SJnk0uP)$=p%rwZ_$3 z#62PgI9B$VizsZ*7u?N9D-HEA{%9uu@AomlLzqy%d)g8B7+{qP1ckO~pujVjKopM| z0oJ&HC?59&tZ@NRJU+%BXD$6J^9(#Dc$>y{%JVPvkziCTt|k$(x&gb;Atx9|zpXfGE_9Lqpt75QWw*p&@Q3h(c{VG{o%$P!w*r1G!g-+X?scsJpTis0RZ4@ICRd?>grMtrXb-c5L-LflTIQUB-<#q*ZH z7Zrh;@SzC4bofv($%6P^cdjO|_hLYJ#|(NS`p+@`?C@A#Nw) zs5@5^*z$?|f_JVau;mkZ)SasdoCb|N>dw^!aXXRzu3*b2;tPhjort6ETul(S6LC}| zYJwUs+pDR+U4=_PD{RnU%O~UsLo?QBh}#L?D;^Yyn&2qJ?F6F2XU1>OjQQKggbzjV zrNf6JP!m2B!Iy4(kq2Apfg@SL9~Li*Ku>sC1g|G7&ar?jP9cUT_|xJ+5eNzmt>QyN z3{M~`J`{nV@Sz9Va8sGEd?k;xGX*Wn#Z{%Gc{Ky2!`p^- zpUyfS5G_QdvG-2<%d1wOKYfxOt5l)TdzRwuH%)7G zUzk-z?k(&lc}fwJ~0f8c^+(_Ta2I4 zPq6Na5TBh`)?{Zf-p{ey{Q}BNd$pjRu&R}U){Q&b=l=ZRPQj!*Gd9b*gSQR84C~z< z4~|%N>)?1ZOrR0+Xt+S_IxjO_Mg>LY$y2`u9aBXZ$53}J&u70II>TY5=$m5~*Il7v zOJOp0@8szUq5f9i>S8-OTuX>(YNr1l#&tb~fy1(5BQ;N)e7<(Mqb%cGD7CDD_)RAt zS-pRh^-l-wtfkYzQVVUeNeeQ!yY1N9D46uYVqgpe52l8 zwLNIz&!cBVZkg(u%UHj@VwbqC$ayYato7K8DJMk|7D=lj@|E}uHC8K*bHw?#fx_zc7g_uEd2*e8-DEqA@qCY7E{ zHlR?J z!g|&bkJd;ItfpMmkKe@RQ21)RD_-bpzcg@tp=SKywnZY8dAB{9C@t-i|7qu~=SzU$h)vVyqj*G*BUb(EW0h;I?w zx9JUHBR3fm1Epmuk}p`+KAzw0kw_6>o^j7t&G^{YO!3dhgqWko%s(!u%z1aZSzr9g z?Ab2%__{62rEb$I^Z>#7GZE{+z2*8cbR%8=2qrYlNoQu>Xh9^LnX+?XjmR zUz4PgX{^v)%l4NptUo7R7*9p@==h9aM*Q2fb%jeglv)VX4zBR`rzQ1^auiV$h{pNk$t6w;L!zXxix-PT2Uk{Rrs8rM9myD2X zKh=IgV?JI~W^tXGf~VrFRK1%`IgO(I!jUMg(;JMF?XCP$#t-X0W}7Ey&b};V*E;3U zqbN$jYExw29~O9URVG2~)d_|Bt2Q(qmp%-I^i`U~edIVXw9#Po*z$omD;LJG|0#D< zj=`}}8dw~Sh5cNoqLw%#~sTSD~rc9g2_)LdmmsJJ;@np ztr)EsvKAZm<`dVRH8J^Xi=Kp&N9C=bzguokdl2m|;pzTsT+pX()I?58&HuXK!PUT3 zhKs3QtE?gIFYM#A-KafJSPM(PH1*`>y>sb6mM7(r&HAyZfM>y{)DwtrUVn2qt$O6U z$F;Rp8s;PNiL}Z^W@ld-irygeXt;tqoPqGTOD@c@LH{ui;J@CnL1Tdh%63HtlEGSN zaF76q!o8F2Q&|79&IVC<=Ls4dBmkmtvj+_p(10l1u|b0cG!PV?6~&#{^tbm4?>yP= z8vc6}zGejn34j-jg=f3L0vZSkPg#S51V9wtd4dKDXdo!G^JIGj)!#lQ47BrPd#dT* zqtFfo^dHvj@2n_z!D!s!*pM~r@5T5Zvzs6&&Jcy62-Jjz9ukHI9aczG+@=r~71%sM zQ0PJ3+n&|mK4CPpFbWMitYB0W{0V~&D+I+!4sx%c!wRB!(zu|*iW~(tPsmYV^Mo8l zpeB6xis0RZ4@ICRIJ!N(;cwN14@K~$!-oP}aimXpyB>4L7mVQDgztiPtR@WTup+GS$C>ou%8t91@Bxdw^!4%LN3g}N9R&|!t3&a5;Z~F zM{vj8Blx4kgChCT;X#q83I3SCp}LSK41Y|Z!wRDC&Xa!)lm45fG(Hr;x(OeO;N64| zMW7~pC;~O%K|zNVe8SsnVaS3Q16r*AfJLAuym1jMo#0(I7|>(|FBu<-;A4k@R$XC0 zlNF2#pO=gQO;+S60zqxp&4F7T40!A%7!~fFVE!;!|LvWKV-R^KdaCa4Ff`9r^yl`q zB<~qz?>3H`5^a|VXR=EPnkPD1w^v(5MihBtNp=#8jo7WD`(6YX_-(v!<9YKm z%eiU)lnL+s5VflWhYzl6onR_XotxzL{n2A{_VoDGqAtm9RzI{CDtxO3j5SP9GKpdhAIq~(_I;LHq}-`-z6CL@pK zmb%7^`Ae;edmiRBSI>PT?tR{Npr*e5Ij5p@1xXt@>Eeg+(=P;{mJvA12#RMY2Pt7R z-l$vTbGj?Nsr4LITHXJt;Es)hpC=~8PpP7WOjv37YINMDrrVS9RWX#c;kS+u%JBiT z);l5@{t!=3LdPqFCf^1a8u|0Tet0%25 zw1(;^3hQFBHgeBk{s48;Q~mp?W#6ir_Q*~hx={J$s_T%^K>O~DnnlVPZtO_|HNKTF z)5QwxNgrSDEx&2|l^_=*E>aPn334lQ!(zLqv-Nu&BcD$39`oIA&oS_Tfxp$s{i~L3 zyjmXfow&wx)U1mEzFT%KhN71D-E0m~#=bnE z693witeNNc9jl&XI8(juW)~DiWdx(N*soNR4!k{FRv9;Z@J1J}QaR;_^;?CJIv-7S zQGwZmF?O*(%?!i$X@~m@RdlA`cq!BbL3n z1frwjLXsLOH0F*3_=z8wx-GDp^PS(r|J)gVbhS;BgC|{(zpdVfb*IJ&jOMy4%h0S% z&e6RheT@^JquhO3znE`Xl#mO`tH@Z|J9TkNvL4s$xiGhNS0l1h>D~E##1Tppr_UHl zX#_7*t-tynXLs8xiL0IDTDdj&ZEtO^M)&gaU#~^$pF}!X>#wPO zn5DZpSbgH>dwHhH+RnLeBdEt$&;!(a8TvE@ACjmFAE_}}x<8|^7Jq~BbG1bH`Aupa z>|&qy1u3=R+#qVUj+0uaJ>^wyu2J?^71o&g1^MVq~VW!vXf&*`xRdg=RNU|;(WL#$)BM1__b#;$M&2HjY)%v!31!hJ0?@y1X zH0GH*Hu>b0&34-w-47Jv&)#h}aw*mP*CnRh=erN)*%l7ar8@-~Roj(j8yS?h9dNWC ziej5e#?}z%x9GH$GEe5e;>;T6TYF@_H+&6w+UK4Xt+uS_`mai#zH-DhEx!SD5W>@*KpCEQr&xPQQytmP+> zdCl6F4rlH)njIN6l94_{9v1|VwP(AD1CQpQT@R2m;6mq z?7c-NNU(ZZ{?E+XqiQri5e|nxe|FC`zge=neU8P_;KvT2ib9xhMKNiNc>uwQrxk0yuRQ|@ij?Qn z!{dq0xo8l+ioY|_At4)Q9#k3sL84_#nV%*2&S8UV3=@Up2|cD)LJsOm%|5t1Q`CI( zw9_>V{Y71LX|A4au5;!764HZPu|DrYZ)P;eJJfYE)u{cvNbynPnaS}Bf)`S~Li~x- z&?}4udXZdx&Sx@2PFP(|mZ5+D3wJjBi}qeum=A&Zqm<>p-iN?|ZTpzt2NnN)=LiO@ zV}YR1&JhgQwhy9kGXVq6E(cM#`+)(gSU?o+Ltwz!`w$db$Atl_SU?nmYxICDY{1#&5EOd07zV6jfuPV-HwJ9mhoI2YrZM2r!Vnai zCCB_x#q!&SfS}NWsxg0fm3UBiZ#M?)+6SYeq3s_S&~k*J&;}a}XgNYq_+tVsM-au6 zO$9AS*QFs1s z!nwqMu`rNE#e$Y2a&*VYK(;g1Ql93d$DG2yP|@8yptDiSr}iHhLe1kYDvLCX=mS9~af zcN0Do!Iusnis0RZ4@ICRJSgrb``h0YPkJ2-dXC^D#>*nm6J8dHprB(2w(HgY_I4%k zpa@=180b7%Ea*Cd4+?(xA{KNVk)sF%g~mC!;O-X-PO$}}!qfWOGaUZ+3I98-FD8aT z&=QgHA8GwJ!6KvV!^Uxqs{m>1;-{X+Kmry?>B1-R4mlsZOr{ZQPFG zZ40TV&ksh~#sb`6DFGUpflW`hL>BeVpDLN}DX_l+KM&Q3+nP$P6ZDr8E{NN!E;ZJR zDcGV6ER&A8b#v*HRdP<4N-ym9A^SS^X5aec_sguOJ#S%TBofQ8@tlJn&fF^@&i_V_ zsh3OaUJ$wK$3aw-%8_%d{M@0Ex`U{-g8MT|-BfzQWuh9ckIE@GWL~N>zL-(h$Rw%K z&(`O2GW)_xRN;M*^)rU`C3limu@oJ}q2>DmLmj;eq}ty3slF8(Kzqt;Q9b9Tpp^EPE-uoEu;NNK)MS8Sm!XiSM=b5s;Q&>^ z{K4gSb*b3Tez)0l8jQsG&OdFo;nbpW&?~5_c$wVwIN-&YSfSGa;aOwDRb#W$7Tv;j zx@P--EV~Q6-J>vM@Nu!Zq3IgShF3;!qQAPen9%T0Sxyw1^I4Wn0B8yJ+ zzWit*6(M0%7coGnU$?qWUUz-9XX5jbf*`?pnk!Po`3$6jyNc{GMv4QBE|utS(A)Ih z>=WWW#A$HvNOI9$Gg`9nNMYLfibDZG%B!gy0(&V!7QZ=M`{`KN(KLFhbD(L!QytBv zRUW!@uJ*=(y>EZ6H4Ui!x;giNSbNpO!OPGoWc;}$s_(f6k)`rJ6YTua9J4Xr=U)Ya zJ~mgrALacO;(o$N+Sm7%CCj0$D-L5nJ$&gJ=be)im3-qD@1Gr7dfzAae#47qqdg(M zHfqu-KKG79np$A+)Rw34f&8rtBIoSZ-*PxESu(drJ#>D$UZL+&lu5!Q%;6w?z^mxk zFb@`e^GwRaW%`@g8e%%jE1zs{(+TaVOK2r$qtM0(KkiQ9k9)71jmw@-RQ=ALQ|7V6 zyBV-ko|g*Qt2It|#Cw{AHhg%G;YJ*RCcXx5p~V;u`^8MP*M89b^lcW znMV?%`0#-q%qXMMNxcuH`+EB9=<1&|1ae!w+fYfm={F<&h^T`^@#(&A{n@W{zOM1D z=8j@-)jFDUCtbv5?tkZL>uBR1y~i;saeZEu@xp}*0e!-O;;&<3sG_g<XOQ!yn#M?w11^5)<;Xz$UO&p>#fa|HJ^7K<~+t;J<5E!&Oh@e6-#*?q|5x(4O-$W+ZX1IW~xneU`1eF?7S! zS;pJXnCEbZ-0qO{Qz_}E^lWDz$&(eI2)**vIXCI1%`vY-GNTxwuhbbjjjbMJelLwO z1*VyuWh4rwdmXB-3ixI5VPurFbZ>rq^s4%_3s3p_fgZWTkAED;74-{a-8o^&bnG8J z>wlX}N3s7-zHc9YjbZ;i1$X;D|1X~*fd%U?u)q7||2~6`1?w+B6rRh*f`=M|D7=y% z3wF4JC_MR&1rId_QFsO&3wF3eP-q4n3(oTfQFsO&3)WviP-rDT7A(JjpwLQwEZE@= zL7|oWSnyC|5QSIrW5MDJ2ns!#3=1A=3_+ol{8+H~0)j%%jl+V)7a)qpWlJDSEwIBK zg5r8HU?{NchoI2eOV~e(FMj9kAt*HYj{U*(d{8)kS7cpgCGh|`C~z25IG8LB9WuOnjbleKuvh=6*LABM+J>R| zs|oByL>_hL?-F&ea6=A|j8vb2Wjzh{&VvTutD#S4dQNRv9z~ zAt*en3{HE6pz!27Xbd7pk*EnzR3vJGKPJ!^gj_KEF@e2^5EM^TBwso_Q4y#KPc9oY z2Ehx)haz}4;X@I8>ENgYXbd8~UTpnzq-%kOi>>?l=42>@J?gKVBBW+X*j= zKv3{U*Y4Do=NQ2M)u5LH|;v&|9t8TX+ z3YrU2eqd?M-NiE_5xTj)K$xK>rS6s|6Sr%wJi`A1&s@BXa{>2UwW2&pIKeKBBTLr< z%hWuZTdls#-6SGwHPIr7CEqS?pWOG>cT))abtUHUz(&L5ExrDhBi?VFobsLxe_eAV zb51!V^v-%LKf0-S{(Zre%N456ig=Mq86G}UPRtnv#?5r))RhM899=AqDuBNv?>OdYY!KjmJ);&sB8>}&sm z=5k&{$yo=61>aTWq1QZ0X7yJ{Ec)z9rwdrVj-gB}czdID19K~I1a0!&PsFP5yc}<3 zbhcFrd0$4LIj&^C`ubMcD=V)npY9LVF;ZFeTm77`3Q>2jIajXR-xDNpX+PGu#WZOo z^T~owjzYVYB>@tJq6XM%2hXV-Pr9`L@zTM?5mlU=uYQE2z1 z0>|Tls?jaE^r?p^jCCD7tLZvt+*vug@6{L2C%wzQaD8u9SMf2uGZ|F7ItqySsvv%6`>s#ni|-3`%UG+kS^ZF+mFePP&e`kWj%W1W6 zfhzq**RVP7W>=frtc~6!jx!t+Guq)%(?e;-{;P^{%qw;cygAW?Jc&kQqe=ZUi=FH_ z{@GPuD01ZvoEm;aa*j=kc&SeHkZ<_iLv(u;y;jSciVHCDgn^FQo@cSg9QxK+0xuc_ z-(%c+#BWpn!_jy4PyFMLw6d}jZ+_0CC~df6aP@9WzH=VswW?|N#k*fE*ix#}(9z*n zqrI%Ew+w$;1Ts0;>Qw56jpoif6;jmaKEJ=ENt?HKFz~LZx!(M$wdu^%;agEkO9nIS zVPTX)d?$WcrLQofHPfr_k(;`>ZgIpDGhb>5czyFk3>nS(Dkb5udZYGRE8>)@krThN z$_vG7vy9?HFL4l+J}&QdI+SbZ-o5ZuDlNKl`Ey}3-R0u?hEzSZR+Rg78nsH)%60R- zW2V~`=X{LR#H`yD=N|vAIA^ALIlWzRF7vO7^ZkdUvsWegC(mlBsB z(OQuaCe#h4-p5lG7`U4-XcuLv(vyyJ53_x(O_@&2^A1~7l=jH)ad? z9xa=SD?w*H!BzFwgUJGiP5D0w?`fD;%B|Ft#OgJ!E;`I=Dy4EB-ujW&cbk{=PRMPq z7;lnx#sk6P=-%*M)cGIt8PC&J#1N(T1VN-zF5^9tia1WKW;tz7p~+GDLhZJmj<725FARJ}P@N z66@Id0NqZ-AJ`rpSCseo!*F+VnlA*e%xtT=Q6q-;bJWCm!bnNB7@F!9vxFk%Uj^#Z zzu~0v`dVdtOM<-D<%IB?{pacT*3?}rJ0v2V;P-~nIplHO>n(v71a|w9MPXU;?fH@a z`+51>EV&r_?@rL|)cF4=33CasoO? zO)mk~Q-CNuVJ-o-a6?dN#!v!05*S3`33Casg&TrG6Xp`&k-!iXnlP6D>nR{8G+{0Q zmQ#QzJYg;&1}y0yC^TU%0k&{MP-wzj0xYJ0pwNW51lYn2qVR;d1XxS~L7@qA39y&~ zfdw^!_7)@9?#(1f`JXdxm;fiyjG6oH!XWP(8p5sZotMW7~p zD1t8?J`{nP@FjCW4-vdsyexv16J8d<>j_U18FUfBOU8#H5EPyy@*fjT|0jtow!=9&SQn ziJTX7dsAW@;#Y7<;0gioM zR&;$M3bgNaM_yQIFQ)Wt43!goI zc<<=@Nw#HUX>Z~-cT(pMKlEPk{ws^TKUg`!->sJmd(7=%tbqJN=|`alNpg3sLthjK zb;%b^a+dFL?f*WD>Rd5t8bHcT0XE zb}qqJ)!fG1k9HduN*$!&s?ATO^zho1G++NL$cJ2DAhfKls#=%i^ADHj7h4W}{9@qg zb?RKd?z<Yi9`H`P)Zu6fwR)k$kl&RKCo{l{*NeE~cK|Mcz&(S2iD$z5g_ubalZQ1Ad*k z6^AlU#*hhd>k<;)KHqj-@7S5g3HD{Vn5P@4c%hBzxgYgq!@B0;&-tcJo9nuqi)|_z zTps%F?m7OR6{YlqhcV%FtEYk6&*ptfY#Yurcly7{KF*B|(t2?Iq4*xg{L1EIJlbrI zr|+o5B^->Y=6qEb7AP&rDB5^g?es!a>_p)}z~Q_z#b+dqrf5$-Ext)ck?=y?F+|!V za#ZR&E%}s#so-ndk=GLZR6T-4^wm?lXyvS=4qCg*7k9t%?NEPC@!UQOhvNkb zk1<>Iaho(l`TYH_dSvgOd>1Nk-XkUD2FVE# zSFU#$=%zAZavlbRqny)_rzu2Q-&{>Jw6zhz$&X zB1mO+1O<-r?dtrd3*4Y)Me z$E^bi*A(RfRKth`~s*+-Av-eQho-{F7TLD4gc`~r+8btA=iNQI~5EPy!25T!IC_GIJ z)>c4Jc$ye&x&~1^X=3msT?h(K6N9xC5EMFvMFKoY7evv}#yJVF=^BDUPiv9{Ybziq zt~40TL`s6S6%Z6Uz(o>lx`v?80WRBr#edzh18)iVm~%<6=^7FhI>1E|JV_TsQ8=~2 z9uv3)04^AXo3{c(!Sn=(LI=r9{!v)*ugnIB;?mHtsNnh^M4_`^B*CU@@G-%Yn352a z5QvHo1viw4Q3Ptj7Zu!4B901fC=sIw)P(PX5vU0t3T`J6UoZkS;fV?{36VyHn1qPY zovR6A5+aVeb2ULsLc~#bt|o{{h&bxb)dVpKkw%4>gosfvH-Q-4xtbs*A>ybzR};h} zL>zVJY6ANV5q~(qK11Z_&fiTClMv}+f|!JeQ6y@DW|$?xK10Z3f@YW{!9GI>3e7M} zf_;X_Q6y@@6BWUi4xX@;gqVcDkAn|Ipe8&h#3V!-6=D(s==LHHw$cL|4Z&XrFNR2zs$^EQ`{`orUJD9RXV=z`O{Q#BN9!$rZfJNE zr!N-2bguf@6QA;h=qEwb>g05ePwiXl>q>ERxaXotlQOyvEnB$mUL4NK%}ZgF5D+u& zyWV1HB}g1EJ&Jnl$q1xrs?Wit0iO7 zjz??8anpJX)-`K{pUzh+Gzp*QxgMDmu{JvXahj8SgLsW;S(8SHMEhc-bmKiLt6$uP z0U4BjRIz6V71=tPp5CADxHsQ^PyPT#cd*)g5!+Z2tCl;nYnNfg7vaK+(=YWHJTqIw z!kM&&ceiHIcQzEM6Gtzmv>#)zV7&J}X0LTnpIGzdVQ!g59=Dbh9-Y(vzwB4eC!3Q?J?V8*t`14MhwGqW`{pCej zkq3{CSSSXXexVQgY%=0yUO5;t9TDNBQ1ad7NMp+-7mmqD@+0IPmQE?IPJvOvsk+8} zskdu6d26(j!UVS>J+V$PG5G8Q_gz7lzbsnBNXplK{eOW*o71T%C>Sow1Ei)Z(}O<_ zXwyagV6E)zx%mz=Z??)|XJg*+o^;=%`t?4YhM-YTKpUz%+%KV?glSc8xY!AeyhWB&C=BS@bhu3`nYtmC4HZ709j5Q-<$vq_yo}&J5w^6$A9ZCai!@1NS9BS}t{opOK7PUd*dpbR<7>M$ z-vz5_9EnD@8iX>Whce}Ya3ZXn(zrH(u zeY2R;FH6R-ruC|PsJ&RyKInA%kAMR-WyyQq2U5V^^8r{yO#3`PvdO*NPD(zXUTlRu(#!KsL zR-MMh@EhYPgW)af$26N!S6p6RQLB03y#Jo;<*KSiZeT=6VtDSRB5VN;)3W-$B zqSYExqxwG5b2ipQ@W z)0wwqX8O;#q-TFPh+g1nyBi_uycFO-o9yOTm}GsmCgy>JXyYvH1rg0(dqfC)utHB^ zsdLFcsyqJcQ|FRkS%~EC0oH$?I+p~?LLex#!dem>ISr!l{I?`n76PL1guNsXzE-N961d^p%vDWU|9$Rg;rQgf+MFv6kcI133ir4P-umuOM+D) z5ENSfD+!)*3qj%X2Rq9lD73;_608Y2{i5vy8c*s#8?}8i! z_Q}XmV4I8_1sn$C=+4yyx}V6S?p#fv`-w0rN(6L2k)u0R6E5mb)r3QLswNz|Q#Ikx zovI0k?o>@Ubf@no9J*6A;n1DFn@}R4`-xahp!bBx-`? zzi~ef5;ei{-#8SBnqZk|9EwCua1?YuA&&_Uisan{e@tMDBqS>QF@f$U1jQ2-iJI_4 zMeuHdX5CRDV2dPp!T3-FYQl#iP!m2Bftv83p!5gz(x(wp>oYanqc)9ypA zqv!mr3*S4cE!)*5h46B}Iw7I<_uAhd&E{tAt|Lz!6&mH4Cb#^B(Ojas{_FbmP#uwN zy0kZS>$zR2uZEawmR(MrMH|h^TSOr}W!y%XQAVYi`VsmN zTsbt;r^VC8o=yJLZHM&G%%AgzdM9_UZVyFaVSSQX|1*VRB35t0M0IM;2JuaZ*kY8q7mnyi-AbG<3?6S*(W0246*!M+duH6r707uE z>pdQ=olL57Vo`0%*rL&5e}h5%i4!w*tPPX4)Zb5Te7e_0+v=9bOJ%_?+Mzy*Nv~o1(_-@5d{K?cmFv4z<`sHx*_jK}>CE(h%|rPXM-~*lW>x(|b6*5A1xDh? z*u@A_nx$_7-~9$X%dz~2CckE9aeXyWf1`7XeKS(B!~t8rvfC`6lR!i-nv#HcUlGyn z(ogzRk{z1Z$x8B}`&V06sBd$>&pw7xbD{`TyBd>zE!{kPy5;-r5T3@>U)|>hZjWj| zX`Zf%xn|UtdQqBu^zpQvmyc|Flf(DRoRNXJa`gupJl$e##vH`jLT!HL$;Ecocly-! zk&IpDS&|c|&v;1G#?A5AQ?2F0RT^0@id|acy9jGm0>fio-e8({*Lp2Ucd6=`)Rjxi z<8eLvhjUN0t<>z%*(a-*Fu<~x@c_kcln&D+1?QU&5>qZa5?{K<-r!=NlSeb4EGs%Y z_JwNU#i5Y50o)A?C!)j&F}%74*lIzNtp?+lHYal{b+^Z&=+_PQJdDCLq^^%mm$aiU ze?l$Q1icb)>0{?gv$wr-t|iX@%aqvT=M2AS_arN!gE~J?C2T#}7GgR;I_cev@kd@}DB(JM6!E2I}T3kZk^%+6%F zLlXD6_vn9qUH{t?_b3sdj0ATF+aLMAP2A(qzb^71C^UtRL;t#EfS}NtcO3fHk1_;> z=KOK!U%$x^6q>llp@01*!%$e}5{Lfvn+!priF+LSpWo!|L?8^sRite%LQM9`%5DpC zJNbwEcR(2l423V=fwRIPCDOcNmI5O&Hw10)`?`69!h1 zjS>OIRYUF-jqA{WMMa<{G|oSRp$OE3#ua43Py}j1t$(48;i@5*08NVJL220Yed}356Tb1Va(137UOJi2$Y|GpME-;UQ}G|52-Jk{f_JVaz*PJP7rb*d0jAX573>f2{0A^K~yB`CYbk%5&=xbe-L%&?j{lBB*2}6C@^{z5)}<=+eV23z9IyLb_U~61cHLw1)_iWivP9?Fo;Ipl<2F*4@uBG zTM_U`D3^PCPH#2g4VTizrySzkzGiOhjc;NI)g0oRo{u>-V^-A$CW;j(PtEE*|9UBp z=k?D|gL&spEab(qGl`7{(+Y6An#PH-Jvk{n{m`aAagW&fXqgkmhm~nRzV;&>URyfe zvGnv;eOh^0Qx-keQ*;Z;N4w}`nuk$a~Exq%?hEIB=OQ;U|=#w^dT6sfZT(t;$ z_l(IGwxJ3p+v-hq$1KO`lIDs_gv+YtO^RYFQ%N*6gGFk@S)mVF?qaXmzie+-6rrn} zQfaY^bva#Z;U&>0nZxF$C{t@{)6`$69utc|@EEc&yFl*8z^BO)VnTt9t0yVvhx_M%t1Fv_Kehm=z;zl%BK zp{=Ac=$6V--YRt-%^0Cqe@DxcdWNB&+A96}CeukDL*_Ms;ox|y#DkL;pFU@6+0*w? z-czvE@BEr4r!b@JzK};}PZAI<_jooOIsD#Kq{j8MXt%eAzpJnKl8V@^A0ZOEZ6Cij zuYU98U|2SJ;D;IV(}qK?PQUskRuJ_rg`G-zLib+qV}*;y zrGgX4dO3*%8VL3iR36fy;HM?AnNk#{%=~bie zdx9r4ROBWk_-xaLblQ$E@w&E9=x6y=HRn|gTPC0BUAh14SJNtqQg_*9l91zaeeN=5 zVk3vET0`4z)HG={+`+eb!Jeqb_=H4g0j+-wtPcE;#QF%MN zXIYw!kIz-Bw8n&93QbgQQe*SuE5@6{F~OWsDmx!Tq}ZIroIh2=sI#}b;Lh`s4vGHb zueED;CG%a-AjxW-bMMEV2`}bd6}_Z6WyUsKH_$RSD_Tj3{Y*KWreT-+F z;S<|%@7}_}uf+OePdAq$a=kQ}TR4MGlc>*a{46pG7@8U=*1P;2y)WhEb<~K$cbSkc zm-DR*J3l+_VqIKp7L4sAdBLOnW3~gMVG}V<|1~6X>)9K2-o>@l5%bi=_hRk7#wT2u z^s?^H6q&wKRtmSYIA;8=iw3e zXfFj}aUx;R(o1e5!X z)}D%6^_f-51%{^vqC^h_Ux^)I`n0a$cF17$u*T(Ux0oFdB&tNPFDf>YUR&-g39;Oz zs`;9Wg!5vuZ3UsFN5&ks&r%uDX|acijVA)gC&P8z3AvE5^^R&`ljS!2IFYER%v ziYV<*ceK2lYBq^3CRnjXwecAbU0Uhk^fik;eWF>WsAPpTVmzgiRaJWNX~hBN`6AQ` zD|rP8$%j{6?Y@G_EAay6T`MG0rw9VR(tc!UBx025l46V`nkPT2xvyq4>_eO#pGnt8 z+HY*dO$&7AA9P-~WYFd!U)!tEGQp!Xk!!ulvsqCoWv42AVk;80+L5B@k7lr&z?KY*ipFKKVD}2F zpdl!%${!aM*pfj|T!S%CBcdjO&@&6x0 z-MO0nX#D@}ydwW`cCM!1y_^5pMuhxcLDLrT1%sw7a&+fv0y;te!M*NWO+Y8;KZv?> zH36NV{~+ql)dX~cB9981wumJGnzqQ%ovR7x1pNp1ibPHD1Uk?O3X2L)po69@V}QM<}Yl#OQ>9z7E` zP4y{yjD`O@k6nm4l|@9&^y}(r)^tAe*qTaa6@!xS;Jy2I5vJ+9Cnr(AEyKIm)SMbk z#U05OPx#vSD%$K=q<)y``(NJ5=c^~8_&NS{lEC*_>x^DSLz3yXxt!S+!*a*d>jqet z?w$ziDx+KK&ll$9iB}x`l{=JciaV87eX*&(xq&|Ex!M8ak5lJeO{E8} zO;rYUxOfShr5mIMVOjOaLX@dnEe~*EMcoc^>K~}=kE*7rCH`T5h>U3@J?mq^o+Qlp zqdipX+B9sq28`E9=DYLk*2=tSvU*NgRrH?}qHuAwowDilu<6t||E@`*p*Q@3B@OR~ zUHQCr9=dP0kD~Q>-AUQV7PFb^7=OABbLreO%2)GG>0(qCxGttm1@`8coz3hjcK)i{ zUS>kpjaEy|^)f)2_wlDTBKp>xdh?D3ZeJniact*NN5d^CGd8j!DZ8axbrae>+S4!4B=y@aX*{7*i(y%

BvIIh z%#XVy8>wXYI8-usCG}Lh%dkPpoNL`09d)aZx^=R;bwZcktY{B=GcfASsI}Ic!l*Zc z=}mOSmiQa4{1O#W*^YM7+=}GC;}4=CWPWCAR>%xdef*VNX^QJ-hzIYJ;IWff5ys`6 zB;Qt1IAW^A(=>xSSN4ga(8C67r~BQJ2u-k#cQ^jAqvPnxUAVn^Sx1m`0Q0u@8Qjk7 zp#KtyxPRHDb`H8Br2;$c`uXPza9iCPzQLByXfqE(hqE(L&##WsD&^*byu1beGWXF` zXhO1gzn14zpXbNj@-(@3pRzO4x?_=Saz7T=R8|RF zmv-k$^nKzpTne9`!k;#et=w9QponRZ;H^l&AFt6BNfMiA_!zX-nZZ;fEUn1ItKDrs zMdKe%sFJ%!Z*Qj`lV%-=1|T}H*y?S&Lp{}syS}ccI_u7F)KiVN;8w0T)x8DC99kQy zC4UJ^YFN^aUD*Ud2SK(4J+O{$ZBoK2ONb~-&Cd>7i4+r20Z;))hK(_azFV?X&Dd2P z_3p7bxtM0}0drSD4MI+1&Bl1`ueGxG?`Yme(yAOOD7r7CD%=KiJ#B|4Y_oKI<=dkm6HItNf4I+p)a)l2o-XX#j7&5&d}c zjnKts)0gKos*(Fdq3}GJ%G92mC2YmsMZb#TgXUZbh~Es;t$CgDuU3E{UG9M9WoQ z~N9cehNkNoT{JI4qlvYO@KS zv1(UZwVxX>>HgYi{c(G9!vec0+2~F4lw(v>-jvs;lOgVtgUicC#J9cjuNm3@XfEZt1&O9AKx$AkfDYy9?`Sm>uYH zPaOY+KkoTC{VE5K*!{&mt_WlqRF`n6h8uKqdj~ot-ag~4VUkSDPO$S6+dkh4vhpE^ zS;%&Uw235L!T`G$R&;cDmt+fec$Z{Yi23US+}SUJl`D5@wKIoKha~aWp<#=1-%9QV zdV?&cP*yF8D%b;>aTU$TCi(%1tf7VORxU-$hF@rzw^(M5ic&?ZuVNiljO`e=D_$G# ziu8ZMXk`xxj{%`KwxrlcJ5O7J*NrY*vwUwy#H(JDH4he2N4jH1jy50LnbvOikbdx9 zG#$*d347x;FEQ}!5JA=groUm?hnB*vA#^um(mD}y;><%;<7J=!E8y!Woa^_Uq1~sGIDqx1@4roX^ zKSKnh*Pe>P!p-^no{ri5-1R@ojpaecVo+S)QI8y z-6pqwCdUKG-l@=$czp7d`f+>fC&7KX8vG}*IJ|5F8We)QEx5&4fIrLeogLaeP=|Ic z5J|+%IG8G%H6+oKW4mnfS$@Mx0dHZ?E_YGr<=DtWbmvaNV74WukEEnR>x!Sug^})Lc z_SxTsx}qb;fn0plUzMYr3*w`I4GO51$TEK#=Gk{`qE@KC6_HRMc<=P_>Is4C)jsHu zNq>)kzLQ8eN5y%kl4oZ_AvrJ0Ht9UyB=CGlSP)yGT#y!CZ#r&Es5f1cg|thkDx1M= zc?N+)%bLFeZ~kzJ$s%k-HZnD4PZ^EzN-;796y3)7Re~dP>_N0BJI3m-C(=T)C!lTW z?HAwB+Y2o&v=@lI-?fdyt&Im+8+DFr*_@+qk?#R*2!Cb!X+v}`2N^rJu*`6lH1K$C za(@3c>AezVc;@Myes&<>z*(u_?uP}I&2HL1nasDjz^hsO)&>I0kr3Ri7lJr{EQ}*S z>GYL3o1rvkMUo8v8v(EfLEcsu#*vcP7`SO#UZ{;uA zFKUsFmo>VVk=^@BAUl@04BT9H&c!Le$QIV*hD`Qn)k@3O%w=4X{%*!k$(z}?Y)wKz zDz3@(MNps#1-|8SuSpwT$Zp@q*zX=QFef=8y{3j7x>oUKy`)wiCAdM>Yo9V#Dk4D7 zVxI2zhhuZx$&*C)3~`;CVc^R4vWjx?18rp$qm%v0uBl4)E}M6LyylmbonD<%Rq@(8 zc)$bY#A_E@u(cpwGmj5O;Tf83oJ*S#aJaolD}mcN7};v&E{wN6OD*^;mKfMn`Ki+1 zN_xTFR^t*Orc4>r_2)C$qr(8G%>KdrC)bTK7_pm2=4|I&_*QDbBIu?2Bo zQEKJU8UkEUS-p8?hBWk=s?H*>?&qV*EUL5Ye}%y-HB_{-HMQVVE>LF8hP$(y3b`mn zRN~0gf`4I5%Odujo5uo#|%cRUp%~nNLo%ZBnEtIsnNDTd@gW9nRA> zMm8M3c&&~i_+rCxi`Tx`CFrjj%6P2^7B}L%jC6IZ2j7n<$%;#@AT91#@*-V(<5`Y* zRXFi-{eoA#cBJSzj-B?yz6d!>HDG-!G+wn^^}Sr_bJ%!rw$w9wJ3+5!1jP`4)qY@4_-eDygH}e#_2*}4Y~qLWo}GyL;J|Q+7hy}#FYHaKS)@uWoDxeG zf^v$Igv?gR8ksD{l;A4+oF9Gu*gk(6eO}F{S$7K;>V(&o#3asHY)?;l89D=@fX+OS zg3b)?JJFeaehr;%=lGQnBsv7-$GaQzV7(XLtPUf5lY4nXnpar6_u1W85WkZkcPPj_ z9F}Ac4BN99;AVAcccbim7T>J?>~2iiyE-FGEM4$UPc_DCQ*=LS?{&Vt(25s=s@H3V zpMr$LJ(XR^g&gundqqi>)K^RynS;0(aQnv^go#p&uCjYkn%s$`NiDo2h6^CL%5oY9mV=G&tEih43XHQ%)_PoQ*zsLL7WzReP?jKt8 zVtX2--XT~qKaE~*RJKASOJaPTJ)`NU@S`Tfm&pu)HUx%XD7u<(?3xh^M<8~La2))G z-!~-0)9^_xX!M%(UjUFeX7K}hX8V7T`Y)8WQK1`F*&R8}+#~9(2SR$^@(ZvMr--t% zt^Hy6$;A&S@au&M?kLP|Rcm&KW()KEWqvO!TOlfegQcRL#^FVh$76j#FPrG=kIon8 zHk`My(_m*OpUT@wR98RwG&%+eaYaKqMTdjQQ{9y!5GR+D;`f%~Ox|*)B1yIi7yz3C zfl0jPG4d?FTkjrY%oqI;uU$%TNQ3zEXWi+L1HQIohaJRbby2-9>4gyd3jN$_zB2nu zme$MlP`c1%i{?Cpoqhw=05?S;7{Ay;_ytshf3;Q9cf+0zAjI0P!Au;u_-;KTQYkD{ zl?jkKQX*>_mvk)l&tQqIqu1EV?~n@l4mW0BAd;(88kf|kBC;fYM|kF>bKOZ0{4BIH z?czRb_T(D58WnEpOjAUf|BBMw#6GSRo-gngE~f;mwIo2oJyVM=11!_4HQBMmp%HBN zXW9(`n6coY&1NhZE?ccCtM8htq(${JRSFvK;`a1w@n?KBzB`ZdOw#ix5ATzXxwD## zM>#=|oO;&pP<`qrU ^rbNP6mYUso6d!)QFMH{jEFUDLuNdU{Xk%z- ze=GmJ=dJvK^-EQ@Ig%JHjrvlRNl|ZdwL(Y}Yk%7VvK37|3!EW%Oq9b5^}lr_=Na$v zB^_UHoQ$U=gE3Xu#@-@|xH`B+R9fJ-#tv)uoGsnS7u^Z`qGVjK9+;}c4u>Vw2s-NU)HW8w@uV%YfP`p=Ddaw{s zQ(7*Hc~tSpX)@P1Ig#F{uo1nF88i@LPNVL=mi3Nnr4mxp`pxd0a#ia6F1@pkbxq`O zBE8?G4f%_+ewUsNV^7V-Ue%*Bc3Bu(o{cT)X|Y2tgh&0sYG3X7#D*Gr;gs?&?<1Ly zg6Bw9Uc3qbPr3g}v_n=kAP@`nRX^RH=M<^|ai7?$ zmUb|=K;jd#gRHfHH{5>;!KeM77zzlDXVh66{evj(Z^Q|q2|<`0q(!&~tU%3#TdLRa zE~9^34~zQt!d|E?httGR^dx)$==(cIZ=JwCU^8w@;a_!lXu9t+z(orq&KJ%Y(i<+& z<)hleblWJqsXnDY)m^0Fr}i*D?+rf%QG7ngC%2HMR(qz?!#$h#TT^Bj`>|Vz5dj2{o5LqO@}<(d7+Klj_zLS$)EgN!YS_{vEALVlH2%V ze}?LatvXcgMXuWPJ%vfCw0JaaUUhsJ{yW06vL@QsH<&w;8xIhDXHK#3k)-Y(jxr+D zx^@rmH9|w8tDiW_*6eQmqgOGPgf)$(rrNg`^)Qd@TnXR9Jf_>sUlt}kEKGW!Z&cmA z!d%o=w_La@D<8X--V$nbRb$J;py&*8;C6Q?qv54r{VQzx_7BV3h`1OphDx&sI|)61 z#eT)JVX;cqi^&ZX^Ay0&kjt~G*QIRLm?Oj5Jyrz3w}_U(eDJa-b)kPKUB~!ju#KZr z#T=rFo83iW%zMP34h$u2ezASWVhzhJgySq6R#vOQl=S7 z+P}3Y?&*G`5NTkW-9vvu3@ewa?QY9C%qHrG?Y}3LMgFQprUhRPKdlT#Z z?L#MR9Zwtcum)Ei8R^$hb*67v#uCcN4)tytW0e|$IKfvMwfIi5HMW$p)-!K2Vs@I< z^lZqQA`r!3JjdFdUdgh+0Svy!+T9QUTw=!ApLY?ey6*_=TQ3;;?xOg4zy;~%L#AC& zk^D5@f^_qIg(u^naHZNZwByR=JKE*1KtV9w`3wul~KQ{j; zgN)NPK}~MC#QvDbJm-q)dfoWG?z^d*5o@`TEX2M{B_5ux$>ivwuR~!zi>iYcBPQpk zWM>WZ+KuTghHr%tPr`krp;tHGeS(=~?%H(6^|C*3HgLWDj@jliqC{`4?RfaC;3TU@sH@`<@i+LVz9<(l*?aRt% ztS<%N7bAhQDc_?x7~>DqH^eNcawu-00S0px3=Y<9&m)_l)%o zN`Vzbp@M5~T%V73wrcby4D5)v>+au9t(PZ_GK1&-wf;qZuff)000%v zLCo46ui48eG~mm2<0>I#ci@UWDBg^>*pyoL9~qYR4JK68;mme{%N*#bzuMOp^lq-) z!3xk7?iK}uS)X5-V3%85`Oo0X)Uy&Tz)rfw9EkV(R(@*SKZ`&LAL9>gaplg`v*IYZ z43*&gx*4shHGXUSLBn0@4+;Nv9(&tg^nrbhTyQkImkG-3gRX1U6ZFts2Qk=CyFz+2jXjzC)eZE*M;DkScvsSUO2 zhdYiPL7KWFc{aAxtOZGY5S_P2SIs}wrg16Zuj^cI3g>zg#njE%6{+qu+1LFiJJ}49 zpY7-JG~4J}>A8w>o>w}SnkubFcm> z4pg81i#}}bcM~UsPuk@!dtP(D%hduA%>C=i=wngND&i_4 zuAtM2o>kY+X1 zs?BZ;=wH58&g9wHa^IT&*LhB_-!-A@#uTr8U$cRl+HX$rTH!P8FSl@VP_y2!H=6a? zMq*ry;Go)_b75$#v}-V}|D@W-on>*$APJRoPBy2?OH*Fi)&)Z*fcqn=&msy@Z*J>|#zDO~f!cjL&a-x$c7eu2_PSli<9Lg^ zov~60KkZh+HI&PE?5Dn;t-Y91uYqmO6m=bqTPtJLIPBe5#KR-wkHSU(!;;fNbyBkm0zLu-3mg(cZse9EH z_XoPJ5@vTR;ZDkBAB4Ix_nO$9$s7GJ92b^-xv*QO7c$}9JDvKXqXRdFZ)7L*rxe}U z@xMNyj+ap_^v~*U9ly;Iw!7D$aT{B1Rb(qWFGD+vgQgKp>t(u<*pz)fuC zURwOEKbqX@E40Hf$~PfXIP~QO(1u^}JvNO(@I59LQ~r_kbd^3#jHSZ#bSEgx+G1|X z4p@A*p4~*&x6z01812OLGBGQATGkt3zzK|A+0){%!hre6 zuFIa@)){aeDQrYS`!y#jZ!2;SlTK`W3{L_$2m$^^SNYn$S~pD+@*F?mHW zVR-mTkh$@RvOlfVd{r9*sxaWtck|c^gm(y?6E`Lm}1PIXdZLVOw8jWYEaN{0L%N1V5 z-r3ml#!5jgFiWpJLt*$z)>(+l`%MbgxzE@Wr)gK29F4ns}MjpOk%=teTW6Tzk;ZVVcSGa=3cg z=q64O*_~A_L}~3vgb5Co4yQ`@XgeKg@^0M;J}B_PZzveSubSniEyQ5k+Z@z`CMf(( zf@wgu{^rN5AGFa{liK){PZHJJ=pG8wsExX4nKa9}a_*bjXv4V{)_m!ys=r6r#xR&R zTJVWs8x`#Sh~ts&Z5;8HHXe~}qoDw`vFNL9?0D4oLv0-C+gSL&w9zKk+Gw)B%f9sE z7zWcu3%*UkjH80xzF%wO&pafQEs;=2{G^`lv<2Wj)}3rdWX@T(dKxtZcDZqs^mWodR!kzh)br?ymcwlLE;c z&{6}ABAdJK3EaX2pnmrjKVvS8*WMtwwAQPArM`X0T8}dCrW!uNso-VRDUwS?=FC4}Z~3uJCGF5MIgBB}bEc`lm#+ z(c!Yn_T&KC;0r0GkaCV~Q3zczTltq}-gke{Wu$3c9| zGqpOy$0g+-J;TqtuGNjbF;INO?Ikyr5@=?qm8J zH&xP-K{~xL38UlnPnEd49|8oM?P{g#^5YLxuD>QXc(034q4Rt}$XH?fBMjqy4hTRz zl+9?1*W5*Sd0CLxp{7U7!De^8S|Fk)q**t+Dfmh4{C-)2mrY7Ufjc27gx-Ez7@;?) zuTHM=9fUWKQ?Sr&S*~&z#Cn$Gd5U~0=LyPbY?=9cPj9t(;Kf=HXb8``IpEuCd`4OW zS>o{;Gld#Ex;nV9oHhHr>%ri1l=rSiPHV&vXZO!$>Yxv0tqYImH2P2kr#V@{`19^m z8VTYr4#rk-Caw3-iKRJZ>*9Cim(~v~-Cc>j*#W`W^UG?B0eB8=O*x->{{0b*(s5KU zD0N1ui~&^n5M7|~yla7TWX(M9QZOxMyZyZTHf&4wY?-GM(yO(CVvCN(1%VeyRxABM zSux{9j|ezJrwF@3N=W)@+Xlg*-gKgnE;hN*H8Oi>)VpC=-j}3SdMw+k`Rqc$w%2vr zwJbLeq;6LMg$edKFaI1}i1`lZwq1fBJQ3Lf4e1$wwED0=Axs%#5!#2vu`MisQ>q|C zupxM}!6zHe4kSE5UQ92#dUS7cPdp%Iv3L~xtJN?8j4AP?d!NP&Mv-9&x-S9 zn+BH7H~$XDV?R30`wGp{Sn0NQO{zH?2Bznp%Cd20C7|nqO)= zdYQ0Pyygi$)ANQC@hjeR5oTX&V2?9R?KS-bK$lxShmI^A+DhbY`ks$uoGUAbw$a3) z&3GBA8rsB>M3iOUKb>5xnu4IZc<7tsxwDISi#bm*ZL{+xPnqmKKP@*lak6`cp!Bh& zxs%-(-ln*6C6P~;jqrH0d*Bw%%=MtB@7Iu>MT-C=C3u7c>^Y{+6z^=VAyTUi6cT6Z z^!B`TTV7(gI)^P+#c+4>gNB$7wb49;ePFgs<@0I(aJRozaXPc20d&1sS5%-e zG+swMUiR=Dd_@t<**}bNONm4?9>#=jK5PJCUb`E=^%p>%s1DEBc>%;5uKy>XkF7q? zy!iByxHVpL1*x;L%IaSmc0RpZUg2fA!m7maV4F^yt6*JlcrwUcPW9sTX<>4`;!o=H z&f;>cxOmNfGuxepgz@w}0t-ogoqPGDNOFC!uQW1e9q3jls!BQ=M!@B*{WDBQ?B}0< zK{h$*OGKQk@1bvHNz`(oj=aj9d2BqB+RAbl9w_-~ZidFU=2cW7L?)~UkGfnd>m+L6 zLb}Ybug8C-L|+_PDbBKHs|@F*YkC!lbFwO~wiA(frN{w%Tb&wP*uQ&kdn!1V%Q`m3 z&)}d{a8TLK-YQfQ73*u^fK$tQ%^Y(93Ua65+k&+a>3$ZoTR|qqEmIrbAl`H{Y)28a zgtL!@W4y+@y4saDri$$^ew!JgM1@rNLW(*qO5!)2L!C{PpT&ar%q86IT^eQ}xY_*{ zR~X@5pX&?we$@0ZML-H`L(kOQDY3+uP9vjhJm9rK7`^qcHlgAXRF)Hb8I=%r-ggTx zoSWUvq=Ssh^wDA1cUugWeefK^U~9*DmNOn+Lseln0X#7z`G+>5fpGm17W$ zVV2u)C*)Ws9jWI09`+{gam0)Ey^`7&xraNWCC+fSD!Ro? zddBUj8@G+qYjxwc2OIKUc=ZeP#jnQd@N%y6fzP=sEvpa+Wy?LfyU@z!Oc#sFm2FyZ zJOox*<&NZmtf8_>hkHNeq?Y4+*34OUSPMmgll0~YTZ9Xa2~Th-5TauIZ-CX+=n(HnHbg--98c+!W6_DSviBCA(lD~@ z)xC7<4K15$ws6kuT68i$csEmA_Bm6d2;^IeV1o9Ry|;)vk<{f~ay@nle5eg4U362F z2uiB!JgwDvPy8lJ*WXn6iTF-8^cQ~jJ4iU1@9R*B8MCoqA3g z`zYHGzpwIr@}yRpCO2LqzekAOQd?6oH}igJdrbWJ&H)iIs)l-u%=L(f^}Y>eN-cPw za|N{T&4$X&Zm7T^vrqIX9%)+i@g+-Unvz3=QS01`alJ$1$|~R1^Ubo#_Y&Ws)L>4& z1nOhMn}B?Mo81X6i+gTv|}(5*{%`u zW}d&%G`GI;6Oa7e3dYCm8I0tMNUZe20-d&)EFVw%ZZ{#F|5)okpudzp=?n5#^;{0{VXt|X5#GI;#~6JY(ruFQcrjQtkny9`>fbQSvBVXTA=SmG9aZnI zxy8}UoX(BTJ{7usjte*N1%uLdz=x?z+p!*|T=b3Ik?;dR1$G|6eWt@r=c9S7H6XMH z*s|E05Qns;sG_6mfPB3yx!hZD`!lQ472N3VnQgar`LKTHK+J{&8B#S9IcDi$*$jWX zL8qSQL5t6IK6rcs~AaHkk*S; z)s&V*g$o2i9`KQ@?*ps_E-ru~A9WT}x~L*vQ-I}ej~7XuZtEStrGzg$@0@hd;@GDp zi(?lY-ui{iihkHa$unKUqz|>Q;0QWhk$)fXp?UTG@p~REFClcW;~%8O+45EfQ5?am zJjKj@d2kkggf675%1skgW!4qBg&P_T(r-OX6#5>+SoGS zLBQN~@n7!y+0B2s4?^e(#aC6>)p>IFn4&Ju%cJ4C84}p%D{r}h_bx8Vqa@!Wm~qIu zDAzc&T%fd_F3O{{r-W%EH_MjxaHZ{ZQ68o38>Wq1lv~=}06;LIl;Lto$y70c#4-8q zUoyHC*#F>D7N0|SJC=WXyvGPA0{>XXqvdISy89`2gv1g^e^ANqwD(hOF7yuXgrD*s z4ufsdtSM==n~Q>gPw0Rp3J717Twq*(SQd=Cg4OjGeI2ZN7<7LPtonq(9!R=)CVzMc z0MNxVxqZujX(@Hb9-PR+#kDVdW#w*sEZ}8a25ka;sn!9^-Icp@%!&PtRfS-W7~i>_ z-yFfJ+@0U~ov-hL&hG+!7j=FY`7zN-2qC$y?CX&H#aJMDjzv_7cd?U?=I6YE?b4me zPfNf&)6&#R>qm4qXw)zDVY$`vjegD>Dj$>I@-c<(-LrI-Y$Q|zB7-xP%|?)w(taTe zm2|EF7Fzs!d);zP9Uh38-do8bw(KTNp$GNcF8;+mG)9Yu!}_5f??WcKYbEzkNn=YL zSU%&>eqAJc?5^{G(WB-eb1IP|@!0*1kp~lb0DiZ>DKcBLFm$$Nch5N0yRYi~h}MU$ zdpWJFljb@f1lxFaVKBivpAkdkw!SA9mkahs<{$D+FWe>CC0-jN8ehIJGrK{d9KNYI zaAsK#Sx&`kcMzg#tMZ}7O!Kiz9&1{;bf?Lk^gq0YUW1M4dfDAUEu`I1q-~E-|$K9Fj}`zpwsbTz8al3wbFIQt4rzYt@O3N^rn=Rp1IhH zvau4C+U_p$MbWrlS@zST#yxD=ALKWKJcTZ)0DsMdtEOSf%tOzxa8DBwWVZiy8c!mw zSrXzy2fYaCQtkdniw1u!HrJfxDhT#s=O4fICbCHqw7z=sEIXIi=zd`t?ju7Q$yzLV zA+pE_g8r};uyH`p^X{`3kXm0=T3C0#j7Mk3CeI3uDW_ZhOH`ps4b4DDTj&^tPAtX0 ztO54ex7(!Jx{+oMLCX~hV~wB11}73mxn5)rT3sJxhGnhE~@nu0R`1L+|6we0?MmPgg3h3-=laH2P;W&yJ89U zE8=#AtL>6Iy2wg)xoO9k;4VC1WK!`^F1H10(cAhR%ecCUbZ{i|Ahuq-s3TB^BIasQa$^E@T;f3Tmk5?8-I)c8_W*cfd$Va?gy;EcLuRx zLJW!h*-T%jAytX7yuHX4B-0vq+64YHFqI8gp<~^_u&A6TJtZ zGjDV0%_Nlu3h|adZi&Zm=UONQDi>G&oX(@=7=El5uQ7bq6zQNSDUz=5uTn2M!se-u zR`o77fiG*B6_h;ET{|d}D-I?+C@%*&Uq&A|DYRsXbPl%0@IB@49h_M=X%B#;CXP5NY9dv4Gfw;7S9s|Uwq|+h(|=|w zMEq@5LDi(_w$vg7c!SMFhn=222ssHFiiX3q<<1Pi(s$)B%xj!#YhFtZC-epjmD{q} z_9pjY-;}3}JlLe-x-7?Bmsx3YU3NGH$#a>{-o2upWWqP7#+1?gx?eJ@JnNTiJ`L!& zdoI6t?y};#`z5=|;%D*ufBPjX?BbWqy7G0uWHnY)%2#DwNgSr}uATr=0i3c9%o3Jx zj_QnjlNH1~m6{_P53fT98IKz9PCe%^<2AQ1-pfk(5b{a3GoMdxH613(x6@d|Xag`% z-JQu915MlP<`aqB0c8UEJY&AA!91Aa8*WIRS(a>1Zp@qnXV5c9wq20Pxxlhj{@>Yp zDcg(4HFZx~=UE)Hf16PhBn#4`N@-#&aq2QX-H%_FMF@wkhR~$@GjAyUiXl3yM9)t;sGQGC8Qow>ypFHO-W3cbP4-mxB{U!~Vuwyk-K)TW(Vx zcBqdQwROxK#VwadrsuH*m!w7wS6AY-vfo&yX)vpY5w}8)zf4e54~sXsJJ}y6`y)jO zR4t$7AnV2>WBlm~#Fkv(;ex|y&elv%g>XHf$_i31Y4XQ?hO~V9-@oj5NaJPx%eg9L zzj$pM4eYFRO+cm7d_&W1`y}_ZsHW6b%E+-R`qFI&CkuU(a$2O|3uhu;^E-XaD>y27 zpr4aNU;YxxHraQ1j$5jouq}gvtYC0*h(*s_^J#~>km`c|&%DG@+b#8mW%?q;{=QG0 zJ3{vfUmRVEvKi|oiJtL5*X+*TAFI1^E+3J3Uc~^DobD>?F@(Meb+(oDIF{GMq2L&{ zqEsFT36fw}ouweY&f+P4j!w`T0X@Mt;Jec6yAPqJ%X(-Pa8-{7#k-|k`vP9nyd~YCf#9E8yp$X=p$#1qUAUp5yz)Nn{Jlf<1Md<}x z()m41TSny|8%!208%TNsyj4&(nR7<%3lwlLT?ZC2Wl_Vp9VdU3gusb`qqGJR{YT~K z(Qj0~9=+_A?_{AB=7y!9i+WAbLK9Y6Hj)~u-QYuWv1y$__vwd%)ZO(5`tCk>th$@$ zu4X|#q{zlF4Sn_5ZzG1@>4S$FZMtVUeA%S&_huHMpw8Pcd0}0+Unj0luhxo)G4*M^ z$YAT57eOE1R_&B*C@Rh$D!i?0NZtPsg7=glt=e4EOJ90(wv9fKyYXh-%28(}>$!zg zdMY)jETkoOL^49@OJ%Tv#haQUy5FEk(u+YSWTh8SPAQQckOwG5V2{=CmVF2jQI!%Q zq9cf(3jSaKBtUWhkQ!;6eCgWynlGEQC~G#CJBf4bB0B1;hui+Hg5A~@(nbXb)e}2o z_NWxm0ZP|YJ`3tAtlwo`@g)V-RoN8ou@8Jn_o|5m*WIguV1ebl@MT9;x-B;Uyt+n9 zZsD8V7aPf7bZROLIe;O~7APyams!ylFfr!;wlUMk)i!{%o}dCR$X~dh=((_s>T>lJ zv@#@CxqJe_nYw(-y+?TVY=`U++( zQ-n9m(qZohC|;A&QWDSMbs-6R*HMI6V%8*g9vD>&)(rOk!L6K*7!~^$c(G0J3 zGqlCh=*}m)wjb zx;D2z>jC&VE>f`#W?(C3x&J-}$@GR*!>N!&`_e-*^^~pn!x-PrTvf1~*T0Olp6gtT zJRj)1ik?pPxq|N-*UteyBlb^bVd~`(Cue(EQ5dX|jHYaC!TgEvD!<@e9^lLgVB%8o zsn`c#M0g&TA}it0s)D@RF69N<{pS04cHDh0zqWHl*^UM=)Ge312!%4z$7Z+e1zCSW zcSU@EYYM+2z805Ju~M$2j)!tmTP@Nq4zDND#Q^ zBc(DbhPb7-qT1$p6wkT}1U$RkR!h-PdksTj>D-T%h?u6^?D%4W2;6%4HGPmz*yRlC zorkjuDoQf&3niq~dC6B-!Ut6p2e({BC+jK@Kl}DZhjJ?pBvE1o2QDiHXfP@UW})L4 z24DQ{9bne~hrPD}kFvTJe`hk2WJo5=00~5i7&TTj*rM^1fCgd$qLE341f$@$aZKyS zff+?5F!3celh5$DxXXNrcGU-`ejx zNwC^;&hy;!+w=?`@=7#y-%lIb3|J7)egw`cVkK$B5vNZn`reXHuR$IXWp|%yuY=)9wd6& z!c*vIp#xp&W(+z8)UA_*TpXdFD95rd3^6%UszNhYQDAwYkQCdt@P}_{!d!q*-!^rV zPnx!}8_i(hjJrjCB&N46@02&tQ+dAmw$5|xNS-|_8Re)RUSgYmR=?87%JnsYk>Mlb`pkoFcXYG zer}Oq)7(NDXU@%!mFIJ;YA*7tV`T}mj06`RI%Mfz>UlkW2xg^IJ-dx1s)xlvq;1p8 zpu26(F*A9^d!~!~GaJ>OFAiz@%J%s)C6MR4UzG<7WpvQ8b}Hyp!6WiWSL*&R zFOb)U(o4(pBd!>S4V|p(x{edRBE9tTB~(83wscv_lqcuRPCVzIfuyE0#9cz0!i z^(nGGdfGz^D|6$RHthYbRvDlHm%OGm!#okx?t$m!msw;(7l50@olcxOlNZ4P>g?P! z>yDCTm*OCZx(O})B4)Unw2TRZS}Ub<$C8PMNZ+=Kw+}$oel8>g)MpcfeZaC@fA8eK zYo+5!KxXzbo6pvS&#yj(o=}9?u$~JXdRFst=X-47bjfUuxvt6C5}#afpHIHY1JUv_ z4x4A5aO1g=V*p@L$hMewtJJ?t*B_yN-XfAnZJw=51tqdhM_x)~%0`8?2B)k?y}N>0 zYnildcL;&mkPXyu?ErcF;zP+`$a{XPL!Esov|2xmq_6KhH-`!MA0B$KN00Rn^m~~4 z;!=!vBFb`Oh6ugb&h+fR+oAfFQwcVo!h~5ipF*=*;S-wiGb&=uHtbW61Im%+lx$le z>X!nf5RZFi3W!@NE`E;`rpmE2$w-F7mVjAPmmfYRrQUO^#7_e5_&qDcnJ-DT`J*m1 zla^`oM_uYP%GUTN_iyIF(t1wa!5$)f%)H1MthN@BKTVcWP2Ybk^!QvugNE9y z&EX(QWiC5uZIoSBly}s>5q(y=ByAbe!s%vIY!s@TlQ}!rn)i$Zw$84$$%)8-kFaNb z@fTktqlcW4aivd5W9?hKFR}54Dh;n|H^asa*N2^btG*FUC1|#`SW8uRU8fs4_%FKs zvs1akXA5611n>gW2w8)W!-?0zB6m$d?HkL^&?x(I@EZi9SFYi(bi?Gm$g}MFBd0dz zsgUFh&MWTmJzwd;RM1gWV!v)=z0uRDn#bxs)E!YhMML-67!92)E4OUccLK(hK2S*Y z+S+5M>LOk@U)E6H-d!P@Q@~Vnv)Gr4z%@;YdCGE2#o1&qo?zzoo~~b~NPN7iE84$r@eB zQi=(v@93&pc35XQWQn#*7H4F(l)OLo#0B`I)&;3rFC{?Nx)4XIR!J35KciC{(}HS} zF4QhSMn?)5n<^lXD&XQ#1xTuZx`G0RM;=}lT^NaQgn7re(G{|7RG3jKb&r%NX@nvn zNBSMV8Zx1l!Wb3e>xxG4u|Ka3eRKBkHh{LRkz6Cm!7w?&HlvbR)xOB8udl^T5|jO@ zaBzWi)372ho11h^3CBJoytS>VrJ@<5Y)G9+g(G=|Q^RKggfQU!JK>~u6T-O~b?Vbu z>esX*=c!Rt0=#(Z+kzKA@LHp~Mvg|vsE#L_wYjn$gOWz)cCM8Lg*mwPfr^w3#_Cp{ z6X-2T!b`UrI(Mgv->i}O+>(ylzqEC)dCX_7 zIh1TzyJim8LQmkLsF`=4I7@pXVv+Mtpfxqgj&bR1*(Q#ocjRBYpC6$~a`LX1QWar; z*3z+Zy78>WoybXMjnjUxKlMQb4vW@9|d%*Y%^a?_>Ct(s3z zcaPyD{~p24aBk^6GAY8|(tBh|!o2TDr2PS@!f@VCS=rT#p9xzdG)6E>7|uJpY<5l4 z+|Oa{NcPJwC9_#bVG8Gy-lDleQ>E?G>k4&){)nvEXjIC5_^`mr7 z>z@ZjZFt1S2}Y~XiIJ|;kLdiVVz>uhhxJouh$J`Qk28VpZ=x>v{#Y9cbluO8$VD*E$vs&l;*V()ZK4t-cp`q3yQ$6Wy%MA4@lB)765{ zwbk5`8-$COeTF$VSM3FRtqa0Matsf4yrS;YdHm*I)#=N`99kqjR#231bB?~tPpwUvs0LCnw9UM;rAHG-gY9WrL9Ad6{XB=ziX}3VS5*1-DHg)=szSu#gsDwbuMm z@0cHpU_hp5>)c@kEiX*HN3u{825ax|S^$si7FF&7aS0uI2G{UVexDL#C{JZg>FhI^ zL6&vEjq(VF9*?qA!KYgVUy`qPB`c)lI`*9EnM=gQK2%*r$uhg7A5;4AKa>d#sW{49 zR;X$FN$gq9znR%1H$wltHO2S+LmNvGEJz!#n>V_Rd9Rj}KY7!^WL+Z{1Zh!?weo5u zIJJ@6t;22mHaVqj)ptnS9%t$w**4kAR2G_6k&jWN8O*QIrCyXHF_z_~? z_M#ELfqxkEDOAzfH?$Ox2bXjjr%E+i_dx2eA65TMA|hF9%lN2szdfpit8@v^*d=iO zd8#CJE;}jo05R%ecbcPN-8lq>9u-#*-D*zUc! z&#~PK_e|Tp2X~q6-i`YL+r1O_Jloxi`(oSuD(-;o?!mp#cH3hWoj)>G7XW1>UCoDr z40rT*iSO+D(Z5(7E$76iN;2oN$?d(;FV{08+NF7Hk+crmnrlEN(p^VAD>%iFFB@n5 zU1@(;+25<|@74DA8vA>#{k_iqUT=RJ?C%ZsH)MZrw7-q^_uKaOJNEY``+Kwfy~X|p z>~FRGt+BsB`@7Ko*4p1X`@6{gUTS~q?eAsw_j3EY*#0iDzgO7bEA4NY{he)p=h)wJ z`+I@?t+2n9_IIxRoo9b9w7>K1??v|aV*6WVe=o7W3+(T7`+J)GJ>CA6*xxhk@0s@Z zEc<)5{XNJ2o@;++*xyq7JJbG(jRV1h^X%{W_P5af9%p}#x4$RY-y-`fw!$R;H|+08 z_IIlNJ=y-AVtAV zhbT8F?gu$UxRmm9A0lb{*Dj}2sCz6=999}*Q*XN`-X?0j@undjhg9cde3ZvxgW5oH z;$mZ#BzA;7Nu4WE8n5Vm=3;UnH_`t&L*4~)%KG$G1U6tp)8mLuN}}W9<7ZLm$xE2= z)8YbZQBc*eZK>yeMo0KRSh#9?uZ!gg5o6I8twADrZ&FU39FE)hD{}<2JM{zW?vTHk zm$o8STIg67zHY{hYp%ZT%B#Qgom;MDya7c1ad+T|fAj^x3j%N%0Gkh zt-hj%_+R%}EN=w}p+`HGBTh6#uUjWg(4A%X^{bNqfMDoNgK3RvAxWry!ObpXlgF&3 zhxNEy-Mc2VQ&OKZ;B=Ix-$%l5cIbTxaeoJFv3NCMf113^1ujEmaRQuSH2EF+AiF-4 z_dU{H%;f|o#||A<5t>3+P?ZxH%LtvVJ!gq0GgPBJCrk2Le=N;7kNb?q`5?Gi|V%0wh&g&pW7DOtDZaL7}Mptma2uNEnkdbSK%^ z852~a{z&e1_ezrcBs51ttJTjWbPY~pmc${wGG>X_5h><+q+?;Lqr1|-Cn%rXBJIl$ z>yJm!TmuSYV9b(gxguc95+HOb0upLyp~nUE_D1^BSm|~|GV~qJ?9j&&yjFs#XGM*! zXN~^kKB_R1FJl^(*2%3 z50}h*s#tdcZe3raQ}N`bbt`*xy@daGBzy!u!dF`1Ujdi!Lfz>zDO=F66a6%C^XOAD zPr&Guc+m#da}8UvOFVL>I|Jnivol$HK-1DxoE`_CTp2i^^6eCZwt~M-vrneh5}8_Z zwfwW{gGr`X0oXmp?4mFf6{eVnVkqEZWojr{JDBjDD^m=Emr(6#<}) zoEV+eBo2&y*^UWjUeQbR{tUTJog>bebM2Eaw>i2TPUYjEoQ6r;EZEgrU_oaabtn(& zl{CPt^6+KxnYm4tc;1QIoYp%y3%e?`&U9U#b8! z$)a(g2S6ru-=|K8MeVHL?(`=JmT}P3@9CXnisd~?SS&|=N!2d&cU?Q4XEy6tagJI> zv_8CU)6Vt>q|TjO`NZ}T|2H~9l30nBHb^-fC?%`f;Xq@S0Kx1o*{-3C<%wVYiklW+ zu4nX`^v~ANs}_A}4ZZg2WwQDBx;6B_TW-OZ%MIUg>-9HY_qF8~P^YZ4BH`^0lcVpOdlmGPd36ui})}gJmNSj9zC8B=5cC1$JYWB)70c%82EO zU#}KMsR8vAl1+<#3cvJ_lr3g7ZQC!RsvADd0`eKnFKOmUuvo~g z`Xxh{>bEQ*w(15c???=#ZdP(xY~LH(R^c7`^tX|W_}t``LevwV>$xdQJr<_qZQ?4w zxounDw!WeLzcjZR@3{8=0+n4EKP!!$qV(|6s#GJ0$+$VgOi5()BF@4ms*?^0e(9*vJWKGQ6ZAmKncz(ojQeZkn07;X_xrJeC=o z>z*H;&P&a_+&n*gQf#gt0qXqllo%=*a|`B&^I~%gV{?ns1(QUvkWdR2px^?9w0vlP!>_HFRC}M6NpgAz zeHOnx2|J|ypZ<1WTk+@L9r$ubNmg2jnPm5)uE?pT-{^3gTbn<0@~`>bDF4EVfo&&j z3%}3Blc}rwBPR}Q1dSY#DT!l9+Fv%543F#QqJP-ik0?5vIj?9a@$|fT^LRVYJ+F9! z4Q~*DN8keN_?0pMkm~nx+R|EGh^fw>Q+{D&KT#X{(%$=)9e7IH9A|5}ljy>>Iccrs zX}Bh~&2bqU-L2&=qtlH)HaE&!S zb{iYqh+D|h)Q!5JXi6Ss=S`o@HNyQRTiQ0Gp0u%ev$?^>Ma`Rf7O5Wyi*D|#tW)0} z5SMJ#vg;NF)l@iZy;IX1r5@*Des+<%OlRvYUE;qDH9>zstc8JuWJpX2;`z|>fT6{& zxZZ}(59)U!q`)q#K-xiB~~5@*#Ju z@Fd)GjE=MhgLCM9bEBSLvhQTi`6dWQL&Thc2Ze~P(l>4!*I-U>h@C#$*qBD9(l>7Z zn7D>+_obR6(tFXM`IhTacdXna+Mk$w>n?Y9F6L~gyPU%xetFQOyIcsrZ4QwPjPdQ~ydHM3tY38QnnNL82=!DupGLoB&_>&QDGU8E_VnU&Fmt1k> z<;EtrMbGt{L`~lQxo&4gnf?1Y)_t86|j%hrl*Ba4=`!`DTs zk`6S7w=e}bFcBj~Rr`!4FIyJqo;^GZUYt+rF(9eV zlT?0w)KRHa1rA>0tSacAty5J?s@o;ig_3IjWp-JlnxnC*K<&3UEoo7xR+2v^$?ulr zo2=v-@e0(|;e?qb9r`AfhH1Pm$E$ul96c#?xs$Z$$CL;=?$H_=xGE$I?5tX!P1Gfp$!43w z(}+J3GQ0ZzRRaZ&HPdJ+a4=bVq^X`C(bU7z)F0IA9u|QF^;jRNi(1guv}y?-Yv^~I zOCtwpLG9i#Y%IJfDTl z#zfeYArn)sGA)Bjt~Xor7dBx?Pt4e)#M%Aj?zHeUuDJPKAbt3FWGpqLZ)9Vn5(e3==5h`D5&xHP!9p27i0#1zDTzjh%;QBw#-o0A-E`Eoav-= z5HGY#GVG8Ho2?AonVb|7bGxCBByQQCq|g*8HeKlK=TdB43dAciTyHlRD`~@|(6N%HK+^by z7@TgWS)b`!KcRdsCaI;OG0>g8dTfT*M2!L!+|rcxjX;_JQh;##p3(!(0G;r1{|0|J z)ck=+4x6tZl22*VP`HvZ&w~-I9cq48!cNo?8!@d}F{IrCJtuVcZ*qlYHs43F%`fW` zs)kDt$X05o#01lAp1uf}L3t;<-rwa8Perl4^b&6vg3lx<_hwgmbz3eTouix_bwMTa zKMM)%rQxK3ZsxZmd`y4EkTX1~znqn1T%(f-P3jK^CAD8J4O{poYdxzUc$)jnAQK=q z%=33*Wy~V>dd;V+(Az7mbVuCWQ>epSl@R8j8ViX;i1|H z<0RV*bBRB4M!aID-k*#}ns;6=yZTt|t53^Do7?KKs#gOc+5M|6w+V6=u_}Lyt`OLg ziIa+N8!}e1;!XW0$LY!=PJ^47h&VD=*R&+^?6p z9pQ1Mcex|!rOQ0%vRv+EmVC_Lw;OZS^H}!9#$OLzxLvne*){fv^Js-74o346@i%`r^VN!*LE*$|cWD$jTN*A3@D=v z%S%VdnNJ%l%#M>0E2@C&naibt`!%sD5~E39bOFS6|;m zkB6r_7}^lYNXyE?6CDy=^<`uJyR=^Sp#ObV?OO5KGobT2=u zJgA4Nq{BiQG;^6_))~P+lezn^6M2Cxa~B!Jl{enpa0{77{maFjD(iOKUw7WH;s#4r zGF;4+p{tjL9FE?GuRU+DWf7>#1y!?V;D_Z2HGiWch5RDd8={4rHFu|r<3*}5W;yP& zgTzq~;$$c#uPP#d@l_8WNG6|=#HD$XEqs(Mqt`xhbM+-%y%9lmPVjtW|81ks8ujvH z14-i%Y5o`OQblRHR3`F8`6}sMSyw{6_!=E*-`h?Gw4dK~dsvB#S@NsF7RLhtTLvpK z1JC^yjGv{i~Dy{hP zBmh2@Ia0w|UH&<`d}##br>o^w`D-O0*`s!YO7?mw_6GE-hv@}lx05+Nj=vl%w$!MT zX?{JnazYQ`2&$j|dLY@{!On#~xZ-0yH`E-c@vuDrEkjzNQ%xh)x{Il;+jZfxrFXOO zIR}ru)@rJ5@AGYY2h1V1vP(S8rGGp4_p#V3>F9fbB23q;mkxeBmV3-EN$^dkDUF-v_Z zf%r!fTxiUiK9QrL@M&hAILtI-5C+Q_Op6?=!TS5yr2^K+V{h}-1s65C8q?Icn`9Vs zd6p14vF^fLa77j#78Xn13Wb2x^>>%KAG(pN)rw)&$CUQE6wnXINNU!pwE{AGo|uN6 zu^ zzd>i0cuM+9kJ zn-IXrvGjZH!~00pZAH)%$)MUpZp^|Yyg~JbIMtPuJF+gzIs(&_s`j9Ib%Ip89DQO* zO&wBV|E9EX=6dkbk*Mw8RLG*+pSW1wVG61Tq&2J}`^qBe#!Jb>nT=_h7TUpscJLI8 zItA6Ytx!8GtMst%r!p(eeL*!-S7!-27(1D3HdLIx(M@KqZf%V^mDYl#7K1U|e++9t zjUOFl;nA?2EbN>e7 z`(ZXa9F8&U6f1I~k<8{QFDnyd+}r$)gVT!U&jnRh6@;fX_sK3he7gNT&HheKd=nRE z4B=z#khDaB)rzC7R!n#v2?2&ko)?@;q(2XDDBBq6VlIss5}}CXFeO1 zL`PZr02o=OL;u*D!sj%(E$tP-hwinp^TN4_$=wx0WQit@vm9lKe9O_1@X>v3r~)HX z>f1*eo%dEl`7t-d&jk6IBtMfAN6FTBFmaSEd`|dr=po3&Apo<`aXyU_5%Pn072K`& z#3+8q)#U91Zz5L!->{V<_ea^8Aq%WlH@z+cBJ=QD%B9<2y|!x{x;ZjlcR=Q|0m?|E zKPy!Qxl6BMP0Te9FH*l@i0k2J^oSJFW1U!-lF?xhny>dJ;kjk_7pWf92SgUqTNWA1 zD)$p9q)xs4niDn^ko9FTdz)&n0~H<@H_X`NBKY3L?xMIe(3lfcFYDJMm_%$4Tw(;L ztGKiD5KGjbu=JCqS!Q>!6oo;u_!Ul9(_rEcDJrb-O>8mASzm>x zG+h&voW_IdpkQU+WEz2pagK2?ZJ8`X+1if`|0EzrhVSWj$3J1pm+}4#Ldu|)@83l) z$t3UX>!G_w5>4C zrH^{mTQmXagsM>1X~8;WTAL^r@1P7VLy&Z!e~z;-k|#p4h>t$bcI^}R?!F2VDdPaD zKZg!O{qTtCJxlYZK`=qOlC}GYu6&%ST;C@qNJe+%0cou?aA(#LUFiw?g(&K3ZiKs* zo<^xJBA6oq^EQvWFH>1V=AoJs{_`?ECVp3a1<;<)|4w8~CS5A4C zE2})=YIT*z@0V!v&&6AtKTlE&1{%7GyGtwlOJ5p^inmCjYOC9_tjgkX2`hf1v@@JL zJRpVA;Y)^Gy@`oVj-;%Te0MLG{?aiT+*aLAxe{p|&{}IQ1Lx{uzUpIt(Pve=p^9L~ znSzSX&V<|~*v5``g~w`x1fHPEW{B(O8lCxOm(eB1^t;t_`}`k8EZ$ykY-Rcd}y0XQXvyPmc%`^27jrJ ztui6LzK&UT9ln2F$CGp}L#pfOdxdQ;Dn`JeCn)C|2(G;Jh1gy#cL&rdyC6Lc&DW0K zkBx#@&>O!fH+GRbmW^O8Eqq=}^0pb>>G%F0^b&s9$}@YBqZTRkHaWDWRc3>6(Req~ zr_ISkUaTaBtne=OZGLAYU1B_1M38E$RX;N-ea7XJ!XEPUMM1fsTF9a&cB1OT-;mL1 zLN0a_5ke33`o3@!v@4`;-}>Oh8g;Hd3E5`}{YCD}aX>8S=_;R?Y%uTgH=xRMG|MKN zjCIT%IwYVngwwFj3WDmFveu3|=g|BRocb8GirV2{ZWB0l+rAT2kF&H0boDEa2OLi% zGak$>oe&wv8gY>)UY=X(iligUh)sY`Im2A$buIH$p1jOcM<2&r0Sn^E*5H@!3;X-a zC!|HPq?7!@!lae-2UV^Gkaf<14!xR8oh5%aXN@57*&GF6O7&E!VBbHK|Ex9BDL-46 zuLr?jAxQqR(VcAB66sK{vtpPpXx(~i?yM8eAT>syysSc|W8FE-8A4?q)PcF0EVMai z&s-{304=`k%$c`GCOqS0!DJ1VpTwkm7Jo^xWb|;mk0X zzpshp&n%9lwK>k2(JrERiC!uE+Jh}5iB zW1u)-OGB)DJQhvDMbWz^I+~`*qKG^%y9!-%R$}$9T1M(^EuXl$qiL*0I(_;C-drT3 zZPVJqa7IJ(MdKZ5Az4#oRKl6s6bVDVrCQk5pEKtzVMaFcU@$~jXHLE3K#TVv?0rtV zreWC66qP((S$Fk+N7zZ6FKHW7QF0g zb@^_<<*aLy*VV-lpV{RsNt`Q`A)KelHn|p#$~C2Kku|S6wMY`%cge83bl+`KBBLzq zNeZuZ(?k&|sQw1=#9Gbx`bXD9+>ef)gr_0lE3Q)~z9ZyKm;rr8`mSEsrA2Hr+ZLY3 zTlF-<{M4GWSIM$Gn(x+Ug<`)?)^B(U0pGWJC*2|j=|v2vr*QaNrCrP17>rAU{lmn=^q$0Rc6N0A^qXR~GQNJ8xQCC0ZM zJtul-bl68a%kWuxN{|v%uYYc#tX>lq1l5DWz!`^~2(KxBBp_M1Q;aX;2*_O+8{AlKpW|&63h($7|*Nxs{hqU-(j~ zuIa*2WX2PoZXOnn&q{mSNZM(Q4tthdJyJOByd# z@xgd>lgMM~Ew|a7IJr)(gASOl)cYQPz0Trl)>{h?99FZHayXyP4Aj& zwOle9v!s!T&edo1d5S)jbUY)Qn8X+q7{lH&G*zr!)QXR-F9@@l#L)1VwcTM!j(Iif zX^WUR4a5{^GIDgPDECMyP3fW+`LVTlly1AtUD|WIU0`lp>P0x7Wz5w3C?L~S4cFs8 zvL2fyUIrInCrPz|wREklPAwgBv4Cxvcu;^AmCSj@#vyp@{Y>-md}XR%6VHv(PIF`8 z(pZMWr6$x!Bl4340px+|auRzn+5-`ye-2dkf#WyG{x zsylr;YisUGEE;c*VS_+SlCeH*c5=LTLD3A}@S7>e*+OT{iLu-wKsUWbac>MAAD$Fy zX(pl@^(aB+k{!?MHWd^#&1{=kS<=zJu`ryT zQ#6s${Eg%;-WC#trbB5fyNpd2L)JUjs6|yI?(oYzmpRLn<(1lFn-7W2>y_zR(xYBy zLdEiZ>y09Y1Y@pw13OBODSVilS-aG;#C23?hcceET5>66u2a*!Hfee!VFQ7_E#YqA5}9(hX( zSlK55wC2>()zcKkq7u-YeT_w2b1XqU_r{mOqQIwc!8}VS{x0sRCG-|1GJ&({@eR%I zt~%UcY%Oev1;;0{^iILz_%|nQ`T>t%20U{(t_!HQVE9sdMyub@r`L_w9K*`|dBPJ- zNV?isINExC-YNuXqrJGT7~3#VKAw$N2CJSklAWrDXyHGjdV>|77F3g^b0EH{qJy6K zvP#Lay}iw;3vKJs#oAr)pO7gG>`86D^%qU7RyiMO>;g%qSsgOd2OjxAKIZ~AN=>6oA47V8g>iabgUU438^LRN8#sW54>^OfY5zPz6 zJDkQU_{zn`DilxQH-=aor5L@m-)b)M#;Xn^>09Kft2W_$H~dw@5U)!*7p1^BdKe7y zKQ}u6bb%ppif(~#{Q~;zIIGX}&Tp=@^Roa-KnmL|3s171$l0f@GBLLC=*9x|;)R-2 zVIMOK+L_rNc!*U3<~9&iSN94`^7>V+zeYWNj3o4i7Lp*SPSkyd%9M>~sd5{V84YFz z+Zs0L-RNC3m=kMc6VeP;keh1oY}N=a8$s+8>D}lsFC@Ggynu(DG3Mb9r>*ix&g81w zbiZ+6-^wmWxRd&DjwP&rs$ZA92sxa$CaA)?Y`=)#Ph=V%$@f_2zMAO+E_Ly%I;&QL z%5+XK#|j0o0iv%&z&nVIE0));G=ws_@hVRZwjf1@SRGopnv?r?slwQl53>D3dXtTu zoj8sh%u4_J(dn6kUgMBdP~kzi6t9p90zoREzwHW|tqi5T%Ul+gTQqNUK2FQ1phof` zGqNkX@XPsvno5OsKEfJxudGq8TLWLx5f4r>Hj z4_<-s{LNiyNfMM!+VRORMr}o(kp)T^QH=$!^NKQC z(Rewuc^=ucRJJfOhJc3Vt5P}R!TkO?dLPSJOJ>=}l7v06m0#O;(CtyEYq9A?)-*Y& ze!?86*CMB3nkWxGF}&*N{(>K#PZbQjmX^1RlvlIt0vh zR$_R_LB>XHnnz`thm`OdmA_r%s4mjxA@kOb;vxN{XOb!{_RWzznulB>#mLl3!2&P5 z_Rm(Y zPPNh6)UjkhZHDO1)BISvYG)g5(`8wI^qH~&uf*OWcpWU_7&EK%qQ$qP_``a5X^nYN zpgNcgF0>AKGrP;L5^&{iL_m{wd;RxPL|_HWt?2MG{@2m-nWn!qxcD|NMYL6TTHV>n z@<6hDG1(Zix~JJU%JGdT*mY#GI=|~P4rVoFMmJ7x^(WG!8_#a_Z}`Z4;k=eDP48Gb z`^}qnqx4bIAClN0PumpvoCC+!*4ZDupHXp#^P^nqn-ITWs)L*zC8)EBl$G%o34$zV zSV4*3u~<-YEqc&AbHaX43}GWyM-i}O%kzaak*7z=7(GGZEj>-IelfN?j z3BH^7`wM@s@i!yh>iEs~S{+S%2l-pd-{1K=7YW$|qyD}@-V*+1lLs#M`}%I>%g(+9 zv`qZ>F+Rr2X?^G0{?+(-Y^&I}`cy(%mkjj5h$W2=cD2hHU|+s?UM{pe*vX@eRd-k# zX1{Z1gk!TkJ7RjdLDXk9+ zZDLK_wFNewAlFv5Bz>2sO8=RZUNty6cCe2Z2Z7#iHElW`wDgz++HZXDao;gk5Y-F^ zWf2rT@2tqN(Us0KB1airX;z-*4?ae0Z)3mr|L|@;z07aTGh()Zx^+{2nR4 zCzTZQMm?#N@fNuzi{`S?v{PQSZ0hBO6@3`T<2MR^Au+{x+1*z0UVvkq%}6m+buzLu z8R=DTilBc}zNGcti_Ly;O4TU;Um#vFbnPlS@JDBy8c9!9ZA#?o2eQW|D>{-@ib62? z-74GG(%MbF@6DIYRfEMa@9n~BecJ|3S3aJmHwX|losiBP0Xo~{iaSMPVbUC;sOoqjy_u#RaIc6YcOZibuE&mp}R#aW{B{8kI-X^=&tAxSD!5Q zM`UZ84Jm5X*}8OpNouH4Dnslmt3JVQg$2J|jnSkWk*QqKp9ir@9BWsDfNS;;gAl9T zqZ4qxuF8a(HSKYP&yX%&fd=1TBE21uSJAN|2k1y-&Z9%o>Xa?E=nXfB3?b2XkVbrM zo^;7GM{>MEvM3oo>f3_LM%>JHjqd5~Ok;=ndLa6)GaeTdsR_mydnvXlQ%9mBsn7Kb zYE&l2*Pw#=a`QWa{R~w>Kn=11W~gEn1q0nuX82noMO}HaMw7m+8cjMth3L7bMqJ6N z4$d4(D>_zkBs^4H+rd&`#f398>J%{i(Q{4>XAAz+s7nU z5iv|B(11631#2u+b*%cd2T?x6ue7RT)t-UwGO6h}dr51Yu1>eo3@>}fTYe4bRa9`Y zG;`m{A`q6jflYF0e<2s&rFF%T)9joCCo5DNI-0vh8&_&&;tVCmrNEi4x~&KbH7fSW zkrkK&vfN5ZGPj?L)jnxfb;PP3OO%@x&lwfZr8SuTnt0W(s+E_q)g$wS(e#94WL;_TYg#V(Q}d&PtL}>;uEvtF{9!!S&@FvOd=f?26JD82Q(rseOgVQrrEI~pAz$ttM>H$OoFVn&6>h`c~fG( zU77c5PQ~AMCy8vjIG(<0Ff|L)5OeljMGT#5#&yc9z5sq3sDS#B-eb|(dy^G=+c4i0 z*<-_^rc2bHgdJi_rZ;IBR-s616Dw9FjL^?Ou4cig&?hL?o4^ z`XqhnZuPIEMovP$rcwFE>3n2(QZiJM!_M%5YOpfc@*t6|1l2W!)d$rCHiH}_2i4K~ zGH2JwUUTi>FW{7GJ;p)*DsT7%hiM zw2g&2@IQwGDYuaYkAy!T9TvJTmERl=7Jj&qhiFNA+i;-3^=c~ZmBYb0EvP}-`NM&d zpFt+SsX7#=vTnlqoIJ!P&#XQl{<$R@5(-Awjc7_kyIAKCseSdK=PV0z;t{ z_!(8`3MGsy4^rqmqr!9_SixIU!B!twfqzT|N?pc(gk%U zCLz)iAw{E;=UUaVzZYq8#o-e;|jvdk%Ecfzm#&#(jB;L~I>2J1nAk$Ez zS}|Gl8muZC(*liS%(Q@NqC64oAc)`+5c~qOVpKAxB+IH+bw?xvOp@919ZUWq0PLV{ z#7!JPK0$(LDX%916fz@x1U|@TRD%)eqm{L#ExRFRaq@{K_AV3p@3tVGji6}7iIQgI zuvSx{Vtx8?eQx3+99MG^lhAds2Vj>bpTm@tQm(JJ3Plo+KzGUFo8;eMG2pyY`YHM(g4hr#;*SOh#PvkMqmd4~HcD3cNWi)xhXI9KmT zn~%PPToyinykUZNfga_Q@{skEUPD`B^3p|RYRL^uhiaL3t;cDvU=fm-vNG7i&;mTXJ6;F02ZrUPl2 zRshpYB_q$5iFnh(560wsR-<>IZq{@_ERrxXigD1sEcM=RMvYGo;R4li%8geYr0B*p z$b$v6sG3k0C|636vi-F%j#91B6?rz}<$9&G)_mn9$Jdut&M7BwMM4@W&1xld!Kg0cAkkZ`X7V~*ed27fjG`Nvx+ryIJOBN+dT@8h zOaLN11!agW-l8Ueg#iCRQ4tn)I13xNuE^`!jAc*l*j8V^(c`E^V1t_8Vl4MxT$G=^ zCF0569$uWiBYbK0_B*l$-W=#P-bB{m%-(|Uje#A;8xCW@Y4$x`Ml;D-(!Xu@w(Z+q z+xB|t7fZ8mcbD#8nqItr@)u?AesK9fCxhh(XWFHJc1x&nU_V!=_e;HBs`XpcT5Om6 zPYeEsg8rcaODL-T9~zKpH{iboJtoXv|JoM(kS2Wk6-_9i-f#VPnjobQH$#^e{k(9+ zxaj9)E7&xkPGt7H@-83WFE_rjyK^`$W5dB?&5fPr#-F@0*y;OC&npK<;_Q4IOSZqF zblivfe0&o&gk-UOj&sGP>>a1x_i(GDPgt7fgBKviUFmJ#{_ky$9aH;+rD3@v$s>~F zB)sNEbUHX79_TeU8}DRp7<4`AL^fjQ0d1QKv*MKQfX)|I>F%*vX@^=+Jw=GW5q^8T!aqhW_d+L!bQ0 z(Dh#x+P+yrrw&6#mt^DVo%I;qlyKqHd_0Z&^1o>Edad{)-@q^*N03$C_tMur_8-FS3~YOl1Erx8wqNYmuuy7Xt5x-u@ zR)1M$G?KAvs?=(FVpaV-03WM5$gQoz=aucasb53G9T^A;FL8Ssn(y?SR~F9Lamuc9 z9_%!;Vjgq8J2uZP{FJ2GG4+l2OFAChwfn8!2W=k9*z}w}b(EtlBbnyA_g;F*NEZ3N zZ-@hP0mz+MJeD1oNNYMK+Qe=2NnIJIR!T?JdZ9?$A;ccJ2H=e^dCu(q33N_JsT&co zwYo-yl&c@fo)Sec7L|jJ$abCsjQk}r>7q8*%&aD)+tXV!DifYG_J#ep;U1ncHR=)4zE!c0G7_~7 zrl%o$YeV+DH2&QDWkkFq@FdP`D1Gy`Z-{a!4}l+0TaQL==2FmAGI z9n5OH+IQau;~ghXbl+J~S$#KhaJw;}Dm2oQ0r;gX;kkr+(E8z1Q<3(5pu*pHHD&nj z+i1M&6hxy|(IXcP2{BV*<1`3poa2u85RnA(V}SzA%cAynPC94CvJ9p+!qjP}ogiro zVga-h0!9Btdca$E#-q^OymR=q_Y0!s^R&p0%}UIYWV1aO_#{^8HZ%BSm>abTB;nIE z-dYJW2HxQ^icFvkEKXOt@pg-kTpNdNCZz^c7{kV~>LU>nL=Vm7>GeZ5M8+k?EGQjV z>NdL){^+XY^zbKb{u!90a4yiA`>FmgHf^RDaz7!t+Z?SG{Y>nsD-l3?rwYj0+*?~! zZuFg9tyKfj&nqM2&8ESDT`kF#$AOOBu?lY@pOBX1s!Z#CQx@{Niimq|k_`cJz&KK4 z_6hbaigUH3Fc;GnOZ?4;ldBNco}*c{%=Ve|7y{1Oe6XJ1!K)+Ye#@|ux$V0H*ex=< zhfPQINmb2<50B1YY30A@zmWh|*VpnpT5TNu!gueZ;t(QdU-n1b z=`G#o`@Tr=jl)xY-~TR-mi|?fx?I!C+iLq;CzPYzIYxYyO#gurWNDidV+p<_l4-@y zEo<{*>1nU4X|S}(+f)F)7r$(N?iyIsI6bI7ds0x<>)LfmcuJz+lJHSNQX>;M=JyBM zxJs%#oLOk)1K80BfKTU&iK9<+IjE`4Uq)5@@)W_h+N%0nv&(U1rNSb-Yf5RyS*3BN zub$DA3RQ*#1OVEd(N-bznVO>S7Dl&L2;g^k2HutqN>E#IdGle#Nz#f;>*m@x-1DGxUZ?tco`RNr7E=gM9vc|#;Ok!>W>U~&cj z^N`XVo*w=P`Da6E@Gz$X&=*l9vFE3;=^<+lJVq%ECKn$dv0pI@8c>C3XntoG%u`i= zgE4w49G{vBM;$R0&@O7~KVmLCG-@t5|8XuHXU~Ozh0B-m;iPomAN4}hC+9#m(%T82 zxlSj1=4L*evUb}ExngHwH|p`OQyoSpyR@eM%J4M#faBX4EREwL`2Q*#wvFiT)exINiQsxpDpc@&zu@~riAh%MC1_R+C!H&nt>}E%)^}{wpK>JXAN-w# z`bk0l!o-wI!jl0Ne9hJPN>QMH`e^i*mRS&WgMoeT11Hr}i`1n7wB78FXEAa>oh|)< zciflaBOhCJon+S~ljA4DlVhBY3};~xjxw-{MpJ5Y8;o}g(O9dnTYHCh;O$gb%bf&Q zv2@mdHD9pUbr)c}@6t2o#E}`(U~HUjbRM`2WTS<}CI&RhV)_zPxAcltbB ziys}HGw^eA`-c@CbdNXflnbFzIsY0IJrOy{?5gN@c2#`F_W*zUVc+(yr_(tERkAj6 zHW{nk&C%l?Ol&agR`l6xMknHQ8KPvZms_P}5ic3Ymh5{5jP37?M!2yd*EH%8Z@ikU z%J;SU1VaxMM>43+naD8?of?_)duMCyXRWmZI>ATOC#6>82CPzfmDm}{k2ZaVaYf_M zg}&8M$~F#N;#>Vb4j@M_prW(1H&W2d)}MCyS{|p2mj0D)^EJ`jK~k0Yg>h)Q@7{kU z+&FZu@B7~qM`AkYyJ{LXKw5S*#PY*gTD`7Mv)nHwQ-!T=kOpzkeFY!m@R(J8Gt<=( zcSl{FvCKX>AS;aw`o3R=!<-c7{IhnGxd4WIb1719&jdl>ErM#Gc(EZi z2gL;Wr0EaFS6*!Bp6xljD&Z9dc4w>t9ID{(R55Ul#AS5c%5=_xp~|mu>;`@oZ>)9= zs&Uw_sNd1F^FfxAGvPNxsh+=q-hkT5%N0cR5Y;qx$4xtT+|={-=aMu5)2w}LXm>uS zPY%Zr^2ToQqAr19D<>>zAxA_p4&OK>*eMnvcVilc3BHFWc={&L!O~ui#Pc01#)<;m zNWYA3LE>je;Ju7VHy9b&c*MX+@Ds+N%E+%ZILEZ#4Y3xpUOUYI)~E#lM*{hwL*+@s3vx#IwB8c_n+I+3G1R95C`&urxqlc%9o3hGX_KifQD34x$(P2kbGG*B5QFr*n+xmuByn`qq-c2elzSmwg zjVTXW<}A9?WnXT5`hCUB2@4T<@`jliw{n%Xsi zd-7{)KZ3vsZ}s1&Z}(_r-v5HWNvpq>zFlCIFp9oi3=zHcH$vY6xY~a=ioW&2yor!6 zi~Ul*q0+)$L%CszaOEesed~E6O=P)=<5=C^a%$&9c2=_B9MB66v{f%SL!4P~l!Bs7 zgL$dPe8rN4p*Gy#9knbnadZ=Nk}9Cy1RWbrbj+=9n1%I;GxR05Rjz>@<;J0@TvicD zL9lVUa1iQS|E^hi*ugIM(bkIueY|4nAZI#;3T4aRcbF9xKUV45WeeYjb!<*}xvPQ) zg_d+@M>2V1kDnVdF%;F>sxw{N5z|qLxiy}M&x8@^+GuXx@VWp0h&xub zH|&ySvdN1;VyxM;w^&@hdqvgDNKROp{=D$I=0I^|FB_P7=G!ngZu9Md9X2nN{;aS> zL3JbiD^qj#gTy1q+bv?{Poy(l`x7u6+OK8i#d1}veUUmRm4TGRzx~Fp-9KG+BB0Er z+Eul}tFK((d%pHC>VP{cdWXwfkT^HKAX%*jF|MI29F_mJDKKISbk^J>v+AnnO2c>_ zHW{xvP_2i__n|1i%&oGzlEf&@P6bish zb&1GmXK`(A@tZ9>R!$V8sM=Yrj{b#(6eMuxgSdN#nF&4D{vW~V40bOgIDOVX!|4y! z+5PI(@;~-%mw~m#U&89imVX$l#d5~~E>_R`A7b^7-v1wB^);ysqpn6C>`Z!hV0@iM&NHG6E?yQO^%Zhup78%s*it~;3&7H<32Z*DL)U^!ca zLxSSFK=H{b6qmgKdK(B8Dt4w}Rv_&(QCex)0q*}Jo@)gU3)8n9iZlI?@JtwOa|Ytzo&g(qIQxmdB<9MF}xK&0kA)8Oh2(_-t z5)afA>s*}pBnpD+#7C%?m8N)b0lU+q7GXr~W`dB=qRfb{+mLzd5D4}fv~F0BqtOv6 z#@2=$PlQeo2R4a9ejFh&GaY(}Myt5Y>P>}~r{iZ-(%c~t9BBBf)jGBr%mpiU27_F$ z?uF1u`*}Kiq59*!*4^8&+;>}8DK?we&L^fgl#myJ)5 zzTmMS=}MmMx(Yyos*#3T9a*Oyd)V&XS}Ty#71CX+)6F3$QEG#aMK|4!WccJd-Yp_Q z{aR@$8?}6^&B?G&6zH<*0%|N%48*c$pf%3|s#hi+$d&SM7C*cCCxBJLLm|pjzt^EI z^=}f|**H;c5X%N?7mZ~6_+RqNT`6^64l=nNkpg2@D;YU;;5{iR!B04kSmD&vK*EIa zh@4DfUbk9Yer2HdR|>Sw`{d16|vNM5N}cpr<~hT@47#NSPj~HW2Pq z-x(Dy0gOSt71X&#`<FjC3+ z-~%)wmiG|9%x75USk5E3*ZqPY3JhW#lnLkvuMk=7y614O+s98Vubp2rCqTW`DoZdx zUP0?1Ftl%dt7EO?LVlMg#nWJhI9GST4T8Xk^m3$>gkP-wTV=&`$XW?rx04@J*AUCw zjr;P>q=wL#CAFgBzE&yL~G0T8^Yijj=w&)PPO6%dKvm~MV;FCZ+OZA>Zc^7y*kxvlIkW&Re}M9 z!<-Y^r-b|E0T#2HlfvP1!Yhy|zP(l|F!OXT7OY98ivz-=&y~@Q6Jhw0p?G8v8WVFh zYLaY;K@(|7mzmA6cVW1H=9uuO=7i{s<0Ho=UBeN_hutWV+Yu9q7(blDLk@}j$ed84 z4*tYy$7?_28_QU?NjKTo@+^ZBaxkRop&?@Qb!_ZiO1&=LjvZAmDthvskvSG4`-ux? z9v?Z8+OnXWa)X=k)#)v8J{*B_vIhSYj7OaxN!Q1-9a6V>EgmFk_AZ(o= z3k}B|yxu0*PU#6&0FHAI@QZlZ%#NOm!3qHq;^EWxXf&T-bM>&@5`VHey=^Q@^&6?h zeJq#1Q1Ua6Zp}_Ql4&NcwlS95TE1mI{_}Bm8Ll*w11o2PF<2P>l;1Joy(UMA4EI#x zIFTGla6Ib0AmZQc_$d;9)TsEvFvorrpi_Myqn|HW?CRiTDxh9nV`0E%8v}L-1{4Vf z{2O;7>ihnT9}LhHx)41614JOgA4p>%LJiT!N2Un~f(ya~YFw}~+K~RuhV(>9R+wt% zDWX6+I0`N9IWqGR&3M3^*dV6vjSLv>(fA=`@GJ16fma;EKIs&f=%)HwPKLIQMv*mZ ztqu?z+4g-KMlgE>Zce@B{;rqN`yUlxv_*5p^G2|Pkvh1RnCWQP{Y_#EUE zr(T{ecC3{MVE}3kr@mjU{c4Ql6ZqHm(h#^KS(U!h-=haVjJ<@vevdqBUmW(oAbyKR zOQKkgL`fM?soH8|mUtbJWtf=Oj-%Ai@5VBTcr) zHK>-}Pn4MKiX`Gq&PA$Hs*|Q_h<0f0>(^-EB23_rl_TYSnn-&Sg;Ly$Qk*}ailrC| zabCg=7Pslb`CKt=_2gZ!(e-Ao zrLhys6VNZy*8JF0JPx};4c^cXq`!{iico#0&bn?7$wC_l4apd)JICk=#Q;D<*j{-s z^@3cjG|RF*gu>B^{rVb#MPv3cN-#OGCHpuU5q)b;kp|UGT>G`GK$?|Psn&k2L#6{7 zu@jmPqEXVZYL0L9WAst;!F2IW@vW9a`sNSWW<`Cg_u-!JTRp%>+Z%5F5GxiPzSYkW zV0`#5;gY@&CH2NzlF9RpgXzBS3Ry`O-G5J4tK&)j_Vc%uzl`oy$7TFY=TDp0UFAtyCC9qQ38aa2EXFMQQ#l%X|P3*Mn z;A={@V~!%0`vA6@4!PvqG%a$DI`%bQh&}&Uh9XB)Dy!A;1VFlYaTBdF43&BxmeH+F zat6sF=N)+cxaEb33Gv!}qQpKNNetH>?S;;w~#A%BF9)()c3x?a~jUj9tK2tqE1Iwx}wU%6tj7I46q|LKCf#$O8vw=jMFQpB7?EJesNH} zB8-f{Z3kUwx}OsB_2&b`iALu>L@!HA_~Ak`KWT|f^)#nPiNZ#gT6}=4iHXdb+@}!x z{&+g$(FhSr_R#CLiBw?HThIBtbRzt>yum7egj=?}HR}kvP?jhza8S(3BUD?gS09k; zM)qyck`8kpPapYK-%F$%>kh(`#8HZ^+MD1k*ix@RSKnOjfr~^-4VEmf1C<+{rc8V4BgHLCDgN5|eqp!00?!dg%6Xqhb>orH5|&m`nCX#a@od zJ(!B98eFx;716M?gn@P#xj-0WRvDe(h+N*CE)Jj&nRmng;fkHM*&tCB%^6}V0|%Bt)YK&UIMF)t~oR_|HU4AK`+fB2Q)M%@K9 z>S=Lxr%OYmO|dicamA|!V>v_8n696(z3b+BlU~}?FU2Jr9J6wIz^t2AqizJ5fx*0_ ztlF%cN!U5mc1E?jUx)kE`^+BQ3p30~-530;o4!h7;7|RPaPrCZ=>yUwxptR0tS;ec zZduTLrBw%3z2E4tI$8__kf*=W?+o8y9wTtDgGV#DF#I{p^Jmf0<0I+6KfXMX{`*25 zf%MEXgC~(@j){CCk?!(Tu$w%ek>?Y20jYmlzJAb#GO2_dO^`NURV`D&vYalS(I;Vx}a0tFmE7fMrFI+ zsV>)US!Oze&70&3eaQ~2uNB-!2=4^4z;2@?%%M%gFfn@*L=FXny904F$ZOQA0IDWY zhHG(=JNAHHt~N=@;E#$EX|0obey+wVq%SpJ?;P#7HG;pR!?@gH?$uN>^|j|S4DIO@ zuF02>_!E%Mxc2Mk!Z^{QBU)PoorJncv^zG%HhKh~GnYm`AfQ1A$7?${SaDrUXiSHS zB{X=dwM81~2SLGl^O!5*!+3n>Jz`&H64Zu+tA9T5cc2#VQfN7L!HWWzC>ve$ePe%nXgio`Xexc zzwozp>m`?3SUJ)=KFmMKf!6~dLw#bE*=v@c1A_%?IzzxT%L^>uG|P8}<(p#p$}FGX z@=dpVX_jxMLQfRuA`8Uf47bL!3?aMH+i1Zh6GJqM}Kxw%hScE2l522|7a5cu) zn`cdxH&NJxtk62;>KfptA0#wo=T{QfsM_Vo&vGOjOtkDlHE)opm`4|vOqV!SNir=1 z(D?chSB+&oN#W~M9jpDurYGtatzS-m#+#lnyPt;qIrjhZ7b3ciS3Oa!-ic}Y5U+Rw zeqIz&|1aj=20p6d>L1@^H?Y9!F0hqFS6$n!jZIpki5gwh4Vw*t5DWpy)$#>%$YN1&YU@O=9;T@BesrwJCN^?Rgzgy#inZOlbqNzH&SpO z8K1x6H7~}kFT9F~kMd3*{fZM>DuE@gr8xE@YKK{&>l=>2d98Wfv`}Tkd(;?1*EPIH zr7M&-CsZ1}p<(wOR0ZElU2$>f@@RR(u?LuYO6c;2_ck;4xi};~Cp10kYj}?r*&sH< zdzXgJj%IE(SGI1&VezeL_#216@%V!??$!zTn}k1D(zc$2zmxIzHAz0D?Xm6KABT++ z(d566!NChw=#F%y++<(~F5FyWuXA9&E#Hb;Mxo;MY=!zKa-Q1+nkvnoDT9;j_dJK5 zjd?fZzSwB0#^0~;*Ns2-OO2*Q_`45(o%lO?5OIC*SBSs$_0D0n{V1#Cd+Et z-!2($@6T9v!MBES*7UM>uxx=*w#2lvQkFfUJ(N_opJm&U${x|nUcj;wjj~HjJF8{c zZmlw@?6rSF*_`y@db{RQ)G>XrEy-6cWBl)LE(qIy}5q@pR^Rn>k)&j@R`Zq5y}A z9$#9aZ9)TZ^KUb)tY%yqklox7u#tZe^qf+tN?q&1PUWe{RsOhIhWiL`)o(iHpt8*D zy|~`@%)d37)S?{BOo3d!^#D$hw>=OvwPWg{y)#e{2|flJx>`0TQihW?05NZ=rKD6F zgh1wWgiyN1pfxlOh$0&D%e0Ag7~`1HE@=3)9=NPNSsS{1Aa=B#3p(6Zr5(k#t=5BA zN~3(G{T;tWy%))tqVV5v%b7VoBj9S#9XV%Y)^Nw)b1iRD( zar0BG@u4+gHZj)JZvu$@sfBQ>9I`)kwdH8gnHmZlZS{mM!wrod5c~lW{4)}~hXh}G zM7EOfQevyDvDH>>)@YcRbl}762^GY1E!4h|$YU&WmWow~Uw{f*aWDhA$l^GLpKOd{ zXyo)GOXy-C23>7CkTFHS3)J*d-lMI4+$Gt;;H1INQg9tkN2>gp8edEy1RJ^m`!1v% zZ4C%HZ9@TQMqxLRoK|fCe^g)m6k2G(ht~KH*+MvBBW)bhu4I~@Y0HeX4oBz);H%*+0Q{00(l^C=VobLCREHzd0hBr-F4y#?9 zguFgigk88|3E&x|@%5uY+~+Z_VShcIAC>bmZnXObI#hE(MT7Nhp@vT7PA2a8#NBJS z5Dt$xV>ZyvBEa+jG5rlO-AGK+bWD|Z#?K3#G%s|@ypUV@S1LlYq?ci?j7fi;=nUg` zG+P|RRFAjObKSJZ7N0QU+GS#& z@F>oHVgRoo0^i*Z1O|w}Z@VP{0M>T20Cxe8!~NPg7R$_`d^OyK1UnM45R(y?iWcIW zY?pFtx&`seV&O|-;frJ8i(=v2Soi|;Z!Da?V5zkl6Ml2`8)THlw;_~3xXb=jokb4| zCC-2apuomRhyxv2Ku!%F0%Qbun?uNvKxv6~m}Y$3Nvhu_3RSgJU5=RB$b1 zRfTrJJ?OVC5zM(Vwj2>2a$+l-qBtjZ2R15mV#~2>gEu$^jW=*`$cbg4g8IAix&0!+ zBjOWxF6MhwxU;Bv}F>bti)`AMGT|^maSn# zGTaIU5oWL_Kr2|jB9&VatxqYkq;6k}7d!dE)y6^XQEU$=D=n$gDF&gBhK7Rx^-d^$kS)DkARG5!X9UUWW`rhmNQA{iLpQq3a5c>69sbY#KvMF!bluvA-DfXudGv~*ar$dREEd{ef zmARqvnCjOCapSyLIRn<>Y1N+*?Uh9P7NT8wSkha{ky9Z@QbSJrQw5nNu~q36v6X(q z!sej*WK24`#gTVz*7mF6KJC*BA?>!agV~+w;{ZNF@OKgX=dVlf+RMnKbAh&>Kc}jj zSV9ES;a7zFFE0dp5W5Rm{KqVQAB+D*FYa5Jjv3}6raj5DpEK=OdKz7hilO+FIB;qN zzp8}OQKimz7}r+dE+pk0oZCYzTKAO@j29+mH+w8>feXYqZ9le-sP*AOlQ}jRLUEy( zsO=ZwK3orvHSHqtc1i2;rS3OMB{DxJf)IH_qSPjCHL;8_rVOnG7woM@*d(Yrkj!Z5 zAK9As*_vnAn!mj!k)ZzjZ%(*f+OIC)Fea5$v-SWUR5^+uD3!Y$Rv2O7NRgMN2Aoho z5VspPTAcSnF!@tqGipagX#$5#5Pw-Ma^h)%sIXQ6w|FKsV1ZgqecDi~btrxd<$RSI za8Y%Jb^!+v{3=RU*Jj3b>p+fLmYcnorXP{5&TqRbCrmm1e(;KiknFK~n}XhUzGH6HYF>;QfGNuNKzs&`UZ zrmx(J#wKC#HDvLt@ZW| z6;gg$Mc>gpYl?oFK2lT)-*L5g&_F$oHwK4ATn46nEDJ_6D!}qG_s1L|55!uEyi|pu|xw)+^3fyI3(WB#3z{e5EEDHiM%pnBHDzJ?bKGo zdksn`7Bk<%<_=;k@NFzSs67B%7I2n(1Bg}H7s9!C*^q^>RpWe;U+Y{YbM*A14X6;U z`XzoLdbcm&L|gvM%HLq+yIA?LS7dHkX=GA5mm8dS>+5*rqfX-3wf-lsx>c0}) zAi@2U;Qm_&haE{dLt8OJ18bsn7<(!SnmeLbLRXdV&(hJ27J}Z>7xJE9=AMMNiQKkm zhHowVVStsLvJ;iXSlMNIWpPNagk@7?q$V%=(;PY@E;Hvr{!(UwfE}MO7i4BWU2bR= zlha6_j6jVYQZ!BKPP~E=zcY9uZV>IUKXs*}Cmo!^1t_E%Ud%{^0|g%JPdRbI_f8)4 zRo+Wk;a1)=+wcAqL=Tl=imerf9h~HL$(gQr za2M0Gy-6$Gxs z3cm}vG9EtmzAO-KhZTgon1nkWA6lB+0kMfwkXfBvp{0W+S60L-+*jgGQzAGdoM@Xx zSW?(aFml7wrbPRpO{zB_Qq;5E4?qx0)2cPXRtWoA!v5{wWG{#}VUM2RtF+lS?8lDJ zFsY4M_a3|igX@bPOs6ztuhicOv%F_nSK%AlOqen$eh_q}!`kyYX*kP*fiYfm^mO6r zsNaGS+l*f&nw4vFgmQRe>A319V1v6_b*4c6G^Kr9blTDh)%VJT=Mo8KrG0|$TUc zsA9WP%yEh7&|>cAbJGTX`k?|HD%IA+D}|DK)nUf!6Q@Q0CcitPCo3IJ<#M>DxKThZ zs}1gqUjd=CT5-)*fQ@HBu(P4Utg=BFu*Ozdv|B$Q5}a?CHc@GHDrmxsbYPZ5ZfJW1 z$#)D1)%V%-kCDlPNwSPVb$R$3=Sc^rpVX|gT0abRvh@b{o81 z<$Dl&8yFyl<9RrJ%!l%)r6a=4$OlIR`@4;%d*5p`we&QaocR8h@yvHeXPN6m=N=7a zriRYB;igBqs(K_AcErN?bS=~#>LJ>2r|4vWbNl*>01@-Ly`hJTw9v^7J$*5_Ja0IY z?VBsGa>CC?Cb0VzQy9ae-5cnS-H_5#|Eh#yDCv1ReGW?8vAV^$rSaY`%QO#Y-o9 zqr`n>Pc7$GW3|PF*Gba4a51b4>_lAZZgl3Vsc1ehwaJ^^FVa~}Z$aon?Sag=GKAh$ zQ?>8&87rDbsYP=6Xj4nliE(hdnwQB;E3(w^9KTTx zaS|S{Qs+2umfRe^$e@`Eg1ikhV!2p&yLQo0W_LxW>8~XLWrBZz2~O?b8F3TdXK`K8 zzTt-;48htrY``xA^!Z|;OQecLhad_{B4sJ1kqC#)eC_HLu^7cen=SG%-?Xn!4? z&H-{Z5W%gY5=vg1b`MIc=OY{^SWGEbmLK$E=A6J#0fw4`dkiD>ny}%6133+|QuVZ50Q>;~pQ8g-)}~WEaWm1!#53@r+4Mwx z9mr27CS;eG@;jpf{~rZm+(2v_iAwYVuf({_MtT4xRw46GFkEy=}aQfgp(YIO!uNz2Rn4 zQsY)_gp{eZM!y58k9bS43}R`C>kyC5gw6>Qgf|w38GtKpOwUjdP^mlns1mjLDxE9$ z1DK)RXc8JsIW{a$Nwhz)m49R_RkpJKdD%*a7l7deGuUDe4JS6S2v)C<)%S+|o}U6b z2((rjBg06mV!&Pd`aKjO<&eUQ9cH@Ydt>#qYF_!2J79%EXBMPCYNG7aAP)wZWm`eT;vJ|Jl#RmgKi zf!Eiy`fP~-?h{8>Ls9HgcH2tjykOPlfZ1_GriXEIGrE*upDp?ej1K!#c@BArJ9K!c zlY`=Xo_|t6OQX`uSZO9Jor(|bmZVA->XptjDm9@}f=H@V_Z{)DIrjD3YLDZ``M5t8 z?lX|%9rZ-cZ6G&j>sd}!h4|h1U30BXt?d;TN%p^i`1puVHt~6Vza)YYZslk}ngxs1 z0xUl?EU!o!&}{{aEM<|IEb^FM1i{ebGNjB8V& zt%5zQ+D@s9NYaGT;~++n+|Hf=@FRhxX3FW^c4@SI$cI#npXV=3p<`cVU2|^HR=cs4t#J<(1Ba(S5B*blX)_k zXBs}V=|-ONLHm}e=8srWC`-3r(h%l=;$5M=f>?(T9nonS+3_Uoq98%P9uz@J;2k!| znEr2oM!cIbZa5)<#F<9}7IMOrlTmdstIlTCKi_MR$fk6cD1#-?OxvS{xMK{)9sIgX zHhK~wbC_Soym1=DkoN1 zk%ZAi7`;WCWq@&H2-?) znsAbYLT$xl&@&mY!NrcyIl|0whnBs}3b(RCl@)&6p|^diSYcJe{n0d$jW6j!i(?nS z*I{2TFd}i}LeGKtRiII7=uVkz^Msaz2dtr`aFAwx*{Gwe<4xA_6zlk*UI+47*m$S8 z48b+~FwbC*7>ia&V<GRX)5zx!Ss+O*6+ATyX{Pb|8)^LL6Og~G2Qp-wsIv_V zqq7jgtlIt;)cFqUJWa3DzNOBC%ad15I;R#MkhUJ)3=M~E9Lry68E6Nyn1E5xX%0XI z*MJc*(+1jkC=WC%?me0(i8i0Wa|rwtd}zqG;X5)|kiXvYOKki^s{;YS_pCEY;l zt5|Fyi}_gW9-|lwum)*vfH#fC_G=-tScCXbcvRjlM4TPvm_H0EXcmbEDE@5;7!*Hi zpdCwZ1g(eFq!;s$rrjh3nv~AP8g7H(g22AvX=Fl8Xl1AvW#zmbf)?Iuf9fuWxwcn| zrF)6vb;R*%;`oo}^rnp$eh-a~unPD(R~Y-l6LimF`MQ_f7@97nF2pw^a4#|0AvJhs z^i(~8l4b~9DASfot=w&(gs2dXqj{c`5VTfD{TdI>|M9krRN)^KH~^)^Ug0%jX62YU ze3$Z0q4GKIJX3BxqLTwv=b}kE`9UD%PH0G{;SHwyQ{g<&%k7cy5p9LB;hW2cfkn{0 zp;E!2b`8Reo+c?V$A$Any|LwJ!x1fDWS_u??aU4{D@4eCrM2G&%g;T{V8jtCbdI)9 zV~JZo%Pdt5m&Cpqo(#Q>HX?_ZsI&}<7O)my3}RES8e}ffZn{y@nf6`yKto-_U8fFw zmF=P@Qgvsl3b!n5RK0tf-XDILNL|%W#9wzBuVJJr^He_=f4#$ajrhAo>D4vy*S|~x zl2v_e{Iy5|l3QIEe;rB!;;+t(zphLIQdn(^zb;4uvZ%T*#lZXABp}yTcg0`3l7LiK zZ;!uDNdmH*{*cl%*ICwB-nagxx-@MzwqtR%7mnKp2e&W7nn3$MpdVCfDio1$L zo`*LV4$$KXx^}mf?l#>{`RRc#R=@UpJzd~HHkQTO=dkp{@flY_^&(aGnwbJGf4!J| zYdC1}Bmma1FhxMDq3HV8;Zo9e8hl4|-?~w_K8%zEu7`;0r#Fno^{g+!HDx5OrDNb) zg)GP8S~3={t`p+=A^dd`xX#!DT(39|*D#I|d=XvC_4GJh{|h@=qv^U6S&ql`*LZsz zU6%o)vFRF2#`V|4^;g%Art4m~_k0nqztz*f2-lOx#B~q_kHfWj`iXFT2q_7=+O`7M zf$xpR_4F^n^)sBZKwILt9)lPkP1o-M#PM{UI~K0a6XN0<8s2W zHGd?o^TxpSNn|-5*GKU7IJ#B?qp^7)FB#Xv#Pt`~ji&2!SPp*?5Bx??kJI&+)UZa$ zfr(?{+K+kYtYY`R{W zjH~lc!1brsjHYV~w5u=Tfk*Z9I1ljFztOmk8xz+)s%@j^0v@y)8`pc0lAvo1asA-x z(YSu?OK|-+6tpBB_&KJ$(YP)Kh~w#+KNhaG6XN<$h>ZlUM~G|SI9zYmaXBFm%pQsB zN0^;Qcvw%5^FYBExTcPY zYcJJ+(LC@3M8?>-Mv#)A>l4H^x@0u2lfMMl53#gQ;(;^X8pOqPy|{01Sa+!ah~w$% z9}8FOFs@tyZNilSd&aA#U~o<7#uc|+x6Jcxt{LR}YQ8VNE>s;{vEud>NWhtac$$rA zvu_Kq0l*ej9Vd>r7{Q`E56hRo?*%(vnb#ms!U5i*H7WO10DlqVVERa!o-O;2??=&e zM42&ZiX5Z?%Z{Syh_WMTI$YmqnzB$F-YA-mfOi~ClkgZ#(`1;VXewbs?3v66p2dGp z;jhrNNdAt0K$$l2&udkYZ;7ew&XwWN&C6C^a>;P-FfaL=|DYg@`1e)euQ?w6FlPYb z{}%p%W!`h<>$KRg=$1Nix-1rm?=N4$UcNcfAA z`!_+H|1IwBiO10izgHRmP`28CgVOz`kAE6X4(Ig{_#56j&DOk z`V#J*uh_q%=^uyhnnW6npnqKIe`VMwqR%o3djx$(%sBrq@NYgI|M+b8mGK`fd*ZZl z+K%IP*2!JV>}f7KHH2-?SIcT?lEdRB29-$*+KB6EC*1G}`e3~e8xwsPqLYAgN3 zeEsV+PUskL{84W0$L(S8l+wNj_JiBG?z6G}^*Y2Z9MT>I2&oOlVLqII>3IS$d&<5L z=E-BhEFKMWb^>NTVc!46FlpgA4$n^}jKcHZc%iqwnlSVJ2QYs#8s?)3m>UW6{n9UN zyYw0#P0#tGVHPJ~ZY9izz8L0|vD*F_bdM2z^a)<*Z9hbq!7ql%(|^a&^S7g6{w4u) zkT5@-FWXKI9l=YujEHPACd~5DFy|*=y8jHA4}UStrDNflG8*P*a4^){?kCLIUkvk< zv0(llAV$#hw+Wax5az#e$Q|S8L~Y*%tyZ%=51raOdAa|B?0qs z!Yuw`n6SbfkLMpT=ZY^M61?}?7qIb;z)T{DI*}z=3ZUmpb=Xabnw(J9>RJT;TRs>lybL8yAU0S zOQggE9O;$EazBGpSV=XNRITg<8tZZ51>*2mCb;HH1F<0kDNqlv{@!`g9RyO8x1A5E zRxS0(Plnr%SI3p>W4R|1<;0YD$;>ClDrwlyyUO$~a5kg#s^QY*Lul2+?B=G{lIjp3 zV~a*BqgjFO_J$-+U?E}QB#HP44UoIh2;e-VU9;_z*g!i9nL^ks8Pde%pt~Mjl>Jcw zU(6}m50FX7E5aoW_LRX_O)WnF|GDke#Odu~Nr@w%1h-jY!9EZjzH7Y9w|EbnsBoGh zxQFh96SR;1{0W_Cj=-3Y4H+2l??>0+o9{9ak)oIpKXo&H5mFZEc>yxvxujFo;1?6n z`!UFFzmHdlgHw{;ujBjsN4%#SDme(dTG$_7YSONe4xJ7(^JTD65OiQtK}9TE%h!|Q zPB=WGib$>41Ot0+1!^R7;?QXqN>6=a$wyGh2M&W)21B*0l2#_JhL%L8=IB0062z%V1oIFCD`W0H}Mh$kYW>`z?Y!&{ik zhp~OUyI4IZ@t8ZQbr_0d6sL2BM0VX=j5(XgRzI(&$KSoN%g_p=`8OD42-1 zJ2vcs5wJak{j1|)!v%IU>{GuS_Iu#tVZ74`dsZCwAI8CjP~vSC6*jd@o?z$HSUazA)tmBeTX}O?XvNL9-K4MeWxLRK?Ay-m%UR1?bK*TW#sEN%q2D^SMK6u& zvY%qNckC{kkCYR28RcaCrTHaI*r}GxT@t%sGoI=%tk8{Ht<|4+6XJZWKb5U_ z#O173Zyvx+en8Lm+F7idH@yG$BP&{dVW09 zR;R*%h8YP?|C=_I&X1M!Uy0DXj@b7GOYm8GWKrw-m)51UmXz{ITfC@M>9xMT%ximd zhIi3o6xozNRW*&DxL{66aMtWwue<5S^wXP6y+!K*)3S8=jW@wqhFBWNlQMqkf-9~M z;ff^IhXJ&1)24d9w=P{!cHOPZm)$s=@F3r(EnQH0`|9}1Gaol#b-9s2WLNW< zL-H3B7-rd~X5-8eUg3a)HVBI+SP^H8ctU9@oP;9EBS$eigLR)LYv2MJn zIi=y)2l&Rt33|%LO`E{mxL&p+&lx}hon6sf_6=xL0oTkzgc!!n6K570!Rg8Yup{7Q z!#V==k1_Sk#iz2%$=@Lx(x{L0wBSS8<&iJvHEm{rdK~7kgiemSkO$Jk)blVt;`#5z zZvn*x9YFm`FM%sOMXRzeMsI5z;gXP}ONBVZ%qe320--*JpNKvdo~j&64WF!hVh&G; zXUtOuOZk2l-_MlqSuFyLW-IM3b)1URO!E*|6;Zv{06~HQtgr!z~&2 z`M%Jk=oC~&=@h*PKge0p?|x}3WVZ1}K~y2jLva9y1iWfldPg9WsB{Ff_@y5{%K>Eq zxh7NJ0W+)O_BxMU5H(T!^-Fe@&yFU zMF3tn7=76AdH>#@_*ADCr;1cW7F%W0$5n^ciY^!JO858;&8sHtv;sm#Cmbjdw!LN? zK2vMH24_~k6F$c#MD--kb;!2zeru;U`+bqWi2lGc^B;XzWD+*Y|T(8x<-~5JoP9Y!FIfWR8FR4}){z8ka z8{Q9}By-ukYJO8z6#j6gNQuo{Vo6zUIcK?5?8dC12PlR6c)8H#tH9JCn5ZSCC^^M* z+Cx+xzlc(Zj)oa1nN-h_RFMiBO5cMC3^CIXRedKV3*O8oyekULFDNZG^$H+OvMjhK z7tzI5!llWIM|H_Pxsia)2%su;Dmb|#hMul7%LOHz2)DCy2jDCS`0J)plXhUd2U!h& z$ENryt+i=tWiLFlis6QcC1tN#Fc-gD-ol9?IG_z_f5o`O2}}?Uerd%T9KcqVL(RCTnyhqwGh9-(Krc>bBk)BhewU(`PITq zwZKnj<;vYQ#`F6R>v;_t<5#FBU)GZ!uZPDh2FvG*+aaA0XBbmQr5`8YzDZBq>2MVn zWj6bkPTVzUe%ZcdkJ;wMavC33WrF)(7nS+Qo58$iCg(-Ymbb@)=;oJ4miQaa68u0K zp@N0t zA}3rF)iO6#N26)?>rK0jHGXfb8gVH8_!`k-?Vb}>`u8uZ^hbtlK%XdWeJV%;GYI4e ze0(8QMVZUK1u|7#;I(BRjjqmU$MI-f`WbM-_c`FmXh#OPr5Cv~_W4?B@XDRB8<@wR zEE&5uG}jdhjPx3>74a!~o<-z)Z6yn#QxLxGPas^ZEC;jpT(1qkao@OE*tRk;R+g1g zCO?Kq*sEv%j3O%FMjdydzLjV2i~!=r8xUR-y zpXU$8f-PZEgO<$*4rT;gE#0YRGddlM(cQd0=Km8~ZUk}u16o!lXj%I|q2;-tCHeWp zH_*52)7Eq zxSCS>OLFB4NOjbn{{zJ0Q0G3t0H)uDdp~uuPO->h#`T6BIEWzjYq(|yL4*r4TGfI= z$Oa2^yO(9r2eR-bOH+%~OIt^m4vZ|#fDtc)A1O>|eBEUXn|AFzjGCeiuh)LGql6== z0taxkaY)55X~)I4+WGixtRpaoAsT{OV2RohA)p=ll2kRJEJ>a8QcfyZI_XJL1LV@F zU5gU%;7$p@U3-*I)ap({l3f3elZ$1ki9=Uzr}ouhwoX^|g=|N_l6$I(8OGWrzif}6 z#wW)nH@}>N^2>GY>3njRc=+Y{*;)MZYG3D9dha)|@>-i23FKt&oukrpQHW6DLmOVI z#jRiHyMSLU%g6GQ2&z|GNTX8i?m@(C#dnkOy~6muOMXLd5G_W$bg4OJu~pXCWUMO~ z6F6>nSlF=EeHdW@)=g+w>*9~PQ=}4;j_k0!@C}wHXt1)Y6R)f|>_8od)+n)=ZxSn3 z2%U*_Zkg(0Y8mty7s6~oM>aroD4FOh{5N$dTyXOjPMd5ajic8iQX?j&$;zN*olU93 z6_4ZaX{n2^bR7i4?h*vzn`gyFt%L{BhZv9Yr`Sxez6u-C1b-rJo=ufMXDRIqd}}>5 z4|XUyNa3O=QTH7k1zx^@tkl5|+{R$Hv&=1p4-I^x7PujXb$|o6*^m%_?@2BYf0>?~ zIsP&e;U){DkpViofk~4N67WQeIpCI6DY?K+2tS)q;3(wi%hll?Q23=-G9s$iuExULW})#B~>A@PHFn#iaRc{w7_rsm~} z`8m){)%i0-Ue3VHYzZ{#Jh!+rXJEi+M2DIO3l3X$XKwP#LUHE|A)AIn%7YW@ywHJY zSHV(Ma$9t&kT}F-9`y;qwnG4R;LeM6M+y{+*W%m8#@aXHJV$JCTo=L`eUKJU;2ha3 zk%s_{-b^)DoTtkyvW@~L zK%ov4JY8%iT;;9>rqDNy0+$#C5P4qNh~GZtK77`WQ{}hlkaG!o0oQaH!$&qj#r9~S z6T*$arEn{CB=E+@q$+-f1nRV;SH%uDp1?cHEwNKneH2PC9ezvhw;{g$)_l;V!*8p> zmyBPY44*TT@S`>_Yonfw9k;8YJ-bV)d4vw}Man#-TFS@lLFj<1FOq7bU7U>hD~}O% zb(-K2)>*s>@eK{9uo$9>sr^Ba>hCOgaSQI&I!N|7L#M_*zh++`wmV7X#FBfY%0jnu3qijA&>r5 z!{q_Is0KV}4ri&3fc0t8BVgMjzZ|(4d~$X^!!Oqjc!FvQ{M4z&-7%mq3}-aqg};tY z{|#men&UzzWAdbdO*Z7JRy^&;6SDFvTpc%^;W+R-9La?(54~4n)tQ(~8JhyZC9Q1A zAWj|1JTK$0X~2yc4(Mm~6)MKJYRMA>pir%YhKjXAMnA^0t} zKLWf!IhKp4iL&RM)EifpjOYsgRHQ!aXd4^bA!{-^jX{z81jHcrU)E!#LD`u@Gj zE*pjI9z$KB)kZW*sW=~Z07~*(Q)E|&c7ugw-wSEeC&$93{{<{FY{rmcM4sV1*|&{6 zS2s?`Z*?1a4};+`NNEmW${^Ue*)MUMH8gk(5@%BHgSn`RMQMlTkI;W&hi3J@&8&8v zO-KW~awmlPp^<~IOj%P1i?^~}ZbXIj(Y3~F4o^|mc+6p&vL+qzm6bIivz{h49Rft< zE^8_xB;B6ep97|1<=aM5@h{EQ*EDLqe z<$rMyB|9N3S?x^Ymg7VT%8}?Pq6h&DmtkU10|q8myoVu9?!f_~)LWyexcqL=T6?~j zZ-vrheo5@glw7<^lI)dr)5UyR>X@Ljw~706qlv@Gzg^wexifW3s_EhPwOP6M~N zTHZAmm^+}H#TYL)&!$6>X>O#&lQIoJEh3O!7&@sC8<#5_{BTB3>j;EMLvB8XxX6my z@k6!|2j)F$QAk-^0%n#y2?ae6QUhzi@jn5_LoPzKCEjXTO%!H_8bUJWan8l{*wNE9 zAx_7luc6(<%-d$*Q{FfS>G^$zEi2LP#;OhrN*MQEZp2l|@|xqie>b$(1LAgmL>}O0 zduj}c@-B@2>Uw|ygdgy6zUJ2;Z6UdfDG3a$0>gzRIfc>$}&=7xwGXhFyn&gDEuG(71^LwLjRRj5QBIo#g;1 z%66)sCk|ZIn+Xj zoXNcLeuc)VH!pDk9FPF#SW{*?CY`*9N1$$o-K zLAEGEvhg?L1A>kv^IWPRz&KbNMkl z7Xwne(+*?7Bg<8YF7Dp;D!UrlGTn7g{Pz=hz(O&*ndZKbL)o>FsiE)a67p=Zna{q> zEQ9-Bj`?eJo;MczA!7t=-h^}nmOpt8W^?>B-YdKKa8jL~3j#Jd*aA7ShHIg4Y{fYD?ucw-eIueIeJt9jeckivFg z+P}c|XnV*{$B`#Kz@H7#U5)sR@a1xlo0vyt*Vw_K5Yq090u_?Lm8uzfq zDHMt1IGA=UK1sB?r(?hqD`1<2`@{MY*uIg=No5xylEX;k2^~Spp^H^h^i2Hl%)pOR z67QQY!y|${S-LJqAMT9=UEG2J0UVKC`GC>$OEzY_%pZ({trg1=4;jn(&*gG{8+D!d za{fI0RF_i*fs~QCf6f&5$#lr5zu7QU^Tcvja@poDDa&+}eF$X*psB?(&=s6R97gLM z7Tn}XTZ2zplI$DdI*X_u$boB0aJd76EMxyM=oFhB>}JH3Z*v;^8K`YW`WuA!t(39G0EHcA`%4LJ11FOT{awHdFf@s)YHvxt5i_&OAn?F#gZ?y-{~o zSpvJ;!9fN!|CE$5<740se8he!-VVM!=Nr3ymWRFY?Wmn3oDbiCALdRB(@u08sR4;# zUa~%4U9vHsT!(j#s)PTiQeEIjD@LP-L(**#_b)+veGmioAO08p3(#{5yk3=rP3IH~ z^i|n$=pOYh>_mFiJ8Y4V*Dowy*{zW^PTWUs@x}^uMpD`q#BB-EFQyH<(&%RVmv$`> z(8A7Xkm8Mdo8W{d$zVVk*$`l!JpegFhPw+)HWhER0cd3gU1osGm?-8r!4XdEmpV5fd8+vp$)RB-(xB$EWsU#`0$R>Z@Z17>OI0ZPeb1foo1|Yd5 zhLrTE&4IiQAJDe{a5>&6pIU3bD|yQ+_KUx1e}uinz>-(-h-F~*CEhG%8?QTIWkrex z#dE`qgH2>lu#uk} z>X97kC5Pe_x>Mc6j%BZ@H(S}ED*Ba70!X}f1aF2pbcWxD?(tl zI7F#c_-$aLbjWPZ&@YG&qqD`yaN)$Gtro!`VS#bptKE!sra`2e&a)lz5le)ujUt(V;M64}FP-8T z&X7Yr7h~pStsTxJyk6jS0--!y;?0-i{2BI7RF3oZ;c@O2kL%<7eT?(J1GG0W&cFSP zG0wdu2^IyumoPZ@eq10`Wo1XT~5ezXCoY=o-49tw4>`!SF~kG_HrPJrk^y zkC~XLo@St$l?15>DM0VB6okBk_egXRJ{{3g^8AMM9 zxd$^kTmN^Y#9NPMwZ})Xma@$2>NxNF@>%jjVAru2~$mi zIgDnGEGSa#f9d=N*emR<+R$8cGP=xDg+8=ZrKChB>scVBt5POL&Bbe`VPH5RmNGey zIi=YC!7{2VF*&^eFO+aogaJ;Lnu6@<$ev{xqudaT2s+<<|53eJ26Oz7Pv9J(bIuqo zy?S&@o1ieTrN3ZNgLj5odhV!}o_TyrDcaC(y`@BUxP6Fa9E13Dk>N4_E%4?@QJI?% zl{1n=+c6uX0CwSF5yicg_a#ANq!Ky;68L>Dx;ld($GqD-9j97id z-4U_+NFQE(EJUuz+-GvdeY;o!YlEt7W8m@HHF|>biI)Qw1c#T zAY7@S;8lygN|N7gLt7W-F7c`uWFtdfkM2;_vEvZNo=)^Nme@4aEX+~_fC!kV!2{IU z)TU0LLb?cSp%$h<;kA*BNVY~Sr-&D$Cy6&3rGn`PPuidxpXytJX{~2go)UA^{S{1# z#Ynql9Qxk=>=}fF;|M6tgotHa8Ni6Jj7^+0TV+N4qA{n4ZFDjDAk^M8SFwMCh?bukQ^l`m_ghLl5qKf zHZ4e*jMr2qt&#OQHXN*+(f46yazvLPn^SiOe+4s$OY9S-gWY1E>u#&7H-%ZS+5Y2I=h++teei5-FWKE4SUypNScE&oRnU&7XTYe&?f3nw%P|~;k8?d zL6fRLgB#k?(dBZ+m;TQEw2sN6ltUsLaV6nbg@B*a?Gak@X`c(%$h%O!PECi054iop zNCL8B!bFGRD|Y{Z&X;iif+ zvH{z6=9V6RSp3p=ZrE2^-uwQlab~jC3T^tFg_tsRC+>aFjewsO%1%#*1fgFjMGtSW z$N{Z7JSg@{=+v#@UMw~1NdC@z&?o9QALWejEo?N$A+FIOrU7Df4gCpuKa~NFGedCj z;2_SFPU@Sb;J7!u27TwR+riop!aIh2OB>yluXNNQTNJi0|9S95Voq|aDJPGFOaImfiyB=vASPcBwjFNm9$9w`PYU;;?GBhEfR%b^h;)M8xr1Bc1@*YPj z??_Um$4T`(HHtVZJqz$-kSb#|sTzmZeo+JgbFqGu`fg4_-_1?Zcki_7!yUrFun$D;qWKEXu|lsDOG?|4xcy4s zQz!&}aeb8TMpA<{ZOWH>3i)%mr=T>`GZ(ujeKRp5E5|G~IC~>~W*5R;hbn~l`O~0$ zl7@xz5PC}TJLeeh;M^)9B%u(%@^)uE=yLPEo~jg6LyM^%HLP^BeBw}T-@kd^FP|HJ z>5RYp?rhL$rAuab=l}4xTQ4{hul)K}>=vmHh)u*54VnXE%W`#QCgil#5fFkQaVCoM z41&f(&wP-%rH52HBVAzkxdhXUm;l|~ye|^)WWS`9V!J?^zc2v=ywQ2jVi3c#Gt<|H z(`X3RZWE@AJ-!!Kriu@R?QR@l#M!A;6GZ;qP$&AJRl;wzyw7lWj|6*57&spFPsHpO z!{Pa2TgrLotjr`1;WQj+is>ikcRNhP8*wHWZu?atC0ho2k3_E6F&|sN2oZsUV?kTY z6f?^MJ}4DGi_WtMs0aULBl%;cL)6begVpe1vC@g_b4kYH_zx+ikMz3&~>5{^SIl;S!Zc>`$JiR`$h$M?~de z-b(4-hRZhv;MVqu93d(XnR9lD%C45r9l|Ei6{5ITmhHtciHO_U5ix#Aa^B0KZ$y>7)hv-^kTU~4R>N0riKq-n??=q5q@0FfIG$p z&CTL`k@I~ud<5V5-v|423wV}68?)dN*u(4&T!Z1fTg9Em*h$81d>WOl(q%8crJiJ?Sgmuzis4EHOeBf`D1Q~E_^o4_?EIh%3kknEHL>=fr_@Tn+A zKcXx;u|G0na+CYa#zp9q$q^@hXE!cFr}Q;BPi=H4&F23sZ?}Zooq#K9wZ>}*8b1u^ z*BH=oKCp2r0{x?g6PD78h_Kdp_2^QuVx1WGd`v4~`Owjs%W(Ch)ELWknoQBj^3`bq ztbzU)5(Ilv!R{tpSkjL+HCb?jht?QKjaVl0v?Hiz$!ZMX?zg@sTPh9!Hd+&0Lp>5c zl8}^H31O{!M?&C8$=hM>x&qFQSV&YXvu~*g;h?$lY!-SNx0B(pKra$SyA-i-mRwMZ zC)}G*lnzHfcvD8FBFnE!=rd_Mj4w(t9Bb1~!^|fo=5YPGtZxS3WVsGSGD(KZ6?VWj z#=DVog7j_;z>#wdS#OPt^<-IZi@(%my*?S}vfgu9DyqIZrOD-QJVR+W2oK`Cj@PbI5P(ET; zq~R2g?C?bA?}>LldXoM8EKa+a%&%p9+~hv55jU6}O5%VnaDYq)55%*O2{3o|o1NQs zv1rC?P0s0!B{+WF)-YIi@YURLTP*XUeTU9Ou$C-Mw5?$ij9Nr-MJv1LsKyAHqC~jY9a~z*gtQUX#pJ z0z{<4t`#d8r#KaRuCK~vNzQ|!eX%$O?@wt#aQIHDZFE{Cr3az3APu%CTMCQ=D#MAQ|Lgp?NH*;P1<$h=Yn}zZWqi(s) zl$P6cBymH@ReJ-9yXKT~xr|?O_eA>r`M{1JI>uIewzQ{v5g>4i zIMw{BxyxTB-mLWXHCCF|{mYD973QjW4Qt-`rjD!TXKKjn#v4a`73`tWTg(X|ufzUB zgcXaS7WM$Vx!5x9ci|Y8Q)$aG_G5S!yjul*@PD|=*gp&4|4ml>9NbPQI3Gy9kJ=@l zItdNaAkJ>d8YR8w%i7RlXca6aNlY?w5D<|MRVcEeB1Tk+)*!^gA;2_W^?mSIhl2eeJyWUGxlxR z3$LG+fv$%JJ{#yli~+=C_)L{fcLA7#YYw1^(&df}WA&qv7Dvr*fvAG8YZ5`=hvRU> zCYc{VPZW$S_e3uimod5vAC+d0@3p$gzFe%W3t`%&iNp8Wx({%FABc_enw|W&N1f*k zC~!i+uGHdC&0nsR2S=pt(M`ST!8UTrRW52*i<{67G^t@>L9CV^k@}Lg_Bt$z zunxfL9ygdlb?k-qrPTJBR$Y*Nlq-ZGXpOa}0M*>4=@hCn?N7STG=Ge@HSfV-TRzyB z3Y8PF8(C=eeyNOgSaoSe7e=xQjXeMC#%$0lLcJf4J_uD4M)*J&pS7m|d7a@tLVfXxB5_^=2b0Ydi3 z-#Eg`yBSabFH>-d>(hKO1TWS$+#{Zai}65lyok)nQHwhTv^}YaBNk?hiuEn^vfkQi zQQRe~(|vf%RDjCT4lxjhJ#SOlT3^|kzC9F*Ty=*AFc;cm3ui~gt`Y&vyb#C;}a zj{a+eTk$L1cC3C))AP*bpwH^V3{(^B#O?*_sd*6h#pfw++2wV8u#WVpC0STCE5QSx z$YFHW5#ElrF=JR8!we<+Ya~s;Pgl8k!{8v|z2KffT#pGOitM#RB+_BESZbZY!;(l+ z$AK11a&*fp%30np?7TizTam9t2gWYN#2y<8`49RyGTVeK%7bO!$(q$`F!E%_zS>>=CX?qNdRj`fZ zK#y-#i<{AANsc;>%JMyuXPU_~Z|s0thV4!4As<5Zkvwc!Jt4}QA)0W*HZG9{fTuYkzz#W4?sXbs?b*ud^ z&O6Mzv945_q2s`;HU+kNzG$;QY2ir4piDJQ#VV1bGea)xI;+5~=9kR7tIS>aP^Y6u z`HKU;vXADs@-8AC#;WRoco{kOv9i^ht01nbup=Klqy(P;w@QL+{D3n*HXYaCOe{`g z;%FZz#C5V-vH;6aCAbyL-Hhy=lDY3j_JlCf{TJp7d00S{Ei=CaABXIiiY=(+`8bT! z3Ux{c$0NrUUEcfFhHCz)A1CIX9cG-nQ-fzp$*Vq)m**`dWf z58{o2(^ZN)<_Z(Ukol*`lbxNsr+f$O? zq{BGc(gQtSKbLbZk;Y;{PFP%n$xTh^_OHiGKzr$9vIzNfvPgr8q+W+<(~Y#xTbEVw z%eEX}`Z?fywGaX3LKY*Y!i8+L-^I0vzTRnAD+2}^$+>#6R0`yB2<`l=AB}0%e#d*_ z*DgqvgvgWq7`KyS?^r)lBCd2vIW$P$j3F>A7=cqqDGk`ExCa*jye_goxjcot^f>U= z@_A~@JL6zRL`0`2m#4OTZZ;n^zg!hdtrF)~L4umAViRFCWC*OiF>Y;RD_BCT`9p$> z)G&l@V!_!T`YI|-oR=0H7-*f&wsyxDbwS7aoxMdS?r31iM&%`Ohw-0T<`0- zBuu4kJ6KWFOZM`+sJT#M;Wj88LU5=DYM$H%MH~QVcTB`Cw)Bibs;*FDjdch0S{t3Q z>OxX|gVq0V!Ix4KY23w!pSM@@rfJzPz66d@M5Q@j zu~Im^p;B8?r8!2WMXaFq7rv0!Rd+Kx3!S7_$F8>B3u5QW>Slo$xg-M>iV5cZqRad` zKJh}*#a#toj}TV~^k!3MI-;iqlomhJ>W;9Lxq5{=*wyjs+PNwlUEK_$b#vv$`U$E# zRaQ3@}H())ZLan9Fq{vBEbQoGeTZl$e|3jnDvz}|`IzcMViFAV*0fKssC+zb(g zbH}(fcu?C46Hj!a{<~A|!rRx=3rxJx@{cq#W=CEYi}jvOEwaHaNG)>;t2&8S=$2VU zp#uTnkR!tZYZIkkp)I43u@EE9*;q?rohV`;wfe#G9|%PDJo zX!`6>CJyPBSj>+2LERF|Om%AX+kzn`t%;YmnWz`BCrX^=lHsgQymi3vg?L{%+}D?p zzrKxM1#I@l37nk}_cXpHfW|HD8|WHUE|8$+C8QoY7Q!5~l%F2?$=D~0EpP+3ipB6_ zYs4>`SZu?WLo9aS%PCgSpD>eR$NodP;ms_xJfDdSjo6Q0vWt}f#S$y!i0j`7>~ zQB9x_0$M`4||(_2MUpcat!7fLVSbb%&{NPPH^z&X9e9`zYb62ub~h3x4fN(Af_*Cb20e_e9?7LbxS?U=(+%d zsa`{X)h?hx^O4je!1oPpZRmP#W#ire?0B#YnG+!+TU=a>lw-xy_u?^Xow%vK#m&V) z`5@LXdCi7|FigFv)MtplXazBA~1P_6r>##mh%iBbwq#<1Gp*imno8%K>T)YT< zRn*HT8lbTDDDMKd+auRenJ(_cGGV%}atPMN)(mL9P@Qp*HUrxZdl0)Zn(M3VgK{EY z#FK{fq|>us@>L$e$Q{m&6QqQ}k|KD33lLxBVC@-vJD5?~8?pFBpM6VT#BvqF6H<1W z_eb-6mA!Q`OK(Qy;Fwv?@>O=Pvr7P1#fzOJGshpH+UvF1^xACD^H~$BLERdjjM@r( zm6~1~Uk+zs0VB&de3ggS;qdc_ayZMJ5gg7Wf^Dk9SA3|}tQH@_Uab;rgPlKN;g3d~Hi|jfs@xeHiM_RfqTwY?uqBQ$6UX9qU!rB&BSs;$; zffQr{h*B%oHWNj$Gh?3_O6MM|kFfUIlY(vA@&gdVn2;KF(_5BYSiA>gQn%qDtByb8 zpO$y4!9yLvJ{tXvHCgZgI2D&;<5+@8!0VxWk344WJFj-4dR|lI5mDRSc&7QIhTS{^ z$kqqD3*lb&Cf1oL!LEj2rw0v2xPGO!*Hrr~_WXcRL-0^Nz!|2R+WYm58MqnviXHZi zZ=kmfbBKd6R~y=v;SB{#moapusvn8;;x*Qa7{e{KL#Zu@7}-*5O%>rG?$QOVE$^na zypsmu+0vb+w8NZ5rxGap<$a~|;hXugrjZlM`yvs-FD;2|kN{sUVQQ;35ieVA1l$_| z_eN`~o{fFl3!4Y5BiQnNTv2AfWPkF!0(iGUYCa9OL_ zhh0gK!BX>=VQY_^k8$^f;ck+cZfJ4QcO#F;D!~erLBU?Rc;`Beb_*DCPNlUBLhK0a z6=b_rS5nS4nFHB-5nQ8bY5?&tnkKb;Vh&Km!L>A}fwshP<_}?pG4C~hScRj$*itF( zn-8bs@}VDTD#=>j-!#q=qeTsFy%O;1|3ma)pizR|SjYCtbu6P7B_>eW7VO9m4-mFN zH#);T0@dfaxM4^m3^tm#%2e((tL2Z&)O0oY7*m_%aKQNZ_3#tIUj-jYJi_9J#YXUF zYWa2;_b5#_p&KZ0?-Rl8l1BR7SNCC^f}w(BkZBKUQSQW%sD2zD$DI5!1XQveJ z=?FHXDG=mOV<^M*1<3V9Y_mm)M`?jNXNK_Zq!ALvDsS{G`f{k-b7O0g%=m~YdQu~AnK2M+6zt5vd5dJWxz>1Man@Vw~`I!AL z3-aLWARj(bI;?!Qm|qjckF~@sN~=|Ahk@=f9Q+gIkF|Vi5i@rDU*g^cKFaFO|DR+A z7-3{anPSwaaXYPa!?v_Zx2Y4?gj|T+On@M^(6YOhdTW=JL{V}fK1B26VU!lvrQO!L zzwK|g+q%{Mbd`$*LXe=f7KLq5Y^9dnopi89WlaRi{NA5)p2-EU+wSZ4fBk()=6TL@ zF5h#`_k7Rye9!lyz&53id4*qRxr?}zJR1asp6{N`8B=rpNOwIhO{t>sk?zo^*~9qy zNO$BD;7XjG5?sN66wlLA#PWU$(g>rU^Y(K(mF&Zvx7(YyTRdkodoYO$ycc@jli4ZE z)pb(EBLgJosl2+bdsx^^i<8_Com~<9 zS#W5DdmaQ*Pa38@-n>mg%%ZtK-bBuW!GRU*AB@Yu3b#S>9DEoLNR_A8&v?XLri=%I z2Ui65E8{^jGB$^U`-2QT@~lk~`qez0N9Y>njyOP#PX}MhYuuNe_k`vmdao3*1r2Ko z=??L2Rv->t;m&3jcx*hdg2P?5X0ubXfd%jY3t+QZ0P>VFvYO3#dCN(eaB&A{E6?Qm7Gg?2yVdVJW zFZeh`-^hZ&7Plf9>w7tf3#}O~hsbR7_u9FqIxV}^>q`Tg{1#amJZ|tUGqNzAUW60_ z7ns6gsbi1wH`d@iWKR0HU*_JR4SCjtzFHGn0S(cMP>6|tQ3^5P$L`RNsiFTLsfMii zT;5iN3Yv`Es46sfmC1O3jNbOO%9724yBQCMri;^@*Ei$Cvm+~63k;6Fj+VZducq#5 zukF@gHdWs4^x^QMNH#Tlyq{RE&kDw>impSpR8>@;K9Bo6gqhA7><%ZOvGWCH7tz$h zgtMkF&~$|~DiYp+_4*d@#@DA0f7=w0G|I^qwfws>7y91%>>dUll4vrqcFdF)9 z8?LMAM=kz9%+%Yc8b;vtM;<bFpiY?q=*=ttxTmbA1H4{ALaOFYra5d6Tiw`UPGB1`ajCdnV765pHx+QyxHY$ z=m)i&jv~e((?%}K?7A`M!B^D*{@n`GlKKS z{$)|49V$M)%$>e%Bx$>!#3*RE*pwIr?@IxbX*eZrQnpu^F7qcAVgUXL@ynTguQq)z z7}fUyw*669BpgDNV5FzUHya6&OgqRa*?e1-U_p`R!kp!$KxUx zcd$R=f)@j=$*IaLzWFPJeD2ITst9=%<_%5iGE&1{A&!Pqi@cMWb5iAD?~mzPg?Gx# z>zilof^?!-2Br%e783Wy+a&bAjo3GTmZ`Do)HsyGTLT1tUmM{He*zBxj#gFB)j8FA znk%Pap(T7Y=YAYv&ncA$$M;NZc@lJeNNqZ6W)Suu zg;;6NC=Y;56@H)~?;f)d;g=hS7F?%`tA*i))SOZd0QHo3>^oE;&xTc{I2*rh;s_`# zaf0AU`z6;d9;^KW#z?~$_%o7D*_g(o>;4nCeE_mmbG?Pt4AE`#npVw@c0R8z;U5}a zbpibLC|DL&c$)=|w`gM-R8t^T)kW|AI|^vKsTOyhC*IY#U{q46cb|H9jXS5#tD2j< zr;Hb~pZ#wgIIF68#RjjAc1|{MZluld0`+|uX4BqqTep=sYv$&e3T|Y&oK+Pthwj1| zwv|fe$Brh)7PqR7`lYTvW}MJng3Vf7(Tm6#jw#%6)<5x0W zVr=+vl2jXcqRU>$MGkbDi?)rMs351;W;UiAkx@Mw95z3%V-YWP+DJUMo^AswgQd%u zuujkDC-r|{0E-}DL}Pcc2xL4Kz1N&hRLN3uC}Ir!6(79WMGfhH0dW}}21+Ex*;V9q zJwYxcZdi?7VydTZxwKNvREu5`cBAr-Vpbu#LBLVS7u?wcMmf-?j1e6$l=Y|S92J*& z_}GL3;H9iw;?MqFN>@)d3+O78pE`5_RVwPK`;}FM*(jAS!)mITMh)diX}JXg4-_py zcT3Xzg1#=Spxg}T6}L^9FQ^}8O6Ym~wsWa2fmT*ga!y@qDVyz#l6Se+nASRtx~A7( zM-4J6i*YW|IL`e_k+(lfTfsGnTIFlORcNUZ*De%GlZP_|2(5}xV_C~rCAVi6DVMfR znN*3q4MHy3E{xtk4xAu^F_=@AqW7572@QcZ*x=F|Dq^+@xspgk@7FCPLz2B)2*D_3 zJA_)E{fk=8-I{d5X`!-H2H~)N^3F4jKEfAldN*q!I=d?j-y@&&oZ_9`dvn_s23FH7 z+B0I>x-{#lO~UNVOfTq4EX{O!cSWwl5J&@};W6t0GmPk?iX#PYbhq}VFmxe%U6DH; zR$HBPddGA>(*7uYlR6l+#;j{|+O$4@!u`JlPA)E`KNU$KK?j}$Js!rJ!pvQ?RcLM} z3uvvttH&WZ_({e%Jf((C$X1j|@@%K@1y9$GanRd~cLxnmhO4paO+}e=-B`!2wKQ3U z^r%uSSMu7@mS5MZ;^fM*7P&Z+>9tY1!l{zb(o7>G6uo~Hv&c9BPw2GOaS;_rYcFP3 zhqU%^q0Z{a{1r7Cma<#R*4!K18&N$J$W6Xg^fQx>5}?FoG@QNL%z3x@3+*yA$(RSO`S~y&Hs)Q)k(LuU9TQ&4+Foi6pxo;BSiw zJux<|IiAZW0Fzz9)Z4!gJJUzqk<{WkCeizvD7VXSl2+9J9xqz@(RIJz2}*8>PuS~L zVM(^NzBP4W4inkMMfk}Pg!;-l3avVaGSn8&5HzXCTZL-N;Jegp9Y-~X(5;NCz*<8{ zgrL!P0ROqHHn44jK zq}Xg7(zvNJrz;M7Xfal;RDG*fA_2`Yu3@7!V(HObA205usufOIpy`7voYncbV&;ck z?F0N2q3_Ah`G^(x30Y6tp8+iIZh?Yq>Gg1M7iEq6!Af&Mm|869tykt=sXD`btOYt0 zsFe4RogwGFac#dMv}F~87&iO%lA`GPTl_`ilg>`pU|%x6yfdxJ)Wce_gp&$UZN zh<@Q?v~W4uCA@C_G-=LO?S_n%feDA3&${aq{&KTBhsMTWcF=xEzIew~N*lXq{#4?j zGn#0bqO@);FZq0quDhKMm^srOxYuo##@s|je-8`+xK`n;*3$T{-t^sIJ!EH%HDR%U9No6%{Y!kbxSer9V$yrq1cBZ>melT1nY77<2L`I2C)=c?BRSJXz} z_C~(}_B3rni3WHA;lBJhncnjjyC(YRY@LRwXoIEt(iJYEYAq$fXLrSEX8Wzf-wexM z3tTm^Nm5iA+}@&Y6o37Kz*1*m;o?&HKuxcHC5VZ1c2T0<);pKSMbxkeQ6#M`p&}k< z=Q9ZMxxus1NV&mgsT4%F`gzVL&y9W_u@uYcG@lB*4nra4LLKJfaKMu80x!auii9$1 z5XqS4JqJDrXUL(AxhR^7;A+Erz$QvxW;z31ho4=3(4-PuGM&;haM0^#nthU7Gx!Ym z_W?c^N$H@FobV4oR7y_24dmf6)Q5qI?oidM`Pan1m8vwlBj!GkSPLu^Y9Xc6d)uZ7 zoB7mbf7bD1Fryqw406FyXbr?ErA;Qr{u7Vhdnu$P^*}xKbMhbR`@$)J zESxdHDLOAWzsoOhru4Nsv9h>2v6=zZ>;tY)#Dcok2USAA8~-3sN0s<}K^06E)cELm zH@l>r_uvzWwaQe@sO~K0;<`!W`K}M-atbg1gMb`W;`as8Y?K_0763#=eQJH;VF4ui z3sr;znagt%Yj`EA&d>!!kS*T2#9Fekyj!3)qX+;L#ZZOyCb5IXt$h5>@PAA7tERmA z1Vq1F{L3(PxaW1GvqaTo$U@GJgQNzUCILA*Yu7-|hz%0&96i21@)%B zEdllkD;U=<8RD{NX9|AyV1*)|zU*EkMrUYZ%WtUQu&rPN-GOo=rXP_{Nd6*G-9r+~ z+!mhQv=l?3OtaHgPn;g)ZWUj3Vele>=D+r;FhfH;#$k(ugfLdO zD>KJyHvfyBc^8zt-0XgO;N0?ijNEOt<210L77T&HotzZ4b^sj336 z5v@J|kzjFQY=O7gjFwb5hK!7jSd_g^93{V4k zF^Z#em7%EcgU}O(btd9)>Vy!iAia!Sm&N3gDbW zRuhm((TKoBgLYhf1vh=gSlqh{aW@a@E%J=Py}Kr6+;so3S9|N=mz(M_A*x-OE-2QT z(r}hM>)Y??EEmIKKXWmVn1+;LKIZ%Ln@pF(%!x5F;@*;RlFptHC_z*B-yG*y$ma!)gz%&sqYZmY)*EHSI{}Z(a!Sd?sIoZnmEgpU9 zBKJa6OOajV%irRg{XZO?iE&J9nPHs)D{pki$D@z_qN6turlzJuqUQwaa{2D1DqWpO zSf7nQ%ZM4Ztx$nIU(0#kw8yB4i%-&0g?De!8_(15*a9S}_{`{2e~f?e=~Q-z{i$Ns ztCL?n$LR^bd@<4^?mt^zGkXs8D2i@jxaEnAT!x)Kx&Tt71Ga>2oD_3M>`?UncMyx^ zR2Xx3-q^5Zk$Swuii@*T+6x>jkKA|H5@(g(XI>a0s*&*sA43s zV5{ou)9v>_Wp+pUCa?=;N2JVbbc>5xdWPe|`JQUJp|{V?dFHG6}&3fco9Jh~tyVzZM9EU_U8A~^5pN9HW2M%1Zj!0!y< z(1)@)=P)_HJZueaSQj>o1<&+1W{sE4*bw79M=`N-wvJ2;k1r1QNyZw($#9>?9pny?pR3T@rYKQ3xQ9jW-&839HjGl!0-5)dcg-F9nmz&i&YP3Lx> zSBcpx|0f6Tcn1`!sE1@sH51m45h#HA$4>Bq&b%F)Eo_~9>1%{+W%=-J8ab6 zYgNw$lj*P7E_*Vo=_zVrT+ww;t;JFjjYU*RFAeTz)uWF@iV*j%Y0jJZo!Q&}7?OX8 zjMsL5?i4wLuT=G%$nTN`-ldhJir|*xr>Zde`RfSD*jG8Z4018qky$*wt zHAkZNA42ptJgB4eXPn(fwmi&gG<4HiNuvwm7``f==*wUFx9AQ<5`C4SCOr|DMR8o5 zZPOTZMPjuUV;AtE-P2iva0Ms7x*p7H58*Y~#<*b{j4){u+-wtlq@L6ULk}d~;r8IK zZ|J|7G9H(P*Cq!0ef<(I?ahjeyzR9yZmA~b$HiiAawoHUg$0e%&MnpXeYN}6Zt|Ig zaR1AsCvQ!}nhPXJF<+bXRj`Enw+WPg3%-O1IM!Tja(syGD?&^mr+r%f?KsxhSN3(x z^1ez&p67mzXSR`m_YAfgfYDrneTj<4n?GU;UT6y9s$<_#3Yw8Kz{x&u-*+gF*LezJ zHQxDB^T&9MOMLQDbArzsfXx4>eYh*%{|u{8&{a7Ro<0a)!eaL==4g@2+AD12+y-ys zbd6xut?8P}`Q7XFj_idf7JbLw_DJ?kK$JyP9m4fUbiI^Ko!x~-(EvK$v3m*l!*a8x ztK-(vn#^He_GZ?z=u(~f+*k7|Oh&+IeKizar^weUU>{dZT3E!t8T_l~-*Wz~Y%YxM zn8KQjK6)Nok;`pMky~Hk)-Uh>ET!x>uUVg?JLVL@Usj+a%j0sBc;8MnE`#6!@2#F+ zhKgTcljP6qlT#5M#|2-ISFlrqzetrn)$<>r;z3OKf`b_Dy?}S3;uqa!4F86rI~J}q z5PapZYD3+B$3kc)ZGPoplR$f1z$>9)ImDQ^n0+fUKFW@H&keqkiockOe+9FL;umk4 zH_*({6O~LJkKVa8n+@VYC~W`?0@e897l2M_$Go=&Up5H_?3qA!1ao7Idrn2%8N3&ddSAI5(jR;d?QvyzFXGk} zkx)E3p~C59Cpe;=DLd~~<|z=pe?Aj!r%ziPO6d{grO-aKI6uLGb5eac*c_fzADL7i zpHyEkslKrJ3NK9Pgr4`tb^J1m-Xz+b-SLTCQ@a-DbuG^CS{%flv41A>=n>U2=F!zq z@sHG1>_eC#9>-x)wxqy2F^Hn%w|agRDt--fv*2qoHN(#sGqg-I z-J9Sw3@M4f-`K0^AnlDW{t@lkv47c)y#?r|Wy53tqnno5vHy|m>`wq#st~Zk!PioS zpGTJ-ke8hdz6>9YN3ot0Q#yX7zRQ=~rF zQNJkhsMIL)65VnAN_mySf#9PL9p?K@@BAi>U+x{(*GC`y*279T^r?$M;dhi}$MrL! zkA8mnO^0fK#(MCR&~*EJk$0l!SHWV&miZ5B{`2|F0PCO<1AR}N>*8DLWbvr?C1`wu zy*PW_8G_r3-hU}12mY2WLky6ULlKsQCM}6fS`x>0ab9@R65(nAzNehtSkH-ZcFHuk zI4@iFPWQ5Rx-Qh8>+|r3RM7J)_3x%7ae;r+%0hK3_|8pBB0lWgd5nb_9|L2+X4&zd}Rw2Mo>%xms-+TbB&zlKThHp~#=1O|v@4~)+u*KeE!Ul)i#{6jcG=5I zaYgeYD20E>pkI7E?(gS?yW-)`S=VAl2Ugp`7nll5=xyZLTxe*{{dT`7Cj)A1?9LpS zoWs0H-hnw1{4c_(Q{N3Y7=oeVg=Ul{Zo*oL# z1G}hG#FGwXCrj7GwV8%`?2wb z+>NjD)OhJO?0HH@Jw)SKE1%T0w=Gk@T}GQftE&kI;Q%9GpnEU zKGheTwfdhkIb+7gR`0xlV5i7~t`~S4H<1U2Q3ws|5KtD%Q55k?>mr5+`Af!S4AMD| zk=^)S$c0+`v?kNAgoT0I(E~3C+)lvEqCk6&XOxwpGEgm2-ekXzuIDJ(psQn8Md87m zBHLI`D$>RO7-}MAv}b&yqHNhY?|Wh`t+yk%J0_wgbmmC?QuU8PB29!_bM|?D{Pf&* zq`ZB23F$c=!ifmYpte>MX})wcx`xmsksi$HGw9aD?D#fnaOdH;n4=@&AkOW?VYh>O z18t5TTsXF{^NcvQu;JJ)6FD>i;QMAuM@2%k2;qiU74B*q@Z8C1j#~MgcM$DgyYQr~ zVM04Gex8{%?@G-Z21qtU=2fbYY3Y2!j2+g0BMUHXvCP&%`77r|pZpYj%Pf-_MPD*s zms_pd&dAI_PY4d2HS>LE%?zOk&0-Woa>y}0`F8VUSl&=x%LM&|+H#m=P)3*}dbq;Q zSV)RJJ_jrtRJt8Qpb#3B95q6@&aR&V4xO#DY`Exz>nD(%Ejyq+fZV;C3WLz<6$vhN7*G=N8Arp$J|eAZMZk)mXNPMZhX6NO!jVx zd${Om4zSx`2AploA-YU+Nao{cDjiCU&GpgYT$b{O61C3sL_QMv$_QaQD=%Sgnq7hB&YqCb#^U>L#z{^ok0!&eA-dTN~~CynUQ}O|(Gsc^X5*MoA;IC7Wiex0ww8X5c>nO-2!)cTSJw`3Lx%Q*8Yx3#eFCP8$r@_b&792z9=T5#Tc;wD!AG2&hq58SUJ~VDNa!4bjf0?Bk_>743Y)K3?`0(ayiOk40aIc1jj3{*!6A zoI(F1-0Wd6$px&IfkBfG@(CT@u+cI?Wr|!M0zp1ZH?j477Sb9c#}(v{do{kZ%P>Si z%L%dwRT6fHma@avVD=WDLz@;$o-sJx*C{@h|AnLRu1>MCnX7Exd89Hm&Mvm;0`D1H z%y`8t9FyU+ukK~;9it}pnK2VP&A022*C_VpV|H&2Yh{b*X>Y#LC(pRv8QFlff=vcx zR3=MhHQ#S&zQr<+De%G53mEFk+|TmoJ`lZc)W$ca?0>4`f+p7(oBgbL{#LJ?!&|+% zml~aOnD~d(jKTl;)TX$M8(SFA{r;1wa{(X_3&$580VlC$~x1p}}B?ElO zT*>@|649=8C5L$hvXJDY>&(Y1ZQ?3xipSwj&|5yhFrqB7=>6LGw2v5KA08q05j!J3 zzckdb`v9kKZ`Rne3Rm?Pu`VvhU@YSG5dgtIn7m@S7t8D^(`n1_i~|3BdV$lIB-65r zJZEd{OQ)B$jj;+so_udyJF4S-Bcr^Bg^JwM`1lYrl7c8|<(#bv6U;ToysAG8WUQOu z)m7blyYdyxi)A01PgImx+YV;(>4XgmZ(WFtG;q1!z#rur_+YL9u4!!pAIdfG;ame( zm#l6go)HQa-PtE+#JO5b;I5?yf|iA ze6<;s=`=1$i(^cIsizk>onc~e90sDZHTH_7ccU6RbZz&gwVW7Xpb`9tl$jl%p3!rW zO+vLqjz*Dn=R^oqV3X-mKhra2c%S~}!@OhdN9gT;oJjF?Cc%5a{ICy+7l&4H9}!nD zA?6J6{IauwS#mm<*Xr5~30dyAt^pZ7Qj9w@w?r8SYcs`XtNf<`sRhd%#;lk`mCIy; z=wzDS6>edJ_HJ_|5N1_G*Iz5T$mY5F#{8Bq!5Bk1l@+K;)ZkrWv8dES z44^E~kS5KLC%qVW+?0W@#9b50VG&v@2c2z74m3}W zez7SXzeXaChSVIJP0UDtqsg08-s2OZ_tx^7P5jMIx4MDswz2>A@cv6b?a!Zf10BZ? zso6!*bvns(>?$#JoEyD2-_~)_Y32W&GQaCzJNe7WQ>eOsEs=9{-9K4K%lL72{nq() zEP8i^o_{?#y6!q2jPWF+)W)R&afCa+MVc8YRP;8y>uHVr!~I&UU{*O$^t(xn~B+UoVD&*7SJcnS?pK+w%hYD%q` zZ;^^yw+%?gIBc1)>6;lzsrLfY@^@@cL`rkGaTo`z>h(X5N;`@tTBZHXsI;YM8Y``1 zIL(MFJsSo6iS7+@SJRvQDM0?YU$;*cOmI`qD1t$~{46z$>ZKhLbNHGDjE7A-&6kYi zuNs?Qqr$p1?e-t1RqB<_;>dD{Qq7;BKn*C2f-v3?g4s(tdiGIQ(iCkjHo*M3soej* zHOQM31MRg-ZUixP%hdt(T(BhD5Ff7Eid*ZK;Cp%kk|#4W79MGpHG04H#(NKGl|p{# zd$Sr?B68%D<&G0ONot+2L$j2*vEzgwYzcRq_!+-#6LtuqOhc+B&pA9{oPqveQ!Le( zPjel+%=;_Ney-wFO?GUqQ)6?PCdSzyyW;_7Iduz|)rDJ)BC_2mu5Fq`F!XDiKH9&W z#aQx^>5^+Umds^%ec6v@x$S-?X1mt^DKeUDK0^s=8bdaw$yj>nU1MMoLPWP-e-<&o zGnY#5-1;6CJggl#9yTE&9@n(=jemZ-w7WwyASbAtSNzcEdLMlXy$UNZuf98`H{w^v zT8>&u*jEv|^?T&2s2lhf^7W=~2ErVkyyERmRb_*F<>&u|*HgU9%olnR(hmj_oSdQ8 zfG;v!H{olOFnYnaz1B!)w(SxmRv6Y!MeRb)0qPf|rxH8^9-Kf$?Z4s@5S88t`p`YU zoS={IEi)fw_v;PVpa}b!_PS8EAu*@(Q2Sd>8)_*NHt(h1nQ@zSM}Y1*U<#MlgfPkj z?{JW`0DCTmY7Wt}Vivcytg}OfVms0|cf(p<`Lb|J0VBo`=F%mlQ@U_b%9JtY;B#@i z;><7)xoZmCWM5TCpXh+iE5@WMj;1Av=%y_!Sdd<3`VzZJN!;p8_Vy4|Btf%Uj@&3& zEScojq&_f~L*D1(+f|}?72>ViKded@`xQoPg~F9N6AyTAH=l=JA06lD^=bv%t`g{d72%~jHUE(=^Ap?0kGnE%N*kTI2F6%E z)lNEVVmG9kHdbI6CgOCyx`a=s9Q~Caw{t)ssnodR<)!i@F1><-Uy3+8Ke0noMl6}m zo$cey09=*Dbz$4M&H8a#IVnV}%P3M*?^Lj7g&Ue(>AY9bvelc+q;{<3gTbXF+WyT(KceRm-i`RQ!cTNbJE+TgZj0b?-upyrWOLUPLH3@v zH!~^ttido006=-g(R>Re-<94$CHTsDfhN}RsJUkiEc9MsXp;fv?kzgrm;MgtM>lx$ zd5gU%3({9WyK_fQZn_#I!9A}&9ka>a6jn8U$xMf&Mamf8Sh=@5uw@2+QmE+kroTp0 zH?C3I%_eO*X`M>@oYLl*v_+)JSIUhaeU`LK_>)?A18K`h14=_L7Hi(#Z_d_~2Mk`+ zK|Otf;#QU8T10vkW{ch`X(3hqMrV@V^g?PzR9dz2EZ6Af=KR}acfE)CYn;$I-_a8{ z>Jopt-edg5s5;y>vCq*nBS1cao9dTsdb57nvYz(SclznO`Q76@&tF}@zrc^ViQi`) z04v0U<^>ZW=lHlgJ_l1(yxR@j#lI^4&FA0s{JVjFf5ktuuG88sd(BRkE*p9L6Z5DF z(|=?hbupE`K#znJjq2CL37Wu@mb7TlV(;zWG0N^Lg=zIfywukN{5q$`Mekj~pl1{R zdH{f)?{fo3`Tg)SZr}<2&EMk&CXm+WsFX&j4}T8)cvaX+a!X;;DvWj=i&vi{_j!p^ zC@Yeo=ris3CABxIB%xLM0t-~z*phXKr10#Z4PEs+I~S>mS!ZS(X~I-D&de@#&O9^g zNSy|nQT@n4GrAwzap{MCM)iY6fTd5qJx>FfearN%LW_uRBIoL&fv7K_CdT&htOZUV zC(XJU0d>FXj5@|bhL>o@iO$bEGvi3-O?7shs8hEuW8pfz&T%KnqFS}?PRlw{>+b~I zj!5`@hje&?|Gyem&4aqp7tnyxiL--3C$MF6t8f@pO58Z);6oGJ3eDzHKsj$=DX5I! zJEToeAj8eRl$o9ieHHf@p}S>IP*v{6&NfC!R-Xl#XC@bxw){lRXu}E*S3?T45gKln z5v~F_(HEW?5nfgR&}ANZ)Ee{P=nJRyTQAo6oM{sx7mcRGk{wu=&4|H)M+mZHlNcMN@(CRh0du^ zTf}<-r|_5)MiQAxMs-?FmN?ua7wAKu#Bf5`ILnsGITduj^=%Cn$NhUB<{{dt+YnV7 z?ff}UH{;$D*Vw)3OMuTic=I>{Fz1gP=>9=l#lf4eR#;}chiLxE-hIXgvufGHMn2?k z8Bb=jpMN%&n(x742EZ^-0#&Vp)E59U&HpqkWOHgy!JM|1gdpqhO0;`te|DdO*c_g+H$_j3%echN_) zC>9{c!0~)`0X%Se^Iw_N4b^ni9{waeakhz0i-DGY2n_TW_<=>X>p*Q@*Wevz2m6Be z*86Y@o~MfBNo0yyOn2}mIgzh+R~3c>o$75=CdJpA!+nS0$;0rxM%%=>6Am7IdC$VO z0RLl!#YXAP8V8~t@-rc1?_v}ILf&I_>H!9pp+ufljSD605ScOJyx0%CE4<_XExZ?; z1zrSFU-JGZFh72T4)**wJZCp@E>J`p=TqEM`8NNS| zsiLAERG|`iRnvtAHZ4(0Qf#d~8b9 z*~{o`(?!nE7vB$kaV^ZD;V0fEHQ@a;JH_lNb|280_kCG<1NtyPq6xdd5v!uFE^F>(h`q%C`y*M){prxTvP$=)92@FUb+qDIV3jeFwt0bc^ z-<8&LJlyfR&U5KaelGvj9my-X@i7+}^nhf}x875M6#D~4OkbiwvkE81{T^PA4tdY4 z=qSquZnv_TDmF3aL`6%+`QchJvrjqv-Fk>Wh+h`b2(fu4Y*iu=vBb}jyiB0{j6Lx6 zP%xfIpMuKEhDLW*)Xx*4mATNF+bCBeA)-U-GH6fFufys6+7Qf}-wo~tvc~OCNKo|l zb*`le<}2X!u^xxlypfIDK6XCabguf@a?f%nl!#>}*q3_b;kMHsSLsomc6JyBH;TbN zJ=?9Xd(wB&Zpj-)PLi6155+2i{{?^ToQSo(lWLqohC2l*W4b4O8Be*4E|PV@Pk=JM zj1%zYB8+l`w@A%8j|^d=T*z@P?);VMFguenk=)+jcduYk|Au?#pE0+JD*y+6Np>qC z>rMX`&)&wJ_CuN%F1jM+&%3HTbA>mRF9rHSypLYZ`r=4BY~Hm7 zP9Yb??ee1Jn$ng7b`LgsDO+E$kWW_5jYrLkQN&Caj!H@Ipg4Eq+Xl#xndf~95T~ZU zOQMIi;^G2l+pB!Q5tX$H3pArA;B1r5JF+2Xn-0Z+=JD(lI7cVS0^Z+x)3;K(1{2-n z_ta|3_pI|`J}gwV8_OunORae^+ViGU8!Jdp&meUe?^vXVse#Pbki0c!pO@)*wtddi zbFF>;FXX^mud&bldiK+Q&a>e-4k%HOQ{moG&rkaqx;H-0$MnDOimIB<=wy7;zUiRO z+b{jB>8{*EI}euc+4>us=bNN#oot_fpyx{a+^A=5N9vEBVRiI;m7f2?K7U-#Vs1$P z(5U=3@of6AJoj)N58j+Ir$5*4S=~3 z^ebQReX8l>xpj1bzlxf#>B@sGSw^7Bp_0@e$mtO_P4PDm5Sh}nfpDtGw4Bk@Y?Mv=L7HxUA8dU<@VDQh1jn7pQ z&X|YA#%oIdn?rw}bC@zBJblg)|H)$TUwXBiJKD~JpKZt4M?^hni%2!zUh^4>NAsSi z8j|zFZDG$;hJV)DuxlQ@jjA)bIKnqik9?aSam{0HNo=ys{gvAmn$=f}UJG5o9gC@T z@XK-p7woA+hV0fBa;mY_41O7JFvICZqx0u7PaB;%mpHwzc82=uZ%ONIZSpnO6RavU ztvKRJ%~oY_aiFnd_vZfsnYKSndZ3*k28gdJ;^*olvW(rHMKSOf?=wK2VbFlNCG~J#^nhmQz%eTITi~FgM3-%p_XyU&y}JPrqZUna5us%Q;e#?h>Me- zKdB)(TMh$hpM`WTK^PwqbcjKvKEyZ~AFxsaQS!}&c#PspfwSEZ)#$p<&?(x81zJ9) zp9*~QqGgL6b*$x565W=}Iu_N9TdREL;UGN|^af-Q^ zD-1s>#u?S&aW<9&oK$F=cd&htau!0-lV@){^TA|s^Es(`Sz0W3KL~-SV3s=xoKnSY zkC-U{uHlJ|u5SeAGOY%>=JPcgS2s`MP#{z(2)tjtBRwC5BV`_=`HiAnp))X~ulgHB z!pzh0U@yFRf<$9Clf36)vPAEj3ESt-ebXno0%4^v#F5OU8W!s+e7dI8;GSy4AX0p53o$Uc60dgbu0u3nwjjGldKD^|;th zudWCQ9>P1~<-F+Sr)Fd7bCh(r%8R{ES9ouxS_rqmzn=fh53VV9|4e&RPbit+@=I@K z+;vI?=mH(eq44D}l|7X#=o{ zrXVM;R9%6-N>#;=>fxtCG`g=+gB0*8i@d*%48H5_;xaxTdRSRUIVa3FeRenM_QYzB zm~mrAK^76hyNdQfLzE2vKZ-2bPJ4$b?o;LhOPLLHX73nFu??`?0jbfFcT{sfY0cCZiBpHR+jc04!V_cjp^N4IymTeqR*|Y*4HBM&a%;o zb>5xjp6Ob!Ptx>+zY|VUJM4s+DV~8LYx1~HcZ)%opN8=e12R z7kHeXMua)%+2=Z&X`2cKnkKTX)kgaF@{BKgJ_Y)p<`2+33}4ERtMzSsci=}OcSggT z$0Ovzft`Ok$hGYs4}9?vExL;%nJ>d*vBw3nwH*9Rv#87VrmwaQm@}z?(t>|l6;4}` ze6$S9lq99{#Y;GDbLU4;ixxtD%s_2+^!bI?BX zf#sfuf;h3xDWRnGP9dziv_E}m0}s~pn+X3d5NcQzZe^}2*z&3{sI$h|(S zKN0t~BH!d((-k~%>Q$NEr3nVWEo7+!@xr*LXv0siI)qY-Ji zIqYrL8jy2^#*=uhQl{o>$iYaCk~GH=2L_ryjsu`<7?G_yp825CnsBLwBP(@N-j}K( z*{U#Z1(Oe^$#UCzI^>5SvF8D=m-FS@D2Lcdsvr|;B8~v;3$)N5{~n?FTBN?`8Dt#U z0x}%LQmYGG_$H(-AM$FgV@jx3Jt#N7Q1nnn%OgQ9_AG)?(WbE9KL>&%oiBM0smq)o zv^SdS&4x-1^kB{m%~h$pu*|#f2;gao%7tHytI&Y8-j*UL;QQx9Lpo*`mwzEr5{lUd%W~qO}V5w z%Cwf2WK*0(aLH6?4Mbr!no~=20>f$U z3FT*!#uucJaBVge^g1aulCv?A%{OgQZ^T4*Syks@g}tiW+gF0^_EFq?)kYNTm7prh za*iC^FD~qPJt&u4fwb=Ow>5sCaaD?M1+@io)`7aDTh#t?!g9(5k&gEyyKqd&(V{efi7fJT47 zj{X3*#*F>|5pEd$V+;UZB{lp*-eYF?<(=~+?p2ZtXEaNTZr;&U6+?X_Q)U(WaH2Jk zxybw3;42HPTd{@$;>w_fxHo;_%M3h`_0K6y&tXlMo%HQat`ymdg$X8+N z42gg`z|7K&SY@~vBALy_whDyCc%lEFD4U9Zc7ga=9dyLP@CZd1{O)1CeQog0Fp5@` zwR@`^Z-%`Af&z23@9nQ&tl7j&c0u};PeR>y(@^ptT39gMZ68XNFY(H^yH}>lH(@Lk zso?rbEbev7yibd_CCp=>{Sbsl9&ji@nDqQ0u%;reD-$k7&4|f-)Az+_x~QlP(fhAq zW}Mw|EBVp5AajG|wwrHA<6*hK-Ypfp41+$^bu?;yfrG!2zNIG0M5sbPA@s<<8`VnP zQ)lM!KKF=Rbfs2@eQNn}yR_@Q+Cq)a_YPC>*9H+t?9EvUz7OT>^VRQ(4J4+G*g(2} zRBRx-)qU9O5~;r?IXkStKm$CRz(8>Y1~P9741}@-b2yuTK`|rG*IvzaECH}o8?I2< zbpKAH@@C#`;cJ^JuZ0u%jI*XfR2umLjhSJAy=417Mmz@j?yb=I#wtIK`kFXuefOUI z>l42@i|<}-yOMJ_yLWTm3gu8#pn;R#25t0;5TbYzUWd9XkIFGbqa+sf|5*7D=zy4@ zS{T_uBzo_oBpUIBT&Qs(6%s#c%OyU5amZ5Hdx@nFC4@LNh1iMIA){^I1@1|%t@GFpGI06Vu&m~}$oMCJn& zj|cZv@CCOC4;HNO&ROA3)OPzH_el?^G>2(M-0UTf3kFf$$ z2xpl$%fp+S^7E;H5S237!c`l~JR=|8#uk_*8HwK0KrzDtlU&3BgUX7c_kWnwY;Bmz z(!Yhn-poKjCCW$eWiwNh!xytKc(>6u43H6qZVYz{vM45vam80!%skj$nnEHQ^#f$8}IcN??K&L=4nOLT!D*6LO4pqnA-*c)&+36%v7!+`9u-oevd%#99aWiV8l+-C`9WmX&**#3X$ z5*u%f@f{t^%8}lQZi`fkq~wBGF?-pWhOGP}H!nU}v0M-%JN|9ee4oU(0T%EO-fiP zKR$r+dsiT$=aTQ4=NOd|>OI=glWkwCqH%qE@d5J@u^QE6%yVHNFp~>|j^jv`0&S_! zuwKkL`7o=-kBDg`MBZ%#s#OU0{ujw8#ui6;C(R*?gKor&e?=$dYeL>Kc!95ZIA8v3 z81+oAa9g$}!fB^jslh2%3=IfxACyI9~a`rr*}H)e4jZl zd1;4mq&v32s2^Ql{326Vm)EdGsh=(Wk@}fme8O!#<}Ewoo#aU z)&FKT5BjrtG+T2J7nXKT@xIN_Mekmao6vr^l;I(ioWhs?U`@8{q*qadLuaHVdBWLG zaES3jTsAU;$<~vrCU;*ttE-?Q*gVABZEtHfeo9r6*$m^x0Q2E3DV3*jEsbLt%m?Lg z8}H9JZazuS+15{TP^EhAFh9Y0ouLVBT6I2N8)wNuq+k89+kTU+IVn+tE!6TOU;zxZ z`TY^_ZJc&{56u!7b^1Y`Wynb1_?G|Tuv0})gLCU}0Daq~`ZFU_gZH~UVlmi@S?!EQ z99>0nu<0uQx_CHwI?17?51*d=0ae0Xi@jXV<>qWm47TXK>p;M4BK5&{-N3_xZXn6; zCjR||e}%j+t49eq2O8XTR= z2jW7%C(tY^ABnJTsy8>^RHn+q3=5h5kYXb+903&5Lc+rEyDAIOK|4UBU!L&Uu~p>w{);iuJ3! zN2hUiKq}oCVIRgPx%LYj0OKm(1S%NFluPi|I3z1Ze2pFr?()t<&P8BeuJQA6s=Idq zS{uYH*rN~y@-~lCQ{3yzjXOJY%`shyKRvusOrM^&F=n8Nd(}+6q-t*C0k7k*kcQ;X zgt4n+Kl8qJ#9||9w?&AhEdXnd4t^;uPw$OKUOawh(0K{>%{X~KF!-gT^?-7XS;U{d})D| zDta+oF4Kg1u%`ZD| z<-K^K>!O)_yzN&e)`4c+5J(*eh;*>kpKi2r4NPXAJ`E;tYTz`OBBNmf5e-a7*~*TV5RUfw?`VdB+yfXM&^vS#Og0B&wAovUC-Jl6UPzg%f z0=NAH3=R9B8w@mH|Lq9a7H-8Cz(XyNzh&_>Rf{AQRAr0?g3sbx-Au%s0@_K>*JYNo z84$MwDu8nz8kJ}+rs7}4$Ma8#LqOO8Z9y#I11unIL`r>_Ty z_OL2UA4?9YYuyFjH7C`l;f}e>7?0?acjk3psPsS6pEf!ieX>k{Rx?q|R<3~Fh|0~* zzwAifvLloeQ+FZ$lt&6H&z^j~%KvpMZqHj^jXt{UaPT#nGD%0dwqGmP%y4>0XuV|v zPDU)0$Wip3@6hkuEU41o1Jc#mF`(XMUhg_Ptk-9KVB1Wtwm%fw>^uwSy-Qeoe=wZU zCo34+AR30_YuiQ)@o6`7)1o6p^DW5cXmlKl zj6LYf<&cpxo{;ezp<&PA@!}wBq%0{+eBQ^zef^S)dX4*piS+7uk53${35vnmd!F+( zlot^gPS}^FyYniePhN~3G<-Uk5Zv!AI~v>k7i{Ydt`9ehNPXpC)v=eK8}N$9jc>1o@7hz3OELey_Tjdg`Xu zJHD%0z2}Pe2In2XX<*~w!7t(O{;+ZD-*_;%YZxl1IT2i*hpO!xJtaS9Ps!13D1-6q zg683tQgFYI^QR$CEB({rR8EUJ^E%pMIe+%$KM$Y9(UB|Aq9LA!V`e--aY>9VZz6kI zae%0puCnLiNdN0fLrm%%=AoHuu84ol^$};A2o5nx`zE~lTfh3S;4qgAeG{H|kI)p~ z;GN>crmz)UI8wo6dj#*B5IBy6`S#JdW{`^@7WO_3HEr9_`F#`So*3Owrm}Cs11kJ! zt3fF7Jo>zab|2#{!rO2&-=^pOb^V6~mANs1Q>k%K(Y>WKy8Z*K&sp4(6Z!14 zY(n5ZmFY2Fe`Hu8?_ZQ-26W7?{+eXiF=gnMR>U<;;cJ?{*dJ7Nl0CF+B5dD96c`m{ z%6LT(2-RPgR$!>)-L3mDK=-OQMa->EEV|>$=u-vJM_+@YhLD8q%^W{vlYG?eRbl&bHAP69XNQ^cli7Ag|$EfY*m;cI48m_KS3y@AdyAVP%H?y z{4eC-8Ypf#jOK+MG)b1o6d95Ig`@Z1&BAeZPh)%SrCXJOz}l8oPDsW>ULKGJYa&%JX}C^a z>*~Dt|L&OyT1(hStiu?Ejj2vGo7MgG05Z~Gje-P17WYCo?Ki_jA46Z@&lI}~bFbEm z2M>9DFAfC{CQslChXxOE8*#P|>>17;{5%xsDzxSZ(i~!cwH~c#`JUk> zBVi*R;jZ!+u=reyiBEX8F)bV()1vns)2iHewUd1Us|SS)~R11|>;PJVgW(U)tE9vruR zTz4S-0&=Pq;{rR)WK3}Sh{%z4u;yz`SG2rz+Eo0IDu<^6A^zH0owT;h0ORdl=pJ9O z$9+h+-WNO;U`^g5s#4c9uCD3)OcA$)kFfMm^65%;2QruO9ti2Z@Yq$n=Pnh^a?=)p zy9s3bGhIAsVsni|2ZQRC->}MzJ(2FMkv>4Wwo7Npy`zZr>duNd+e>*3L?77Yyq+go z>1;1oLbIi}P3QE@3Jsk@dsp(1n8d@!4IwrkHb;2BoDa*-#g$<@)H9CAH66@#Qo2j- zEaR?E`NKm|@=xI5X&#_ZkcZTD30jS%?laPKh;o01)}cz0=HqXHSY8utgID^HMLV}C z#}Y#Swwy28eXi5;5RS^~WRcN4(`dweoE)OvMkyxqQ3A}kpD!Jqe>pZrCja?o<~Nod zB~Ht?mTEWHvn2#swv#4VbHJ_s*3ui5#_^2D`t)qf{U4eUTp?A+tzO!Cu zRlC>KIk{_hqmP7d0B>d7I)X&Et;o>eoFSq&8J#At7DqoOsH?9Z`yRG?w-jP$Ih_9r zs@E=O0?&Tg`-u_f?>}4@eA5_Gd~l%n$NT?d(y9XIx8as+yi+)|>B+{jRk4o$lt|I* z>&nm0i8sqG=O(&Mc~BJ^6v$$GLnY6aXPG z8hg|A8jGFunYgYC3%MI|R%05C=hY2Mv!O0TM2j}e^$NSA+aH){B(u+X`_qM#@$p^$ zX1rINc6Q_oaTWA|==LerfTS<|E^D(2vB(`VGxF^^%Q|=7el>Qmd9^PNHJ|W^(L_KC z4DXCD8T7dD4B(+M(wp8wVKBD}^^tOKVFfAo3s4kFjE0rgn(RJF{|C~|cz%+XOL@r+ zM>aPcS5X&1bg^5limlzX51F?=hzWgISmbOqYZKpPtnEaGh0W?_VH4{j`4wSI%NumS z4pLbT0JczM;od7QTQL7Wrq!;84Ha7U{?%?JUOsh|tQ+09A_-#~6iGN+c2a4eDdx0Q z%S-IyIxu#+x~D5cgsuc+(|$`WaqmYY#WE{FU#{k1im2**4yx;%4|2SwuBiupYfX=_wuB02^c(9YGv{4Y*mvQxix0w7S=K6 zZv#9F5cL2gD4GGyCSxZzYTr1LgwTqBu56cehx~T z=y5X_CK`uk=eV~p&T(`;B+EzGoGscIY;6Ms4oiLBQ07u(p^-#%2jP-%OSNbJakKu@ zL&GL*!lIT}R3Az(x%e1HUHf<8PEMCdXTFZzhqY3VzaRP-+yo&(?jHz==m5)|-jIO( z0r8?FhBbn;jHmk*3DuTC^b0K07x8&V3 zQCB)G;nds*il{{z1x9x#djA3X!4bYBSf7zf$>slEdE0^vjZSNKr*) z<13u-t=s>(VPB} z;OFzmQX9qVp|t7UqY7N+5haVMlsSXdyE%4q05>9d$GaDMLs%G}CHuZ6D6`xk5B_AE zCg*sx|R^l;TvqMpRx?k_!RtC2F1dMmOEF=U~W&k_ff8_Cukt%etjuE()Xm_ z;F<+PB5fa*0eVKb7*5`2_OS;XKe}Y@&V9?RYEwbR{*~acJ@_O%=} zD(NOm_~{E^Qnm9*`6raJ7H=tIW205me>vdrCBm+^GVZM=8V3C6DZ`IG4nLaXFN0`k z8-5foNJhfZnI&J=xUR02})&FPiFh2@3b03@A zkbV+AlL-~zPRnK(SzL~eDm)^U*gC??s_f>a4e7gVS=eT@NFT44YG`jzQ1nbsf0=1v z$t~Kpd{lCI`Z^`ELo!P;Y}BDY$KGm^jnPwd{ZB+JfrwmKSWu&8ET%qq-cD+8XCq^= zpQjBoxt7k#+T45DWjY6B6NdMOQJNjeFyF*GX3PrsC!iU6b72H!) zB96Q?`J=YCX4N>^SliF{REHwkg)vWvZf{CRA?C8w`gJkpRs}O*8(w{8RdoB6$-$<# zf`@9?wos(`m0a9#FkqZG(E4;Gc4%f5p}B;f1zINOrPe1@729UGbvnWcC|UPK-bWBo zRJhZ>1uPdSX=du_%Txs(*t;%M-j)L$yW?oCSaNU%pYJ`loA4R>#|;7%u(W$Fl* z|2-Gw?pq=TRKGjw&lq0c_b<)l!OrG9^4KC`=k5K=Sp9g3T+oRKM|VstMyC4~_f?(m zwvHS8MRp##e?+!vJlaIW=A$p3!Y%k9GIpfZ(e3NQ=ByCkpnJ3z#B1(so=~#0|0LLl zNJXB6lv6qZ=|@LC|D4Z#HEAZ2pCiYt4;1bkV`$Puca%2J#Q3WIb*kh3Rhcp|^0gmyn@3>o6k5wmD+Fp1t$GKj)$v=xpD~fZ_7oY31C1f!8<cvkNQB%yDl3q75PJixA0oB~O zPZwn2*^v5Bk$BtWJ%)|^7eOv&s8ylXfEdnqF=QZ~gV-M74Pz;AC%QF>Y|S+13)3cK z=OxTIIJ>8hS|8jsR>hs&uljs&OuaB;LA6+HJ9|dP0&7`scltYUzVth%M=&e_Fz1t0 zn*|GRd`)UTXB%~Q|4hl2T9fdd}ZVt}Oe9So>ZJV53t7YK)I>uZ3+qOExhh_3A zUp&!#LcV66<49kE4DFav8fZOM(ei`Nm)i2P0b?VR^XmtO9P`qQg!99lv>WigDMV@d z2&yPpNMbNr6$i~;s&&N@B>&ZL76V>NdEGgQ?3{$*Z$ha~VFL77WZyfEPJ&B&^18CvQ3KOSd?BRsZHARO}k#vDf3!`e6d(`a29 zv2S(k-ir~Q7zj`~I!z_8&N)%jFX< z^KpqYlBZh2$=;?A6n}peyOE#w_0E@C8bIGL@mA0Q|4LrOp>}nk@GIHsbu%*Ky&nB~ z>(>dw&SzWSbRRH}+3E-Mb)SB{bq|=YJ6qrEzm6`@qb9VZ$AkTsIzvTmQy6=1B3C#c z)+EB9>V9SNsp?2X4ncfS_H8TTD+Q-}FR&$7oif{Te%uU_;zZ4F;!g{$>h(eTU$oHQ*#R=CdLg`LafS$^uMB{u07hidop_b zp;XhA3WGBvc~NtyYy7NQnaNl`%WqUUCyLr$He3n5$K#A=$L=y%S-WV&n}}**Ick_p zW>_pWhqeXjQrnQvV<#HYAM{(^3EG9M{kJGwM%E2sPW%MPxDm$sX?Tl2PBm?l7ZiBu z-t-;c28YIL$mVXHYuq&bJ!Gk``f0;UJ1vhCngZWjo-0s0QsBEwO@WoBz$1mi8iTwB zb78=8oSAm_vGhkiRL1h)uIXc6;A9N880WY6$#scMI>1j|5k|C9PL;?xZCLOP&lh&n z+WY^vkM9W71JmZM3q1ei-GPJrdzF8O`FDVSg-_faDAT{ox&t@z@0>-m>uY9J|9R7& zZ`d&HlCgDHPjSaIw4U#Es(X&Dd)dcR%N9oV^UT_C}4Jlql3l;{pjn?`y5 z9sZNGvV~fi6v1WzI%F348+;&-(^qJeP(=94K#fswle7(;5 z-1`P0b^Gl1`RwuQ4bRtko!5Dt|9{@+ectDNF0g7_y=aA5etok@NNpAlAm{FS(Frp; z4R(Z80-XTR552yWW^o+m5tw5+?F%Zc>+Cd_!RQ+JtC!ea&aK^nNl`r-x(qi$2-p6wPLbequuE#8mQ zx5M1`X55?DCS^vb*fx2nrSXcv-)I zp)%LQhnEaZ3#)N!$ciO-;qt)5?;z~o;|V%9DsaPn;D7D?uYNqkUJkzgjjxRc``cZ8 z1G1eUSa$xru@8cOhym@vVRSKqdZCm3WL_yjcCB?~>!_ zjsZVqu)}@5FFP?{A-|vc<|j76G7pxzJClW*)-_+iv17g!$-eAldi@@CIvuZBE=gR1 zYTYIM<3Tm>G$|ble2a|V235!K8Hkxk3THx_Ybx(6rFU$E3|u@0{;+DtF`%H?-(TU6 z3mxf3S#t&9Cq(LhO(lb~dM4Dr49@2dY{rcRzF)!Xkfg&~|4y@%3ELlqTT`KtJUm1m za1fGvI&ye-BWxDjmLn{_B@SO9W(k2Ahd-bv)#y3d30p4n&9BCg9ew&@zIjLBBUwD7 zbOa_q=Z)-$H?pJN`uiK%(Qh5}k3TZCS-g=Q^VZ)3A8qR!n3Emvo`WyqIi@kbZ&5r= zg$Wh}9Fv3*7^i1UxW9v9jzY_RJj_=Ui)_BQ_PI|60?(la>pEkol;BHOfK^Cz}MAb6S% zdcT?#hSO8YovaioEEs$E`$(44qaevo%UDPaWk_m1_QOfpEhX6C2i364I!ur@-qot~ zC=Axy1IA0AfG&f7F5-Y2xkhiz;Q+}yE7BqXM}MwlvC>HdOL7Pz1hd9eiVcJH{4tmtSj!=QTh;kG9SU9_kMI@EbbLk4J| za~$*<_JD25PVqIgF_W%2KJxyj12~f$1<;tCsZvw^O@y_hfg<4ZCl8%!{5D44zsk`! z@Z_40a6Ft$6HQFenXwgrM}wDAqP5sNaW~|~y5m_AYfV<_G&Ll0>WDsFj&hR?_V6R{ z{;Or>Q*ht54W!wct|D9amz0g0Z&O1rf?w^sxra1-Vp1&yZSC~oG50>A$hg9Q6RE8oGX3Os=?fJs)IjOQhcgP7VbO-6N3 zV8vvzVg&MBtja2I3yS7c6|llNF5SyujfuxKb$OEPV{qw)NSQQ!iVyFVJx^7PhjOgDKe zh1L=9dz_Ye(y{L4fxt&H&)!;eP3n6nq!;mn15$z4a03JPbFp}9GjXz?7Hr`|RACg? z!5x&SUb);0`{S_t{7I{Ov2YRd!65_Ad*RGtCV<@o=04Op4>cH%8>j`T5qZlCA0W*v zxg9IFR3PSl9!{O8K+5wI!8~ip$1wC5Ueunx6cY<6!jeuP1~X{iuW`Ci80I7m)Yay2 z0$g2M+SmV(dW|;Icp8BQrrp^`kKdzzW<%m=J5M3ClELYF^Pnz$k7MI^3WobzgiFQqQygu0=u{3l_9(~GSDgBfZ}tsPNyP5mD&A$){y;X~pa*^dO{G_U z1-gUmH-TsH4&czK{(Gq}VqnnbLNo{9--y9ru$xAq!~Ev8A^RhBkES_7A07kMEa8bA zJWH5{xdC^V$-d=@{1N|n+`e84+=E~C^7&7XnvqIsC$~*7t2Ma zi%y$o&c{+1<{7>xITwqgb|g=1j%qBxm-i9G=7kY|(T;Sm;f)v31Jx4GuVgZKX^6-1 z(3mMl1N4fzEqyps;{>`qjV-FLr`4e%=zfi^jZT@&6Y2NEcHBopDnx6-tb?s=3N1rqZ0!BNw!HVZlMe&yyc{Jh#xt@Bl>aW5lq;!Y&&s7weAUKuZ% z-xsGZvIGM7SyqVYO+$v}?RoTL(kxEKsB$U>-Ua9qH8lP|(Jd`}RQ|2*W{< zKEBz|UKYpTC)B0QeB@#D8FdBiq7moaienT z;0vSY>A27k_G;kPF!=hd8o}s5fjJG7J=gEGV1E-%cX+yo2EtF%O+0);!xEYK z)ZhU1H6$><8Pxl%5mdM@#_`=?=%R@NWnfSqFGsk+qy_kH)2kJWdyERCSmk^PXLW&= zkiXJU@L5^iCBqw>RVUT!uvt~{syoixC=@NKtB~j57UBI?U4&cw(P;D5%Kk&V1 zK}MQQSJ7kVp!>`v2Xqa2&^SK2iDzNn+N*G%ljA!z%a}u_8Zg42{;rDh^yE`#FsiHJ zyPXm+h3--6p7I+=v|-zTA5=Va%1r+MijSGp$W8ksFu|q~*mJS3CK+Q;4DWYa8egwg z-rK{pu@~!9c((ok12DSO7@CFPMcV24I1dN*2(WW8ix!?)w~IhJ^_;%jqgMp}bQ!q7 z?H8>G90xbyu>jr?-8pVWpp@i2__?MPQ2*JLu&5tykYhZ89L*D*+YWiZnoWI#9tonc zU*%B1g;UCW+36Vk2kYW?pwo?E-CWj9fv(TOHyBT3;6=#f?~38J^%0%`%~2NIuX2-V zd27;9-eOdP`bDv5_oI~!eFJL14Eq{-65W}! zvPDKB19>$ck%E_=kNfLUy~ueYRsfPAr_#m!la2%P`;I-0y9FI=nSsF{uTHUGcPKCl zB6;{9kKG3_^3r@4`xEI*25Vy-&tzG zP4^iO$`+B4yL+iyzl%$KI84h z5|y0$^UO9oDlqUqf-l6Rmiz@S{rFSOGd;Gg`x7498t4@g?SpEd-*my5X?4$bg9)3k zv!gLPR`b{NXZ3V|uF!~Auc~!Fi3l~FH<5-zr`F*HK&@MjY&Zbx6Po;UC+zy8nd9=wE2+THSZTWb!wAq0qIu_k^j$-`v4`PnWshZ|?0{ zeViCO7=M?!&u{MOT78rldyjwofm!%=!hW=C^|3DValaW%KQSbMcfa3!4B-=F2ZO+4 z{0oiPZGOU(;$K+OwK{OZWbrq*a&#w8n5zBF-OP7)nNRx7fv(jDh_Qn?OdHhA-Ce6Y ziLry}=rVWu%?G+xL%Gv$KOhssi9GB#clgJ5AZ=Z%k90+LQX&gF{PrW1m&n6xJ&ZC% ziTceDBJsyK%#)ndlSmk62k~NKJPM(^>oP8b?ym1~0;w2IcHL&=VmR6Ly$(vXxtEfB zn~4}C`FpJ_?D3n=bbZgO@%A%ax0RqCFi)Ov&nPqltaQuvAdlc{_Z75bM?OZJRD&mq zl56mn#ZacQqx{)%RPtcT;x4r0yRu{b+0j&AZvApjGVjql()r(I&*tO-9XfY?U$p< z9D4J85`X>syFXWFbb8g&0K^ViZ}3QDh-Tk%iTsIe4${TYUtdTupdv z9KF%P%PdnbJhn-I11O8yFZCOp5;h;Z;Nyb0g$!e(L{ zk3CHV0SHQ@fP=z!m3Uu{!#zA_466f41p$NSWRnM9edA~kf0E~9#Rs<|{DYrH89q+( z;GDjmq}6_WV!9*#qNHEoU=kT%jEv9NRM3s!Q(*ILIWpmSmj#KCkv-Xp6i;~m*6In5 zJ3`x|6mXr;p9H9NjT@T+*veTkvJ((TbNTZo^v@AC%EO z^y7nnh)6nd@DJf2pGF=0d(@8j69+$zYQiU%9(<&H)Q1!vC!JpyPV9((0RM1JT77Is z{H6HX6L~bOKHd}uGYRG{N(Fr)`c_l15oXd`O}jc+6fkr(;kU9-7+p=fT1ixJ(tr=8 zxf;MHfzK<^N`IiBvu;bLm~hWj)UvE=dV|!d9azwTy%N4<9pmz%#^pu(E*_T`V^{_@ zc3fWExV(5ziVxX{-1;_mv(<;2qsKLlZCn`ExG=nNp`meMMB~E9#)YFA7mlv$FrKPL zSzuRfb&RhIIeg1k9p(GffFoQ{P1SM1YT{b+8*r$~*)`?E&nDJJ;0yeBkt{=AyrDQc zsX98THvV5*?7l6BQSt{rj@%+3aRZya}qd= zSDvwf3gxd|PG72ikA>c|*Zl_1@w9pV({A$83Z<|+$Ey>nL;HxK5&x7H$>~Qpl15qq z#Sezzr?qMCrgk261Wn=S`2c$a0yN2rNWiz4vGkD(c8(Rtm3tPLl&0NAPU`&QkDwWT=fvvhcR39kCOVUdOT>Uf~;FOBrJ?}YE~JEHH|5xs=zJv2njr9tKwJJQD{y@KD#Zpw?^5jzJ*4&hUi+V}zN zIYw1u_b}es?dU=E4lWuwMxb=KXfRAzj0PSgl3F@YcvMP0i^X@ueQWz zDKYH#!ZE3ZDDN@xNj`GgRu5f==d{=w|%+mO-RSEH3SrPuMIB*ffl@b@%l@;aBiUk)%Tq$v} zU0KootQc_7#FY{k(-o26#|u!fMq+KuCU;>a6XGa{6PUL#p9tOvc|zA0n6rVqH3n=V zgvtK#F`1Zru1ZLOBpH&Fu3Mv+wGkT!HU?5kiV=J)cuFco5C@*JA|tRNyz#LzEK^rx z95_EtMTCwCI_X`J@lryul4LGINC?KB0b4v=GT@TKyp8!h@OhA@cikGxtc}=cusM*< z?YcFBSsStObuSnfG`x&sB&h)hi~TODBw6wgG0TN-^xxG%axQ+DkRLp4z$|a;pE2chHsCX#EWN!uA=QD|pP-bO}Wne6K|FZi7e(rXgQg$US(`O_7bGVSVk0$Ihqc;1H;O*F#cr1tP%Gi34s?YF3ll)O> ztf#N;Uu1Z3&a3nTicR6CBb&lAPoF|T@DX{T-vn5Vx8C@a<-^my@p}+}?~*pqq*vw~ z+&Dfgl6c<>r#?pHbGkO-z)L!fmR4V*n^Jr)!VhZ)^=Lzm?~=Wgj*f$WiNKi=3+w)? z&+tM64%+J9aGCF5-obzYM>%ZmptNFg&EA{FV(YZ0e|f+@=F@?dSnj-uqQ$3;rM^Qq zc@gBsEZ^Y;`ds=Z;>huf`(ErkIi`U48<{oVcOr&H} zW3e4=7E=a|Fo9RiW0j6h|_Au>b+Q+n?smSJ7 znMN^0G8Jra4UWnC3IJFfC$Q%ybpg5~en$ zcX%Ew0rhQENnTq*bW=x})Ml+3J8p||}X*^RS(*&l8Op}?WFimHg!E`QD6Vn`~ zc}(+}T9_6wEoQokX$eys({iQ`rqxW{Olz5LX6j{H&$N;0cBU;%cQW0@bT`vhrh7oC z-u7wcs)bYvB}{Eh%b7ZuRx@=ot!28Ish4Rz(?+J-nYJ+9$#fUf-Ar4V?qS--bT89( zru&%gXL^8X2h&cbhnXH>dX(ugrhcZ!nRYWh$uz+94AUN_y-fR<_A?cWxbB%oF^y&# z!!(v@9MgEFMy3f&6PYG6O<|hOG=u3}rY5F2O!JuLGqo@+Vp_~}71I)?Hm2oF9Zaj4 zx|!B8-OSX>w4P}r)9p-KnC@h{i|KBrtxWeYZDYEZX*<(>O!qTAz_f#DC)2}Bk1##T z^cYh=)8kCLnVw`CV0wmW57S$j6Of5`{m=-f##k7Q}jcGYk2h(b%Zl<+NH#7Azt!LWEbUV`) zraPJLV!E4YE7Ltp+nDZU+Rk(z)BQ{jFzsO4$@DPOBTSDnJ;v0}^f=RQrYD&On4V$U z!?YKa`n;`x%CDTMgK0HWH`7|Co0)o<)-!Enx}9kY)16FrG2P9ymFXU)ZA|wvZD+cV z>3*gMn07Gj1U+(uCW8O$mF09ftW`E+c2bgYx-r{Xy~$OvuH0=*OG!yH1|6NQYNyNU zu5eayd@)|pH&LQ(l9!*)NS;2~D_S0G6bAsh_J0@O$NaciP_VB}oehaGoT`oQ9NFxm`|&(PFK#JKzte9`G~nJ4jPWoOe)2 zVN(X%l_R!Lv7dan5A{PjwFrlvo3Yadv*(Z<&+u#~*%9Uq!f&A*Mc}A={vtyp-_k5% z@T42fW`rR$P~7F6MIJ+%2fuV0BcW z50qP7)-t!BnkqAqR5RE=WEcUo=FA^yvCdyqC@4h-_O1(E-2ICe?M|a<5{~GL@g+A$~fq zI=7$1A}yXFix9Ir2I{tu;KceH0>-dNj7gjEm9+d|w8^u$(D1M|mRPIB| zL?7lqQZ9|igDRI@I=)TE@7M9&I=)B8M;C{}i3hLJJ5qb0bjx~^ZL$@9RG(>QUX3}! zn->y3twW2IGJW)oOAPo6@lW1O@T1aaIS>CT{PXR@6vts*+x6<=YtiwoI=)@UcYs%M zj#TE#eWWx|8st1k)=BKGp*$z(_;ekg173xDKJhBufA!cvdD*8cPqM3az8z^+{B9k; zSH~aL@qQg2(D8lXResJV@013m|9__c-xey1T{^x^$M4ti-8#NU$49RUg%b~6Aib`jyHi<;ZPnGKU`WUe7cTmaZtEBwXo9 zQoPIqr9_Cmy8LwO@)K1m%ZzF|PRE;cd^vdkX76V{BOZfJP8qes(6#?-UXgCYIWARF zKHk+VuEHL?womGXrFpAZHMK!RT;0Iu&g{u;2%bB04E~DtZxhE8;TE}7Bu{G)<$(K( z4PtZ7Hc<}0XRd1yd3SFWc@+)f0G@HnpWG(u;pgaoZWHY{Y!fFR-6oDC!7aK;^kr-l z{Xj=@gRoU>6E=k5zHyuAF>e$55RP|xgGijeO(b96AOdi2{}1qc5ziyrL=4QmUuX~+ zGaK;sA7N%f*9^Vv2C)nF-9QP_-oJI5uprJ(#25YJZ6dL>LA1s;2+I_tvk+!NgE#^l zwIi%My&~G$Ad>SML?rA00abE~hSeZOXL;OiXVr`ty)8XfZ<`sX zx6O*z+h!YK)1@>$VX%E>BJ4rz*-H8Rics7@_mepF_u)8kIHu_by*wY_RtVV1?|a;KC- zG+K<}wbE1vEKwrb;&QHY*=uUDtgh5*h{A-H?ld>s#zUbD?rS(VwUFr&o3VMY{RXc- zgcO@YVZu85J6T!*!$TuNdgQ7s@XB~EWk zjfYKNuW3dIB5>SPt$R&sLWoaG4DmCPLwtHlh@VNv)oFfbWrXnJfk+u^LSYrQcwA2MB*XIfy_CwWHE5J7q|1i=iatnAA;>_rezlWDGSFbR+67^#kZ0~5WS!MI$U1w^AZtb&tbryZ)0Q*HEvcO*HOg#fW7;;9>V9m5%*ds)EPHLaVr1fje4BT(n zDLn#AFod)yO*}JNV`jx@%xpT1m;%d)0~2gIH^l2~Gg6FtTWW&dmX-*cmhX(@5I;L5 z#Lr3(@iQ~PQ-n9nWhNqLY3giKke!tiWM}3D+4TG%JHrxW(~5#@YH^TFS;ed&XXy0Rb1L;#ie=`mr@T--$!OQLZH=N+IH}vwthp4-kO%O z6INBuGiL09ZM+y;7#u8jL!fJ@vs+=GAV%>SgQqo-Arnn=-ubhrpjd+hUCfDhz zl>S_F%cvk40wm*_O$Q6^Sblc5vEJSnk{yD{Lgw(k1mS6}FXLaq*y=pO(1I za8Sy!0Aj^%lBqz`WT1Y%Y=)G@-m23}mokf9HdD$XZVSaB8$qmSTNRS2R&eyox`LO@ z>=F^TMkiFhyCGDmmWr`ruPr2#^&2a;>r*pJre@#mAw5-<{*Wv!MJt)ZdcU*D?-a4Q z$}3`m-8K#Ht*h4Ar-(C7y*O2gSKR@zuA6eemxyQ=S9+^5(q^H%1jvMPl zadw@Eu-1v46?MY@GGv`~BJd=h3NO1`WK6hQRFAn^9C*D!?E6)NNLf}V5*F8qlD!Qg z=8ig?5iW2Y$Fuyop2ux?nmm_IBXm@FXPX#Yw5$GZJo|%J@8(HNX`p8(x{e~8xaQAZ zALxaSt!D80z#jN1a^tL4fUfso#$twhuJwUV@^=C2107BO{_6u{L_bPLt`BGrnR0Pp z9G)R*XG?Syhv|U zk)<$g%~EqVzCED8WV=Tg<{V>@y~b@^vS{j5G22*Zp0BfG8xQa0A%chQ%mV3VvDVb^ z1{j?z*IMCV*&si|cnOL^K4`ZPZ5dK~l{i5%lIyhXODvK;uEQ-zpJ=iD>z`d8Z5syH z2jySY!$@nV%AM9Y_4*gO&ad~Y%3Ou*9}+gLdFu7mx~I}VGQ^$URVg>QmK@5L+=~&i z9~k0y{}B7WA@=qmcDe2v3a@R5`<@~8)*<%Yu&cE08sfHdh`nWqefto5;}CoO5WDvr z_RX3*m31-ma{NDncB1YD$94P}9pA6xqc?{16Loxsj?dHa#X7!R$JgrkMjgLP$M4he zojU%Qjz6j6dv$z7Z77|wIzBtND=eXe_GBK%#*Jv9C6|Ni&TC@~YIqph(AA4%voil=;K zPotZt&YmuBquP3TD&0hNt~2Q_s*+1*b`>9OV_UG_h z0st;AU;;V-z9>yp!BkECM^FZ@Q8}14+_-++TQ{0oTE@aQZ&FK39_0Ce1tTfm&cQ;05Y|MqoS80_+5K0lR@#U=Pp+>;>9^eZYR;0MG$+0*8Skz)|2B z;0KNa+&H!L!@z2Rywq&nrrGV9dAnvF`-v=@K=_h5CPV%JqFw8&0v`fZJ z6c@?E4Tgw_$f!~D1`3zKFlNjc$f?tjUAv$b5jkpf)EE)2E@2>9gaNXMa0C$%5rwt* z@JPC%BE=|@!AFD`O?sorZ&Y}cyu_IBG4L@4GGr_awGkE`tP+YcEL^2mMMo+szOZ0? zVZr!V#__Qp#}^iiFHFU^({q>D1MCA11AZU?^a0Tu@cSh|GGGEMKnYL{c!3sRH_#4r z07rq705X6-sw}E4V&ynV83{*OreRD7r)L@P3RL#wPM80IyF~ee_#Kr!2xWZx+$TLPoq<#M`=bXna--rG;l3N0;WlEX~F zj{NkQvu0=9keQX8W6q_v5^UofBgSaWRPBr0gY5-8w=b`z#0FVV2?$^MmLV9~4Mg)y z2D_+$$sK_w-cv^$hf7WzKFp9B`7#12fDm4QbjY2?+eyIH0AEH>6LY};C|;FEa#4OY zEoo2`Ccq157lm(B79CG=y5=55x~V>qamqVtw?(@A&rnJ?y65X%7v(`NBUho@_-e4mMaoAzM#-pXsxO-7TR4EYn51UYVG&< zvU)DgGccK14!al0gGmA-+3}Y`cCT0Dusi0DFgrRrgbgMQG_~XorjhJ0caa@}z3e_9 z4zT-xILht=q8nz%@ea`g^M|kWh^Q6t_i>MihuPY?MVMfEOhKVBa zHo}|$vlS*zYw+%aiRR%w0`p>+-7xV}0^VMjxQx-o_2M$vjWFY3nqcC53a<_3c$l>? zF@}4$!~6owJut_?+z%7=xPM;_{v6@xV*by;=~T`UYL_%cEZH{+v|skG2D9wCTi3haVzT!FHC9zyI@isx5F%e zc^IY_=5d%?VfMki3uer1^$M3{{*O)wvXxeDe(Fx@a8hq)alo!qep<})x4z℞ z7|gd|o`Lx{m=UXF{TgAai_#-68u<+Zw+*CSj)(f&P8e!r9KD`}2Hw7){tT!yY)(K9 zM^o+Ut_TDAW<*p>Oq{WWcyjV;#H%r0lEC5xsO|9$4n*GR24I&KeHti;`tjRn2pG2C zqb|5ysV~y0A7r6&Mq3gQfES<&pgbD^>TM=~E|QV1yu55j9rAcUe(8ox;gX)>!3O=1 zeA}Hu{2lNC%|IjY5%4k44}1d9FMWRsgaZ*kJ#ZJ$0Mr3qAPg`70#Iq9@aa0&d_Qq( zgFyAQd|RxL9%QaL91bH`b~Jv<@F{;(7F15bg3t?%kedK6FsyPh91>W;ER^J~g0#*-nfR)~`^+k|2C_;D9aFmU@ibICS=V@n3arfd^`gBcGKM<)*?!#o4i1oJdZ3(UX3v}tB7OuDGfxsJh8 z9x1=-qIRU*l#DCf1e;e%LVm!7g@wZp%7G?SLYsrWJMi9s*7u^u7{-kW8?$Qa-C}p{ z-5db%ZQv7pvc6UPLrqExMR^zdl8uIVlJSKI=_euiqD0{$Kh!>zm}C^L=FD=^p?a2= zS9*d)#jkYPU0DzyG=rB4gX1x2VsFry)1k(JX%K%2_#%*~sZd5D?%jKahz!CF2vXii{2VBr)XUEDcgs&sovDBueh`m_p{;#K8DGE!GT6yb~; z3y=@w0XcvPm zQMAKOVXJE{WCt`ErE#SA$`RJN;@b`#753&gW&5Le^l55`j*^w)+wLk`Wu+*0*(zLX zO0O%s(U|YF+VU$(T~^m7AOEYk7fRD7!Gx7vL0xsc=)xe7hUlNfPe3 z!&NcZA=hQMYcACr20JaaTj`Llplii&VdvSc)tNTiQu|s8Tpt3tEVVoA_^eqv>2e~I zW5kn*7C*6}_>5~^&dRfLrt_bR9Z&gFr%p9iVvh_;qug3!EVbLKjGSUCzWy~Ro^nn?*nhqM+!^WHhp_Wu2>V$R&P-Y>XAbp#=o=h^!5>Cv^Z#%;p`CZ*||?H_4ZV;_~n+$yJg zQ?>nOQDK{Yv%8w!DvH`#5?xnTT`kzk5jGH%yVhlPB>v7GRikd=H9emptmgvJ;oN|r4P{ZVPu-49Cz^S zw3EgUb+--r{6EF)MrziqS(9zC*zBdAb$J-9gXw$mJn|UO<+0FhEn9C~vcc|J>u_$I zDz>{C>G?P~X9xz&%ov)E40DZzT+N8|&NTfT!HZt)bN=%Z_`C!@FM)ru1k%WV2}U9f zWc|0jO!9mwO20N?$5&98#8=rIb|W4+)*E@MB+6WN99%WnNtBa9jgZZ`dCin57wNAF$ybLbPq-EelP-%7 z509J>J|*$mN!MyBS6>tvIpykUlOR%8^0ktm9BB-n6dy6_BF!Nj<`?7QMoo%Tnv`c*@F+Yq2|y9zxY);wE9Sy337tN|7@>QRH+QE3H+Vj81$e#p*S} zVs}+m)X*MCqs?AbVYi7aJVxhKA=rIgO2v94HYhD6>X{v%GP4fuQg<~meFT^#xk6+9aOPd-BwPQ3QuL(X5Uaz zW*3=mx4p8O9+~m5>_U1sV1ny$A;oT8X;7MLFw;jy*%tyq&g@!2sM58%)_FL6nXYw4 zM+IikZWLQ-#X4cGwU?nJDYf#Qq{wzTYig#;;GkQze%K;LJZ0q-4tk#FPZ;er$e6Vn zHay_tLEpIG`X$J(JU|Xrqn&g|(Rp|fk=0RAW%Sh8MK0AcCCP!5+PU(ptz{T<>}%ad zu0_t=0*AxC4kJm8-M(I6S;98mS>?b~pM2MBszG^$(n=|>5m_$hdNhmbifX$kpoSAH ziKX@$d}5)Cl+$2!U}&>#!jm-$2@MHFQGtg;l&;lAl`rspg3JmxL0Bp)P*2o$WP8<$ z1BHPz&s-j^zhK)g!>9_EYLEMRd_@XFD{|#oD|9q>)h3Wir^khoptgYH0$mlzucyko z0gFoX)GD&LNopQl_B%XSPO+hEG;gJznoZoUt-3a|0FO>OtH{xoU^a1{sL^c_%c|B_ zVFf}<;EhJL=p7vTwL!hcjRLFWn}hzIp%V|iXXu;+_{uf%z9GeQ-w=sa9*5%^S{tnr z9^t?rEJ94495#8%WL)85vLRyf7~Z8ogk*eOJbA*U6QaH_dGhGVaU_^TWIPdh#f+UW zVM5f{$&*J-jvaT=LecE`|Um{W=|IT(hTat%0)X(~>7ia$s*54Wy`qQ>g zoYT;Ua93F9FC05C&gW10H&QA8|N5f!@Ux#C`}Z`9Pk;eH`~bh10E7buAQBi0j0464 z7XcFh3S}b9Nx&t*6~LE(nLq|G^qK=%7O)T~0#*Yypb~HcwZLY;3)BORz;>Vo*abWY zJOVrlJP!O6_!;m5a0EC8yb1gX_zUni;6302;3MEuApD1T{{@T%E&?tF)TMvYKJYMh zQ37;Dp-d)2FAg|D<#Qd2r;Egd4d}IGn=Y;wH;QR_H%=?Kaay66R(bt2+x62niD{Y1 z#%Wo`X*L)uMP`Ap6o>+6mCd?I6nX45WUjE=sw7ubj<0G+lqtNR1xR}8*5A5(r zzQ~7wE~$}@DD+f`h1Mz$*5*a7z0}1_fz?%3E;4baa^SA8Ni6hK*+CqeM5bpQ)|W&f zmSOEY4_Sh>X*nO+AO$)0GRYuR3K0RgC@2*Wg+swm7y`aA`fd>LB(m`ryu1VRG`=<5 zq;ZEM757n;#+i0UYnowspQ=u3d-Uh` zSD1eD!`BBETv_|VuT9HAQp>Us3rxu>5yasBvYIg7SbN%_;;>h>lnCGOKnGRo$Z`@KRof^4|tw_qc*$Z z39PXirz04(87;ls^0@4Cj0IWQh4N0%RbEk5;jX{|uwOyFi%fj=;^N7@d>4kM+l60GqxEq7yx@jphY*5m!@nZ%45pHP}Om37brlnkgDL@cXIr(4_F_8WLtTo=w&c3i~{D zP9p7n09`nIm_pGWL@;e$Q)71{i5pVbS>Vl`IrJP-=tj51dl2p2W46=L_T6CrlMt6|Cudx8 zZwYb1c_yAEYAf7wf~l9|4H@R-MtX`;j)nG0Yjrt2{b1^ij8Qm4FV$z&UEZK6P&(;S z@7!r02wk)ngf7YhU9|s$E^2>tX$hx&X?$TH8DAHHs_%CCF>;Vl*=f&*-cEZw^mf|Q zp|{f>4!vESg{8tfImEqth+V#C2*oeo$%pL6G<}Mroq1UbQG0Pe*GeQ#$0zFe3>}}R zZ@r^oumyT~^o>GI?CvTkl{YlQFlulKH*kk(Ux&72?es+a5i3yg_InxxU zEDzNZsO}}dm;I225Of(ubLgsCx{Marqo_vI-&PQCOg-zw=I7dAB7Z}ezT|Aw-c#fSTo|FrWC;rJ$ zev-kfb}(1tM=H0t3yh~;7tc;DY`P2V84`~gpVfFx@$2`zoq@g@TSwA~*@yLfZ5$a% zCmlL!OdCn37&>Y^8cAm}bktZfl1?jh)HpDbPA7C!A0A0303Fr;M$(CX3hNnK-HfD@ z0v*-vN75;Rj%u?b>C{3;wYQOUc0)(Cm63EhpmVO@6FCW;q5CDwITahSHr;A>IfZ#C z9WTNUSHg_7Vzn89Ed0Y6OR9yLG~orm0wT;Ig=JOP^h6scggKL6D=e>YI^;8t+2Vpa z*2v*OJHIYln0dQJ&_BHi3GFk}UWZJ*YB(|Nf#F?WmDretJyk^oYp~;KsX154vDIfJ zr$pM*M;p4}FQqmmH6`U5xvdDBn1;Beq`^(wb;Z#Qb<&17gl2B+Nx0E&(o8eH(Svztwfi-h&J%i9MSZMGCG zShDyU+V94hE-Q1pGUc8pw%6LKr{7#_%XF>F@~mB}v?w=vEj$6z9xvt08+3xTn~!%G z%B9v3EM#V?IIL{Ryn~m4Q@b#8YNPEb_$02KU+g?oul|e zv1VbDnbMRApMG;*&d{0*=@(-CgF>i*sr=P)@l(stIJjR6Hs4}VDy2>=Lh{Qqd#&4u zXZ?I9-W;v*RBf!NvL(`nx~Q3ky6L>n@b%_)~>A?)BvgXWn*!3E+vHHR51m2f|fc|Sx^%T zc8_zpV_iqzk2UK*P^5zzcIMKhnJZNib%l}Xa$&Em)$EY5o_91h_n=JM-8Qxx=iX$* zhVn{jK_!GNXxy}!mPa(3Tb3EAZx|93$|oB?w^f*^OXZ*f9Bu>YS~m@agLds|5z&}3 z{brlnt!g_lrzm@Q?i!2*%QN#gOUqJo5iG$fg0}LdufpJcPd|>FtqQLw(rOwZl<)KD z48eSl5c@TJ3mL}ovjW#;X~SpdX`}}7S)r@yq)NV!tPZK!V7ZQzhCwY^SNNe`dhNAY z+1a(_w4FpuD-+YoZGcBis}Oi&G0g#+17<#~`TPcB=$gmSx%#f>ajwHeJZHmUq`BXL z^U&!&51ojPk!{ zjaS)K`766xuZ5T3+8govb@3k7@qX|oJal_05?qb^&PncG)~}Jrz|9Dl058CmHgIW2E4{aQm0W z-;vT2ePnLG;n0ltC%isyz-wx+-kyJ8b6xh6HTNue{!U|e%+cgC@yDs zT1#3idmX!1JzREg;bYDR)l@SpU7-9$EM872z+Wy?4;87dTT|bj_{-^kz5LCGO24`ECsp77 z@`KiI-12DU{j0~ma^pv%UYzy8n3r$(d*sh&{&(}jXTGv6_oo}07CgPF!Tglx+P{3^ z_h0e8-hf4t;3Kfe9DxBhVLx0e5?;yWvUV83sfDtjtsVfuOT1BF?OglR$A z!&kLU{CV-zulCoJmjAr?dGolGuf;u3*k6-bSycK!;ggw}(=VQqwWzebsPt>aS&OF5 zc_#5t>`?y;(w;XznK^aNg0#E7H2bpd@ef}$E+upNGl>shHT$yXFaG+NwuxVhyLif> z*tUt?igA!T0jqwl9T=Z}a)R z2}Mj}ExkLTE`e|E#Z({>px0Wo>*p!}-|X`^Nbgo??TXg3>HP}5Kca8Nt_H3J=thtM z+yKzN+$10Yz_}BWk6}8IH`OHlxI~=lx9j3rGw;DHhRBQ24;ZDgWRbO z=v^C?1%+^ENV&-i3FRwzRL@wn3qx=N*f5UaVFp8Zgdq|~_aK-p@F*fCMqWHMHFMOYTdbq6 zx+6Ai>o*>Zx;pFegO@D*WlzG4QrBH$#!j9Rcj*_Veep|)*IX+PnYoe9n3U#?_1yA^_}lN^zfb^{P2;s zAN}}=C-*(|)BoK6^fNCU>iqeOFCBjQm0$h(*l&LOJAc>j-~O*Zoc!aT{v0^ELF3!AVwDpcjSEX%@ip~1QgO48^ zbM=g+J(rZaemQn>Li*d&-lbG?qWNH)bEf&Oe<;zxG>4M>Z$3WXsuw@jwEQ45R~dfgB(oC<0aiHoyV6 zfz3cYupQV5><0D#dx3qx0iY8&0vrQsx6pfoyF?0*0hoY1zycHlB|te)4b%c&pb=;R zb^)zG8_*8y2ReYmz)`>tbOQmP2j~Mt_+2<6>@E=l!~sSi5l8_{Kps#G>;hVWHlQ8Y z4|D*Bfun#Q=mr8n56}k)1HuMkfH=SiBmyZw24Dj601HqIlmO*GHBbw9fkvPO*afr# zZ9qG)ALsxM14jWr&;!fLdw{(_L_YQe0A+kd2gnDCfK`AEZ~$&#Gf)p~2X+Fxfjz)pU>|S* z=md@c$AII&N#G373-kjK1&AMr1>%7OAQ?yp<^nlDK2QX#0&IW-a08oxdf-u%-(i&B zNprI}1M~v>(1-T}9Y81bXF#C*BY-F%8pyvBCdwcdhzAmYWT3MjzZC`?1C9eHfipla z&<{j>vQ0z-u|PlcPXcFvUf?)z1n2~g0SAD6z~|TJCGdF({2P>j(I@r+t1w=~r1<^~ z68w1*|0he}xQU+T<#nWBoal!=J~Jfy9c0O{&(+CJLgvpJC)_z9y>}t2hkd6`_6cOY zuosy_dSe%l6D6=abh0UsZO<4tR>5#2jh&1Mh^fDl`A)eu`a4b#Y zdE6ThV9m#C0I;Uu#T?&D`sZ>tBkV$;44`YMeIw)zz_)=%fTw_;18)MS0mG7UVhS(~ zm;o#T?7$sB9dIx31K>&E5bzq%1)K)LEbt2?0olL`pc42h&;)!3_!00k;FrK3fp-DJ zE#t%`z?Xp8z#?EZPzl@#+ymSXJPJGyyav1tybpve9VaFNR|3}q^MFOb3ZMq?0S^LC z1HS~`2Hpn@h42Sl4onAT0|meuU_G!2Xaep79tEBSUIV&-)4(Ud_#(s$qyjep3xH+7 z8lV#RD)4RK5#TA{=fE4lyTB*Fm}ST}FcqNd^Sb%JTQ}2DN7=w?t*(aJosi!N+z0#! zcoz6Ia0(azE?bUv24n)ufJ)$Q-~r%i;8oxR@F6f^1==z&AHdq3m)gd^I{cN0s}i6~ z&u@jy2Yd&36nGZ+HSkx!P>lW#Tm#GlRsidOuL3^c0pLG?=YiLOw}E$nkAZP3Q6|7O zKqjyRC zSqOV2PzQVico=vJI0XC#cpG>hFsw%V0Hy=;fCAt)pc1$fxCeL`cnUZK{2F*0cn25& zCVd%WGjKhS4J-jx1M7i}Kojsi;8EaN;AP-V;56_dU|55?1}+D#2W|oifYm@Huoc(= z+zGT2etzD0QUor0?z`k0Vjadz{kLN8~Qeo4J-q!fD_mP+yguS zJO&&DUI$J9?*jwCI6KM=NCM^oi-2W-9q<5m1NQ11D*$71G<3Iz=r@`pSRD?+voq~_KA0_UcBS=V&94v?<&1J0lb^@;(ex< zo)Ksp1XlFDTL3qJcZgmWfcJ^sF9RmvQQ#*)5pXYn_sL$o8}*_Gc%uO72mfEilUtON zR$P2tPJX_~%vqjkSui7wEsM-c7n}1n%X0J5LTu(`hwLQ<*+t3$mYn?gn%4Xr<+(7= zoUc@t6`Gf73QO|Mnaheai@+fs>-c9q7uo4$Ds1%IsIZu8Go}3&kKMItxz*vZOOar8 zxsMYX_@TdQtIICG1tl*y+6Ct}+07epgpAHk2cM8tx?; zIBRl{OtL^LBxs^XAR*}9Z$iMb$HMwETpkBJgSL==uzAavlc zCc&o&F1M#zMFyQhw=LLU$&YNUw69QW(}QHRyMVR$u%A$!$P$t{ks3w#xnsFmRob=R z#MJz2HW|fyKAsFo&vZL0WzM98PFW`3Y?Hl#R5%QlQ5#d9q!v|e$X%B+)<*)@hg_H1 zGY7@6tP1h5JC&GnBVL6{JE7%Q^=EfDK5&Qvu-kCj1kQ`1$3$* z3<=iKy1W9tPgg6NT?+9E0L5h~A*sC6L8O!A;Ky8DPP)^E^vEOM-_DY9KRHWUfHT@491;TkzVu=z zb2F`L>fR916dqgOQ@WB?eb{tz`$7yzFU^9eW$5osg&4I4 z$+9ZkSq|>8m<5&MR=so`B@lC>>P_aV@&cTlLHgW!WNUyAoF2hCJWI-Qd1}fRRLOG( z$tO#BPUWT};fCsmTynBQq2w&c3Qe4}Fd!QeS1!)UQ&CZpWL$Yx$6B|u%5K3d3TMm( zDS2I)1l@yO9`m*`hX>=aqXNGiz@vb< z)?I{;lW-~trFXuqBG-Z9qOhrLa`r%JB0zR3$|bIK+_Yh*aPU*nnzoufIcsopb5_ar z53QVR<%PmPp>cyR;#2QrxLlB0965aaVYanev+FXXC4@rJNpWArca&NhbaJh9(d}Io zIMh(aB#VMu3qmQS zmz5*yp*W~6f+H_20Rww0kG6*b=mT*n{b9C`OV}r@ntnrdCNNEJD#}Mbf2nh|m#r_bS9uoDF`T4<(?Mkfx%kx( zZqX3q8MOipyvSKthN-d~lO4_)9$PqHtixlic2m(tLkc?NW^q<~sx^@u;bc^DGMZyw zn~U?H>?&ul7vwD96e$tLz_o+3uZ@Z-9&J7#?^K9bvulacY?`uWli`tW1ZmoMHVnZwP4+e2Oi>aB(Tzx%`Q|%(jKZ8 zY3H*Mw2%uur4*gA@x4~C_5a`dJUj^?3b+uku_9=TOD!d!xCRv5EmW;_YejLvox0Rr zQEZLuF0F(pOK?F{#8+drwHgJKov^P$5CjB-utx~o-{*Vg&XXrdZ2P`%`~H9b-#f`X zbMM?)&YU@O=FE0R!jeY$^qWY^f>&>@nfW*6@1H$^x}M7WRuj&J*Yu2soP^Y8q>s1( zT5qAo8kg=2H#+ujci0T*g?HT;4^eF-+S3nG6|W0tQ}0kGW$Ef5HyyjH1gUVoJQr64bbC*SxXVdXsz{CT)5W*9F86ZBl<{HFqrN-f zU7xG>jQWU&1QG$n0X!luxs!`>GDV#LpWE*eM?s!}`AGqcNek8fj&N1$%mg9rxm;pU z&6mp9i$Z_d^E#5OhDXgRrVnD^f6n!{Iv(!AWD0cm-sM!Y3JZ=Sr^RD@{+~C0>d9I) zSYYkSY%AU`!S=$|J2(i2KZVXk?7;&#tBAe(HSTuB4(?h%xVx-AXG!XFmZX002H`)% zPRFjqR$&|ecE6w%whi_qYzOScSltX=htro?oSo4w^v*E@X_*fthR@e)#w5nPz;t-qq&nyKPx0GhBvmjnJ1cu)A71Vlx9*duD{a zy5qGBVKh{}S}gHr&S{I@BnZh(U$X9YlU82#R;Bd+dAcg-+eybpF}jtLE`xPT_C^MS zaoIDev(;J$<@}AUiE{SF);P6+vX{3bfIo0+fh@^jLE ze=mP`s2}_a$rz7E?_XYve?=|+koP{*X;h1U^IH5{*W%xy7XPlb_;;(tzh^D}y=w6v zREz)cTKvb>;$K>ee_1X5<@@lj0})l!;%|rT_o_#QZ)A<8X8p>)c`g2}Yw_<;i+|Tz z{JYiS-?J9~UiU1%Cx3A{4 z__wabze6qlU2F00R*QenTKs#};y`>wU)uKB&|kect!>98~7SG-vBy>wX3_dz3SzIPj0^S$-UHQ$3* z_Y2lkgBPsfeUyZi*bI7)_n2SFtNq9O1wGWs$7c9=z8~e^=%d&2PT%QG#ZJP5dv7j_ z_6z7Y)24Y=gPGFzz6##kXMAsUdxPLP6XIMNAO5xh=Y=!UyQe|$ZU+44JkTImU;Q~~`(T5h zEaSb-Lk)r#GT<5hNCVC$R|n|uIPhh>zx(?JoQw?m-Pq zH;9k%1n+(SQk_7f^BV?FjjMit;eZ2!i$3$A>3ilJ7%)^xn>urAzBk?L{kXl}JM8s- z$zJb0_IiJa_h-_%Ng_IfzmC{0)Z-r?l)Fn7SPD5WWAl__?zDk|&kvGGM%f`#l8Dg=kaBd}|BD z7ZP4KfSgMjU2ru5Xa#@6&{>)TYp?i;iQ3X}w{qMf)Ap|y*C2G=auOK^;Ee-a^ z9)@kUr!)xP^OmqQuo}i|!;=L+(G^#Y4A5{dhhezeu(%6p2t&$D% zqpEs@Z-~vWwtF6R*$R$*;S6xoCKG+cO8fsq5A{d6VEbQ&!kzaa+MXb;8^|aPoaq(2 zIEmqmXSy;(Ax^_e=kMcJhobZ{8>47-(MhoY>@SZY_A~o zH|&R??ID&4iFpH7`@$ZpmM z%~23lZhlEhs=LcFT??!43a9Xjr}&wK&MRR5V@As6q{yY>QD`S0{2uNsepPUay{(1y zVH95R6kq9Nm_}%*`g=G7%(NkD`uTewlI5YkyQ*%d{&GilXt2qv(l7D#4?$*L=D5E`NQ`46o-}jKKQu#94L~ zENgFjD*D!zIGg$q(!nMl`fq5PdzGnz#`KTao`h?SZG`>Lv`w{-|4y9MLK`boc~&4g3oQYRx$$(Ha?AVGn3pO?rEw>K}d;>39}D z?vp6$jsC~SXnTz+AB~zm^k|fO>Z8#Qb03WkZ}4c;@QFvGfu&LOWI6gHm%DC3ag~Pf zbtLvctY(#EdH}ySjr@bTy@G)MpjR*F@XKRRT3U*$4^X?BuBy-8RE>I#g1qk-^wi}Q zc;9^YfZs`td*|g1)@#9*ygdBPuc`BIzhz)vKK|y{xcAeIo95-U-_m|dW!^yf<>ArT z`Q;7l%@_Hd)EGmW1Zkg_S83r0s9=gr9=)C4&s;DCR7k~DWJR@rJeVJGV?PV(@@VSP z%i{~t<@dDUQ^58HqVrQZl<~m4-UIouxCbe${31r^rGJ04i_o#TL2!mfl-pzmtz4pdz=ggg6wLxWz46SU_RR0-_HP9}6fn zTR?P89&>VwBNcF9dl3yEf}nV0UU7@_ND+EbP|?iEd3i1%AiIDL{|abjQNV%DPXSv3 zjsnKCm8TTtrE<7B=8=HrWhuJ21oZeC=x9|TPHy4CQ9@vepGU?n^i^HQ|J!Es{(seG z|JC)`z5sLYjvY@{>VdYB&sMfh9qJZDTRU}<7o0URy1uY$cC-}^ER)y1!2CMTZ74s8 zx>d)|Nd|X>@isWZoc0Q*Gb0U8#pkkbmh>i8CPN} z8x1XPefd$eMYtkF{n5kI0li;3oRU+xe`lY1g99foe~TUr#uGYqcb@G%zs<`#{f0B0 zAB@I_%UczP8wl8OTwG_wO1+;?FE2{_o#4}JuU^{ayRSS>zu`K?foL-GjU$UY`aFC< zZ4H!yCAn4cmI|*iG znqO(~(b& zma>I#Pd9s(9Neff%7W2z`su-)?>MHx5-Gzzy*&<$S<$3(7Zg-%uiu5%>PV%Isj_I zv&B5+_b-!;;bmSn9+{SnJs&;!m{`h05>4~bkrGGMP(Zf@bTHQtM;)$xK*B0uT(%kk zeR*AqE}-{2*~!LKs_4y7L5eNohk?~&7{qI5+WS1bhq?dO`iw;ZYm3GU+nmXOr9~%7 zF|a-0*jTg8kqlT`P`vhVJ=(Ew)22m>V@AU@)w>{0Z4s^G=dg`*jW9pq3DI95GMpHO3t*D?<`Hq%X9tCvm z)77VkI%aAfJ`b|oe`|eaW^8$9dTg;5!T7)JGseq*x_xS1NY>9W^x}EnrWf-?d|`gb z9DaGU`6A65{UdWm1j6J6num`nTAPbUlJ|=Ho(%GEvkO*N$gNxOWG{2qI5&I4ljcvj zr2MS~$&D)VYP{D?#_u3Tx$&AOIiWSS8#ZK}PR8%2u$PQ^6(v1|1&xWz`(lrpiaTcvAu%vmjuE3sc(>HF6lfM ztQkL?bOr?rNN>pt*uj^OPETMxGFU%x6zQ`DKmG;M%mucxpp1CsZ`_C5G}uacSAIAs zsH|8C-Wrh)xO<@+czK_Ab-`U*YF7c8J$l@;rQ3UFAtekJ*n zFLIUnH zUq<@ti8KDHI@D_&a3{Q7z<1XB?>-WkI)SH&)bD-3(wg$UMBcXslc9^r(8c5)37!+d zXIDTMzv2B_T@cR+zRs~zXoDQsspo%;u zLq~h6_5@3dp{0w0U>-QHBFwyklY@B!pr<~k@_cHr=ugnpr$d5027?Q2D6k5itzp|i7smHz>qT^a-% zrjG%>+~70X*P7Bl1#3SWPP)&4msz+4!6Ku%E6DFs;zDx|vB z?>;n`%ASxVLoN%}jvq>1KcsxB=LC3KAC!^ymt*b=Hb6s@Z>U2aLx=~BfRnA|(}V5M z=PGDr^P*3|LuHWoCrv@3K>x9^r|MVl?cGEHskif{Pon0#{4+kcYuAV11yA+!Ce=sj zB>k(oPi4NQ03~($Ekh4EME|$!pZyfU^*+>$ynpq_4t&GokVEEA`56(iUp~TLSu$o( zs&C%`zk1WZ%e{8gnCjOh{n{mx?M@uove`(?nBuT)cDrQa`H^on8|i#nj_f{w&tvTE z&5<`{_bKR;IyxL#tTc*?TZY~H_j&4`uvu}j^J!LmZr0Iy)%TI%(aC~>cBz{bp1^+!O(D6Wyi1 zrQU$PhYh;Z(#MbB#f!4DcZV&NzP*RbI-X{}FG z2xvC)&5_^sdtK1UgF^35_9uwowZc2*C;BLHOX>3`)6vW?&0&2D$tpmU3x zjS1&pcq9cY9vPm~QSZeYA77X+b5-B$jm2X|7XSS5oN@X2!2ieW?jd-1wO{|!F9pKl z!#j2lo3#v|IWCng)4yHzXJNCE;elCt8&FU%Gj(lo*fM-D`>^a+i<^xs{!{j~&4~8k z!!wNx`y`U2)2vyT|L~PipYXr6B^7m3cDw%F!;#IxOZ#?t1q2kOpj;#LKG|);G0no8 zQhglt4yd<<^k)w!82IuQO5SqKs7rxwc9Os(mq_;QfBeX16gekb5g^DbXApjHyJW$D zqm^;@)QKSI`NR6Q>m!QCr1l8VQx53MvmzW#_)C8^pilPg#be$oK1dW3{-z+ie|C!h zf`UHTtH^gu*rOk@3E#gJ6?DYKsdoJqg=5APZ(Dp5h;4Ch_x$eNyDvO>KtbZED+iNt z@uio6oDMlGax>M$$337sXJ{fc=|rOMhoEju@l9&*?fRWt z-14pP$Ni?JUVg3k&G04>P&2_VdYyNA(lU57q{MG@N%c+i&CU-YxVHV;xthDFV|Pnj z1l+ROr7x$1!-CX-s^oL}9hT_Z|GDC3?}R_kZkM8hNk8ja8ekehYk@%}$SH;)bjm&~ zkx0G$Cdlg6U*T2WtS(0gkVBpsI`o;L&%8W9IO&t@`vFvSQtFS1#DHIkz-FiV46yWz z`qdkuPyMn7iG(R{yMk1zUG_Gppy^?K6N%*1rquReSY4Nvl=t@R!y1Fo8K>_sf(HA8 zQdb>6AoVFgytZ`!3^e%x725Rlg8pBtzU~}Uu!ryvl<2ph;Hi3-H!N|^)+gf@UYM`NUvo{$8SziOFpN6 ze{rDjOB5cKv8T#l_{z8v|Ce;!jiAQ$#?gTuqbw8?halO2IUF=L9S^t&>9n&M-`A;VAmJB9^wDsDx6 zQP<-P5``EUj_=>D{{)3|W@PbaAAe3V6pa5#{Rp4PzA8=(pQAsdN4OS->fom$KN15| z0Oge}7L%qZDc1AP>td`FJO&ID**jjiL;JPspEH7pBVVFz zib4vKPbHJsr&8IXb&Pv`>M8t^$pSE3ug5dDTxDMs9-^|p0>_Z-RX%CcCzai=pIScD zMh^BH|HNL(*nLt7O)4;HK=3FFJY8z;-Mp28|Kh^9#N_`E8ygG#@6Z1j1~g7>j_rU= zk5lXL;>}*;)XCS!;}MNZ7>{VIr*VYFCmNS%e4;UlF{p&-^sY5mC4MKqa}xHtfBFU#$A(dsEcgP82HxuHcr(z;8Vt6D;e)BWjv~} zj^uDR9${=^Fteh4+M?|Honldp0or9-;~o0m=u)_(dzP%-Phpb|VyXC6air|oN(G7e+h&G>FD zV||SmXFYTbbb#%34CCn^F+RK@s902Lqtw zA?G~a8rUwic@WK;Xg+3<}@~!Pqr~`<=S$_aIhC!<_dhLu(tGZiQwv zuQP=%6UBU9#ej6;O9ewe_m3&VHldK(Gga4hu^4GDi_2m0qz;-n0hI|jH%lm_E zD`p1UXj@;tepfJwc3Cm!1LD^WCegO4zTFlqDY_yU_lqF->d#LFOGjK6Orh>41KZjO z!>HF?L1MZyi5ZDH@#FOA>8C{edB)6{iRm-a-_s8>rYEMSmMvR0bN~4>6A2#5%9brl z)zK41LQu=E+jr>DVbWov>Lr@LIJLv14jq2i{`}J_aCtbd-osapcYW+t6Xwr3$32D@ z-F<|6>b|u31*>yg<>ltJ3P7QDP2|d?U{Jx5hC}a23Lm> zFKu!=FSDm8w<0mS+o@qLiRHEmcmCqTFtT)WAN~!Ic|5E6vc>CLg>Bw>moM)&xHpV$ zI7jBRN5Z_^F6W$6@xiL4KRZ4*8dLv-+`OZkuMKmr8T;^@*$;2H|M)PslE-C<{a@}9 zhOc&eH9R)F{-!W|aef_y^_lgq$;}--zm9s#nVZ7gGwa{oDL41Y-{$64%$_-K_sp3K z&m_Y~md=|#WA~~T!`#&im%JE;=jDXqIfju;pX*Mgy9ME7xoUrO?5i(Q3!6m z|IF>T1HobYS2bUBM;M;6YT-S6-~W`bjUn)nda0T9?+A0R`pK$?mp+mkc9>nC?;Vz& z5azM)-ubM@m(H7+I;cZ#*t7?`4R3C6YZzWpzfN-d^yKXa-q8Sb-Fd;hRX@2Zj4r#9Qe55bxG=nCQDS>y|7+WZ z-LGpMg;!jV+D@s$3xD1v%I*4#U19D;7hiG-mzlPS&R%>#F5j0WmURf*Q18d)c0A)u z2&t1UJ^k4^VRY_!Vea`COfNgwN(BLxH*mBPhS6zTb90Y{1{!^vNKq=ts!eX&cKhe# z2|wWQCntrigsYS5Cw4E~6CK^E)v;7k@+Zeft=K+!Y^&Bk%f%(i?8NN)KQNqa-3F*T z>@f_v6ID;UmR2Q}?O)E7sSUX^wJcG#dik2K8?IQpZv8hKHdb(d>M{tZ&iwlI7t~(} zEhG|)>MyRZw_W{)~wky&pOj*&zY5_x4DVw^QQAp`!pgXl{z%w zgjzYjGIh$z*bUV8L|nUX`}h{$=$_s;hpea@jLNY&7)31P7&V~ zfBg)V!Q#u}uhV~fa4_SpCh+HMchH(btsSdswh%cNH?jxM1xkFT(%t4>ry!A>OiJ z1w38y#Nxrfv^mGGKPe2prH`GfdDN#)2};injGr%u->-Pg$Fw^d2fL85HZAx#7zaF`UKj*le=?Xd?lSpr?f4giZ!1=Vn{~vip#S|<@M#z3 zVQ0LByqE|!FP>oYr>j2b8|y#D|^)eolK)-c%i)m-W)o4o4;o4@!x*s^T0 z$(dC;~R( z!A#_noz(aGNv~M{s{VG}XCr`jf9kO#G?gIDlY;roZO`wQkKA<{ZbxLCqpWXNUq6Mu z{o;!+{+HV3mtPvl4yJAVtMmGIre8^!WJ}X6zWO0C>ZsT1C0{#g)Tq>`QAgcBdRWKd z9YexPr^ z{sWF4IH<{yy5Rc3haP_9s7HH+k3HV|rkiiL<<{G7zoX}G@4V|m?!WGK&9z*I-IA{9 zm~$@(dmQ=8OGB>6K9BUSxbmuB|K{p*&->~57hHJiPk-K}>n|?)@x_;%a_Ub{J3YTc zNGD^rYX9W;pSJ#4o4oj@?W6OK`VsJO_jVXIJ%-NcIJ=Ge2f2s+@bKnGv?Flf#%>y}5EQ{xBT z8vWmFSywao=^r9ll|_DLAbGIriab_TxW|7~4gYuL~XRkjBLBK{WNY2E>1;I=83-)oRp zBIE=Y|9a~2hD5};)8Ifx_};kt`Z&ASPa^u*Na_Bg=9}qnT3sW980{gKLHDU>+v@od z6D|I3;IeY=*`1M&eNt-XXYi5UlEPm`$afL4lzo#w0n+gtw&J+@ zhS{=gis8(+zp3C}ZGt&E`!2kAJjdv^cY~i?n3uC~o96$`;t77_@`$-D^S6514GjA8 z3D}ny2l(x#4rG{zij;|M6n-SfJcOd6meXRTZ zCHtNUzj?$z2EWoWOFitaikRoKx>Nbrem25F3bu4u%egugE05rhaq!hUk4MPv@QB_P zCo^t!Ecb-`Z>cfnh^GDaAfr3Azp1y>iaPPVzS@tA7vo>}RCGJc9C zLi1_^;(Zg~tKy$BdxSrGuwV1DSCv1VmV4g(#UyxGB4VyAVvJ>BtJ3h3mf~bsYa7rp z`&P_<{p44z&zb$mv98_5FjKn%6^k3kw>D$XyCTMLt{;GZOuMy#^-<+7-(>k4{-Iwb zz<4UEtoSP0y>q*L+YJs>hTWoXT=rW#H>IL!G5sut7dY6e;`X&C5`9j&p#k-EWc1Cn zH!KCuU&NVtk(H^R}h+YX4ntypC+8Xr|YOA3NUQQ6jsml>eTH zxo6sDNE@$f@mBw(e;UWigO{jc{zvw0cCX`zN8LfQo{uoLC4L?FUDiHjL*^{3?-MVa zc6&8`EFF~tC>9Jj6Zl92KADy;W7$DvQ2E8bS$i@-oD7){lXxEO-mZ#vC*)a1R!;Si9FRNOf!ds?=j|i{KH&{Hyx4w+Gjr2?6 z&+2DPW*5xfl7{36)yIsU2S%*n#PMDIvyUvUt1x1n3OVVJi1{50V|)Spi{H$AphYz0 zmiiHMLau&d9=3VOgvj?ZUe@(6nEXiolT;4)xbQRY$@Xd7sQvr4##~G^F_sy8eD6-a zF|<6U+*CJW?J5nw>W;OlNd2>99Laks=a%v*X&O==rf_OIk_or1S>WPZ``JVM`4MYB zRzIqHqZiQ(R?l9Bw7MXD@pR^>(&<|}pd4FP%p@%7NM_8aH-{4^hfYE!-imA?W9<*X zgwJWWH*|GCe!{`p&qo^Fi^o*Oyz+||uZo!Wp=?tf{lx9>+f84SFKv$gK|H|vI%q+- z*0ba;50B_WG{k;COT*W%r^RJ2_pot@$y;$541TLW%1)XU$TS{)ac{}gGwyC`c$osP z)i`3se>@V0ksRrG5bH7#>vB=W>_5A2f?u@t?GEFs^ZRtPcDR6gQe82(6fGQhR#bN1 z5e_%NVDtbEr`(twZCf>$`0xSJu{!2i?QGg@2gda5%I#^==novNpZc2RFPc^tCK{ae za4Ty+Q{hv>;}=7JW3Z`i6;5W&hfhb$T}JCZFSd72x1z1@Gppgf;-g*`7L92fxOC+8 z7R}R-Z_6v*{GH)2T?cB%Dm%0x8Hn{_i&rHX9@qgin*Y?PPEHmNqRtn9H$E6%JfCOI z&g7WYu?*z%RUN3WsJ2d?Fd2!qBmSy-&uj;ix>E-|>QM)bM=U-(S?!EE*s*>Y?P)*P zUSrs;AL!v^n3asHK)a%dD(7#&w|f5AJ0JKeR0wNOdmx%GcQ! z!@5~`$r@^JW%nH(myK{S{b)=iIe6K~8yu`Ld^O=#t;J=LoJl@g%coV3r9CZJd(@by zj`2DYWXz#H-Qk4wPQ#I0Z(HduC3PMM9g zTa$sFzu4ldZ<7_o)h44Jj2`ybSY+yL)%0MrVD#*G(ZXlXx9HX%$K%4PZ?}WP!;D`~ zL3T3u)qjY#>8q8awR`b!jK;d+JBEMtVaQI*SsQ4(8L!HS?~JGYYCN09c`U2iyXr$Y z^seZW=SukV^t+m*`Ka+A;os^2o=BgIE zgGKPmY+gLx&Ecf+?_`5%JsU@?&BQbmhed|5`69tDImzfpauPh$(?9Tw##k$hzTL3G z^3XU=MtNyoPkrlW;8SjjU7D{kHYLp+>%>Rv!G9=c-0p22 zh(3z_H?9pzz9+2u!pYZ5esuDQ;TXCH{l=#Rqht!@qp>vmVB`h8JAT300_LdpBR(=P zvM%dS&W)cv-&FqUg9JP4PK;Yl0AJ+E``jl_h9<-l9R2Uywh?*x2kCk!EJ-ZVa$6}KCYf2Aq;uVVg(mcO+Xp2RDK zbKy=jvV7DXas7y&I2^NvY5YsL+A!120}1~!j^F(d8CGMER5k8>UC;(qcVB-p#KQZZ z^;1SgpOc=-EnFMV26%%Xyv=%ub}t$e9%O05qRaJDUbiGXY%1%Fdk!`J&Yq=c+sc{7 z4^)?~Ju#04KU3XEu4JE##rO0h8k~9G;n4)-JCi?wHIx2TX5mG$i)2ofSM8rYaL@y7 zIPNod?bu@VuJqLJa}K2%esFKH6YcD4>P<%Q+Z+OEX>MxO`_BUVZ1)Y?arEfsBUsnA zv;^O(_n)#bP6l${S@Vq+7hWEHG4$7wWNov^0diT#wX%OX`nL`*aX-smA{!T}+?uO2 zxQ(a9@QZ$8{2M*OuY?E9Ys>70e+mzRGt(H}V}GTowq!gI8Wvu^eEl8^o+-m+#^2ZT zG}@QuC}cAGPR);LT)`SOUw}nrD#PmdO2b*JU+a%|Ml)^^xGVfh)K)OTfs@$Y_ab`v~C?M8Dy)$lu+N%KSkR5Fv#M`&{?MIaC)8ANoG;Dfk7jT7Q`CM_ga0`OIC6dqsm*2cmPH z9Sy@X#h2oKYZ3CTWCEK{i03Cn$Gd3L3h&})j=wUW`HuXjw7-1wUZXwrx0-WvWpI5< z8gJyO`j9L%>00CrWCHbnY5emnUdvt|=ApJYBz@2CYUUQj@BP?SX-NjqdY0h-XN-8i z}UE@caBlQ<@XhdY0yYtlM~yzrAq zd|b35`N_+>X*|FOB#$cIwy#`##Z?-a*3$oKu7%UOr{GurESxMDbZ%M>^mtHxe);MFB`s3Np z15HEDUG`EBD@27CUv3EG1rn#AE z0fW{NHJ7-9H5&G^+S-cmpEu6=b2R(0*2bfi=2X^_HZ3e=J%>J+d1UKr)qi8z!)j@0 z%+H?NXe(hDz9iFXUWNU@(bD19m<(p)6v>6iV0l1C-mD!j$<&%0TJJ2B$Sn#n*a zSoJtkag>kX-ox6|D$9Q(`&J}x`mumZUoaw{Yu!uq$J&GDS2fPIG?lkKxgU!Y<6zaF zpNhr&yi77l!CUAA)Fa^}{s-^eXDcUsSbqJ``wknwn|{APuH|CVsuR?LcK zu(wR|nCM`}J;?T&I|p{bGFZg1*PPe4nzxrL+W1aCNNU}e(SWyM<$cyC(|(Oh;-9m&IcN;tG}^4J->v;HK!mt6D~AYAvzFUYyDp9p4wmM=byAE(7uzu#>J`+ ztsl!MUBACV`D;+}tLyQ;gC3Ss3AIo1q z+FK($c^+tEWwmXMt9#UsmS{ehx>Z?izL&I=-kQHX9dQO4Jjd%tcQ>`%XI#d9gP=gud!=ass|6JV9|Un5#7F4=Hs-dOzDXJB-8BN{Iyx} z1?^SZMt)kGur)8i$$aD-_L%!Qbj6jNX!ut@01Vp4q;@Bh*{;-oxjvjZ(LXpDC@rt3 z{acKhKa!2K7j+|R>JCoUq$q>JDlIDu>phaatnAc*YgZ+fP)(W1$%EV1us^p4eFb$p zn?A_FkrV& zKwohsd9z1D>x40_%9YIS!rsaFx>HP!+Te86)$rx6Z-P`WXfLhGg!QKi}% z#&_+v@Xrcs^PA9t#-x%JwMR}aXE3cTa}LwweC_j z#I1?5okrW7=QSU-YZ=kL_DXo8J!Bf=tAEirJkxM~l=0+y#*e%|XGz^!f1IAy?X`zX z^XG1izcAvQD#7W);cwb&##vY7fI+tJp0lbZON)1LUe(&DXkPpAIUj3hI)oF&;e0Ie z^$=SFSN|-0Y0oofWDOk6ZL7c4eiqK;+IpwPj-1P7KfwfBiQj@m)R$>qgEQRJ*Znrfz!`4#?cHyEkdwvOKf?KfOrtdj&Wl?Z@2|bFUf!3VWB|^P z8{gCU3VoNXDqhU_ba-Tv`J{!me_67F=$Z5Bwr5uHRX@VN<5QuHZM3eg^D*iZ#K$!z zlAp6VHlCE9S6Re#z-; zL5~1q?SQ?@0pob=Y3N~TW#`q|4;;+z%Q^7Arw8o$=X`rz)6>HKF7ySo zzsU5hFpf1HMjK{I*G~|z*DzoX2HiJ}?aVo20MpZgykq(VXm(U*gbN;kv zx(c9e^!2I;IQ83PQ6Kp~6>cXeb4LHjfEPwU(V9OU1(X)^;T{etm0%Uk} z3S`Z@=n`sTI&nCcW@rDAZ7K6p=r#z!OLx=f#QDsCbC|*GUhVA+K5~N72e4|c z=>ULd*g1dp384d_0{sY)=@MXnB6=gx3vs>ZAv@Uq^|Pr z#&qhg`P;MTc^GW^29UpkaeSZm)Tse`vC%v9S9I|V4;UMuk7yle#CJ zzA5xlF?WGpheLopfv$>`0eg!B<`_(m!jhuP0>;khQwRdaUZk@kSb)BVMStuHt=(ff z9Oxqg#=oZT=#%pTbUS>5js>T~q3qrt1e}`*7!RB7>59334cLIrxw!jd?xfkb{!FHqSkI z?yt5S_M;ECZri?XM==^%c2(WGyUE&hQp_U#D{{k%3UfC7c-dLyCoVrR{PLs~<)@vp z@|3Su9erZE)oZ@KamwOwN%-WF1^XWtK1t9XUxcUPEPZ`Sr*P`D>0QDZW#P@wlA@big>9nkQANnIx2)2q=Z@BO*U`yc$}uU$U;Tlmox zsnm}S`8W(qAKmYh*WUH6xZV;Y@_vck~^rw;l3;4X!|e-#cXGQeLrtm%tG+YTF^(|F*Z@abos4WIkt30+P& z<>*_R);;r2Vfg$#jp{xYKHmHO5d8>UC_uqeebA85WkBadli!7?NC+Qz@aG{~67I@= zwAYQDy8NPJ_5nS@n{K`(L{~!C;f}_KHI>4I@a}uAxbmtHwFqIiGp`A+J*j)c?$?Fa zABFaW`e$As?L1w&cKk(%Zk}IWdfd^!x-7i>B-A8?ZNpQ-yv}DCpl6@c_T2N@p5M96 zA<~%;p4k4RliQwh>S?E*o*%Y5E$qwxTB9b?8nDi_~U+Zd>Eo)A*X4xLw|5+ z?qNBNet75)4*x;(BO117dE`-z>n4&7_D7`xZlnEW8XtHNwSQnDnW&ROvqCbNsGF6- z)JxQPq zv+u`E_C~JsV=l?zvYJaqcZv1u8l!oC?<&0dcJ=d_arG>#F`A`~jH+|II(wnLWO8*D zo;K}dddj795wvgCB>E!%_H!=t8j?FSpGP~#kGQN^Xr4@a7-{R4zhr8i!`j=xPmrk^ z0!PB;Quh9?cgc=kKHdAf&S7Y-P4cc}f6Zmse1gt^BTHKRci2C8sguXucfK)q0IxGw zYbL2=0WXU#h+?sQ! zT4SJJvGgT>%4lr{9&hqG?9lw+^>#*5FPi`4t^v-l|CP0)53R1WHyVC#b3TFxo^R_) zT5Ho-Lvwrd!&Px{-V`{shK2lMV*<5r?Vr_I5E-5K(HK&Dr(}?eY|TdFLFF&k z?Q3Jcl({0uM?xzDvXjNp4>FY1waTY)g7)#tXe=!FJxyt>ZROMcbkcWJYe8+it1~7J z*UZguHVzri!fD+UdDr-t>deoHigzG8R;SN;z4UwdIacZOK#sJz1I?p}e`?-IG^u)5 zTM`_|#x_Q@@6fQ?qKwW&qu0~s(e!ROfEKjhTL!t@#-PHh#_z%pvaZnsvaZeDDV+2$ z*t!DrEdJ})3T&SIsw~?BsBjvCiaxaOPe$i~M01)8SNoEyv7!v}x1C|pGjh0A570od&uyBkG3=YO0G5tCo zO#r^`vrZjahA-@tXPjAC*^Ykg7v{&s@v!VdRQ;>+Gk_ zka?pucE)!$@1$|JXh7#+wIRq4hyG&#HM>wF`Yq ze+L%xkHh$|e*ISRjA&8Tc(ddl;(IyhU*$>;>wxWr_45gK(KYX8_L@%sCa0&EIRVWR zNC&O%?y-A(7*k1Ct?qS^j$hpcqq}N!KacLu(Oo^dQ%85j=sq0Xk)ykKq^nqW^XM)a z-4&zzV5GNJ_s{6=8QtNd`)2I!A9Nw>4jkR%qkDLCKalRQ@ps(lKAoz{ia>YO=x!g~ z1*E%zbl=dD;oVHvvUJ``Z?5hH()~K_zMR%}r;qN!kv?4AAEf(!q>ER&pZ)zq%p=-8 zMbZ&09mTpkNcZVf0i*8FkxpIRv!i=+bkB|M1X@3JtlihMe$Kmg=Z@~u(Oo~f|7XD< z>G?gFw2ma+b9SFk#gbBYXA$@P=#C=YO;j#?aj%f>`dKq^xakGfT|v5gXvy#%K_%{b z?$TMJdx*FL$lagR-t-_Zp&YtHN%sZW{Xg7Cq^3M&%Q=fK+5BCpk zT~iKR!fm1EznOwr4uG zC*n%4v-CpG>UBJ69BTLR=#C!UsUtnzx^G81wKa~`9XU>4_I7k3Pc^;P(s2!sH=WiC z2AsuxHRv}MouR8*`j~ZxkGsF;2GbF(@w&ScXea(vre9e)xur81xrI36!A-(+R_{U2 zw(k0w^TY}0oEAN9Mkit`)61>s{yb4{?74zOV9g*v&zrj43XmThtSKoAb zSIqefb2_KmJl7)8CVH}^Z(H{RZAA~WbbBuyeyzJ_i1{$-ww}dZKAJm`u4v73EEsTx z>ANsf8iPfkgb@T9D@>q~ORdCzf8!e3@l z))R}*Dk=4zB`ARIoGL0z45`1Tq$K3eo3SO8S@mzIndU`VMTx}2Bd*KzZ4&mFNx71V zCrWZM04Nz-a&)Tb=OtsS{c=inrzq;fSq;Nd^2P950-zVCihyf(sG#OA@0@T~AvYV1 zt@g_aJLV?~lP6}~D;Qk@dddkKX5}Y_&JL}pE&=)8P*nHwut^-0l8p<0d?t{tOf7nw ztkM}#n2S#8E$MV^-LtHu>7bj|QK$K-U+*cIl8!HojlDCKpLJ@@_$4Kq zI*)iKvrIW9Io}K`O6Cu}_Wf{NoFt8k*lU)J97XP2FkpZdP$YCQxCx zHLIwwP9m!k_{W7Ex4m)HE=xePHnjccLPbfN^A}fQ7FQ0c+?Yx&3v)tM<@x4Sc}WUF zDJm`}eojfp#IURrb5%n*CGS0~1^@+y@0^!4A~vZ+vOZKvR__(pHNRBhkYutb>nw}E z{(vD9vKms+l<@P;!(Q4${$oq7fBa!x3nf!jn4fjI(jOPT^Y{ewNkV`{SwB+)3TJeN zoQrNSvJ(jk*Gm^$;Tt|cZXAm zf4QpI@v3;yK+b42QsZTXFJv{6Uru;0WJ_AWF9Z&`h^)qjdFYl`M*L#(M;t5w z#)h+DR1T^kMX5X0DsoER8CF!7>U=EO=7dM60YIT)n;|Z`VpF`-gH6FIcpXL6832XE z`9M__uFewU5|79!dE%uJr&|16+Gt!-17nzxP)x*3fGoA;#G7F(sK=C;-Ez zzpmS|qzQ>WQoktw_59Z{%CE36>uh}|^to9tkh0I_{aht zTetzuu*Lcxq7oFQc9EHy^O-}5YiJoJUIVJAs{9eF)$Ve_L%%+XR-IGw>Gell=gji@ zN}xy$L+A>>EH0{J@r$x%Tm0GJj|vb4<&?}l!!>|3^@kH*Lv*v>HobcGrYK}?K{n+rT4HMp~5&u)c>kwA8qa^1;GHe6;RQwU6 zjMt4Tv-lShU-`c-wj^d_Xi$=LS0aH#)-s`}q@*eQcZl*It+#REZNmtVT59>S`1rDqmL4{(KQVi^7y8S z;+Nz>@pz;!_4pHuR78C_)}K?C4rY*WY2}31XC?1c15j^nWG*-pbw(tomGAjDD?fEW zr;?n~u(EgpB%7eKHMX7)Gi(30LDp+n^3@ptFs=kDMH~^@5{0Wa@1inJ&3b+4OFh&( zmhu%B<^L`>#k9PEZd}8eTub!LP>2nper&B@0tqhF<$bNhu_ibt3T- zS*xu9Y&w9Ik|W3-upfk(e+26L-KlSSIg0myN#+grqIg0Pff2dXU*n59< z*&s>+$#;5*6*)D4vEk(-lHlGlEjcyXYt{5d>VwJebA{y$m1`96(KIk^O4DI z%*<}nc5R(qAfz;^nPmBolaCqM~mJ!#XR?oJi7WLK(cH%?B; zNd|u+wQiF|w6aFCUb;p)SpcMXv7y%30ct%Sikr)&L|zxCEMn3yDtrJ3m))9smRq}tb>&Ou%pdhK5gO(8uvRc8z-nS6DWGlw2JI$ywBYb_id`l zGK2(99P?IA&fB@~3{%xB9|8}hlaGxJpe)(KVe+EyPn*KzkVH{(#7i$Xc%@LXtHme! zkV1{@B;ysi%w7DVq8FUE342BPP6R0|N-~a1igJ{_D@6R_e9YSwjS|LwN|JwjBUO8J zpA-gvd(o47j$^tYJ|o`jvjuW7TxS1cziu+{e}Dg9#X!w@;^qzwXM7hMnzR02`~NNu zw5BX88c46b#A_Kf=mI|<*4(|`wGZ6e%=FUF{{)?-<0y}PSP!cYXZh}xer8$HY3x;O1US$?M22%{PrT@>QSzB12m*8hz>hHq#J?VJ7__BN4Xg$r= z*;vEV+OgL1Y|U5ezOwR6%fQ_f<#u*_y78gt)p}+b=km0EE}f6se{MSSevjTfZ(~1w*Zykh<Y@DoOQ3AGPn-S}Zfk;?V)d04=$W` znS3`r=jZivDS-BTC>`S;l;3E8GyB>Pp#4`Kuc}{znK-q&;MebokRGP#cj{a#>-V%L zYpX_MVN}T;EK67LTAe7(zn{M8_tF_RVEAVGTSiYh&$@|oDVCo0O{D91U+Go*8Lg0x z-A@2d$UFyb=Wr>%boyKUsQ#^j>^0-Z;le5pU%|jn`h52{Q(iL~&{;X*)$@ZbOZ`14 zI!{=Q&y0k>^QipOZHBKpi=*_!ho$>ZBqV*bj>cnR%1A}u(?#ILpR3e4V(nuPANBlH z^e>t)UGKn`S@#)9`eLid)#V@)tEYJXigXq_`f__I)JJUK?5u%P<@ko^QHdD-YGhFT zVt7*8#F3Fc5%E6V%c1i!DxdAyiqqLwTEe0G=Ly==vve)!oUHX-;#F9$$9fj$__hDW z(vIoWGOz6?&RgY?K4-zOvFx2_5@!!Z1LB9Ouk<}EyQ}NV%18LxjQ=jU6-?)Ar`^%e z%CGZ|@{~Sbk4q@MwxrD8+8beXZb`ZsjbE$ZQ~5b#sdwpA)mbQ|E&gvAe^06gKG_xfmwBD=laDb1UKiY%Fr#Ky*!JhGllfhyhI@k z7|Uj#KMJezh-ccJSI7&hBeetV`w=||zdP0~wX}_1>}j9L#hwo5mbcQ5+kp0zY5$x0 zRl)mZybsd)pntUd77mc(`qv)ZxtzgLdOF{&y}M~V5|)tP2dE1h)2Q~2s=uEk8Ik%| zUu7~3c-V?ASs6Q594DDh*&g&0TO1FA;&>hV+PA1Z%zm$@*P*VxYSnz-#Z|mC=$5|u zq|-ItBH98E3pf+0erh`U3AC?Q!BwAJjl;8Oz$F$t${nCRd5 zG&qpFxcLir4&Cr>sS?5JLg@pCo@02FmM_0|6;^vmCaDjYHpbvGo#fAJLcb9aN%URLbR zU>p6X%a5)K(w6QZ8KW<`vMO`@jFUE*@<+N|t3EKM$3-$Zd_ZRp^}RZbd$u;2PVMhM zEa!ZtoxPT@GdUA!p=`Bpm30xx1(|7HFU?Q?ls=f*-6oa(y@D%paGR#_|RQ2Yd;yvp6#K? zrkVCdWIp_n`*hw@-*nf8jlYnq=c6Omr6>M~AuWeb?nt4pT=Ve@7FTCo*6<;_`y>C0%Jy!K8D_u_4tXEpSmX+Kw=67wqQu5tQ(GU_0vy{+Zw zydXWv3Kk#N?*rAl`eZ9Jyx))0CearNhUKH3-iAG1*Rz#}{+BVw^t*E$Uz5BZm_F;GbNsxEhw8o@+j}aR zLbM8>R{mde-dKqDvaPkRm|nI2|MRPfM<3+U@n;OsKLy|S^sw3$YCV$CcG8@X?jb(A*jN>USlgqtqE*ER% z2Pfh!3;Y-WzU#{3+Lh`}=PX2nR(^%24s_pv!uk44!+@Xm-D{t__6u)W`nhYb8dnR> zcrUs1ZYUkqi64&}oN?JR=a&Sh;UI>2%1zwqf;{i?rwtkogbURNCimF!}oMWsF^G1AI%)Hf~v%<+ZF zFFbG2eLT`%v)4UeN~31^DGz=1RPL{pp2>6@pprbTu84JdtF8L@Y7aW!qPkR>tZqd& zde>cB%-iWq%$H;CbvUBkD@;|)r=^okIsiG(4Ibcu($^yT!cANG8_m@$zv@BqVEUfG zxV{9t=+*8bQ@y=%leJZ~aU1ui@#k>h@u_y8JB7BdnnNs-5RGP(fAt3g(!9#zhzF4W zO0VxRlV=i9<&^9rJ(Ej0cOsm)zDhhwIAUB!+fkj{89UMvPZ2*<|1H-)o1SaYNJjlT zT4C&RO~~1vPaS@J9Vm72EnqO6X6S{~+?37B)mDD!Y2t4}nVhw;=%5$kHarzCoiMnxSi>K9^usH{o=N^ zH~ovJs6IEK7skqO^$)D7S3GnduIByI^dIM~c{9sT_@>XWHlTcgVd>DTP5+4917&0> zxEx>M$@2L}esmI%z46f`%Rk0JT#wT8CtWXFm(Q@Y#ebBymEU;LZqqwbhF&t!N*Z^< zpRDTA>XZ5hN9#TtZXntCi*!7%@^f&)zr&s5?V3-}y?8!9^@Da-2&qU78eN zzO{iEHp7{o1><)tyhJ>VzD#^gR^uqukLeGJ%Wkv)9f}5)6kg`wwlWL54hM=WBYi4r zUtS*Yc&K(M59#aNvW)U}bqRdDZ)Xg&Hx24smGv3S&sf=FeET-D5*bMRPxnzu?~Ii> zgHNS#us2TZ-SG*dY4TshxJ7+Qn*P9n$!>-V&0*9r-9T0kG40xOJXd18micqHUK7`O zx-Nwm`V;Wz&;AN;F23Ur=U%4R&L<~>L%KWAU8Ulopw z{$tsS*L|8yy!d&}WyLqd@}%p3JTEjHNX`KEh0mU6^bO!cSwH+X2j+@oRVph;Y1u2LFmk1;(|%y#-e72ea1=YzVxLiDu= zU9~cj(T)B|ORjKQeaBSwxI*QZeoDcpJdI|&e6M>b83RnF58uMvlGT6QFIgQECtcU+ z=L|pP9piG%htI_A-{qYycU%{uUy}#WFK93TZ}t2ceUj3$`<>lcOB=_4$8`Bs|FnP2 z4?1~L@=VOD)vke0>!XsnZ2c_8z2xAHbN|9uc!nQ0r|bV|qglxo(uHYZ;`T@>?cY50 z1ANYiHma@XC3d%^jlZgC&-3nT9tI8wqx;uoe7zeD#4vp^;#zCxHjYs{27k^HS7QLy zSACl^nOd;Kb*8(7j0eXuu+b6am)>d_Co_rm9R08P+n{IyG$lT%IUX0r^`|PY{biIj zv)oSp7B6vpNIKbtYlAhDZwY?20r`oCQGWGF(jBe#Xmx09z@?)xm-w6;$NRF&b-0^( z-{H}>=;;D1i(f^1t!@0E@eUM-gKio9$L;G$r6QxSiEV@?3Z&WAJg(0JFO54c+ za$UXaSN*&<&KJM|*PF@`(}Zw<>?}D&cd5&Wetdh-yXMEHa`xC{sf;v) zLzP83ly|UBq`GnVbG$@gEa$!$--jCQ31`%azh_r;LbU4g$M@igH%pJFjHj)1-BU-> ziKjUh-M^l;JKXcm*nbDQ(yW}~eJZCfvv*^>Xsn>}>rSLS>2-XS#kFPWGnd|V8_Uz? z9qq^Ik+#mKZ@2q;fmQ8ZwBy?|`cA|nO@@f`)fn5;zrtG?WBRvy012-)vy^#fs|Vwe z4i-G3oyY~!UBcO1lM^&wxVJ51&8qC)T-WEQ>~UFrTX21mWINZM(aQy`z;n@CE#upz z)erBISw)wVz?Cn*@6SZ5Tb6PsHQ~fR#5eujtC9^hr(jtB6khqLOzHU@ z=-th8fdOE0^shE4vw(Rt!JC%Nh1jZ?JeA#CTBs_;$}3YIIrLY&t}I{~-9Jzev1B@|NgwI`dDJ=u2@l zK;5W)V%C5DlB55O@(bU>zl{Ny>ydGNRXm<25v>pFjuX368`}B&%Ftj}*edSj6b@Wp zL;d0>9rD7FrOjNtt*_&+`nRxgyIMY~r_CkUJip4VvI?HqT73BdpX6_ir@Rpb*}etx z{$~1{aemN0<)6lUmg&D2T$J7OanZNRsl6ZRJS=@z|4WB=w{ldEfn0gC4liAs#;brs zYmw6DLh6p@efe=k3)0Oh-X-|8-n@O)0t4HRPkdjv0(~i;UrApS-;ZHDAhZ4RY`k54 z8|g{MxbD2P{z-Yp{m!gqt>VjP$ZKwRlKlZ@^8s_53=wc+R1 zgj2z`1N}16JF{`ldvt}h!YCny{b!8!qiRlJ9df+YD>P|t%uA)uS2B$BVQpD9 zIS%7w4$1Kf`xQE+T>rlp{Y#!D?kwFKET^V^;+xXhX8TM@!Qe4im7Gd4(M$4f!>vL`F)>bG7UPGwa-*WI0I#_oaWeJ72W(LIE`+x8Ky#JeqGv_?#bXQkbS65eW zRf>z6t6cqvU+UN+y|JRjvH=S-{>h?J19| z$yYrByGrmh@og(V=bP8T7_)epVtSkUWAlZKy*BWJ|G68&0Im){pBZs-x`KR#7;RO5 zGiCFBr}kFl`ZnMtFY3ke@_iq&l>P&qob^N6df@+@Zmqha{2%;ngdvcx|El;|K5@MV zJ#qK0?OKzT9XQkZ;<`7zLTyTN6}`>uK2q9PPa+eef#tT3Ka@dyMPQGb!B^WthBIGH zu=!f(6zH0u1G+h3>*Cj>vx1kOL!}KQ%Wr)^+*a|wWpYYuJ0?ru1KL@9(bl<;c?4*? za-`QisBe=eai3z(9p1hXnHy88e%%g<)v;fEM= z=xw<>&($x<3ag8~@O*%uqzU?UfHxKRy3muuyBhG5`4M0F0nf@VoP9a`-Uj+y_S<1& zm@`)k?mOAjhcx1OOB2^az%AU9IC@&BeW^^QBiP;V&0ucLUuxs_yqC5PJrndz!nXBW zrDH#a$qB7HnU0u)8{jAJK5i~wU_*mAAA_%FU^D(T8jH@{t<2;Vr>Wj9bLA(!;sk#6 zbOmPBvQ&>B;D+~hCojV%f6u`MUby0T_IodB0t`zV;&P9#04Mwo@jX3bn#Fh|;~Knb z_yHrl5A+Q623($V@JSoT4{+_yyEtCBC)4KOC154vRe@g}zXT2;&rt60JHQJ0B=5<% z_PiJP`^*1d->dP!{|{(b(;~pX-u!Q(K>J!w7ILvAOgJZ!`xOhd-6igFSFEdx>+1&C zQxDhQ^>#AyY9ZCe-(}m?UF?2RtFrR`_{HG@*~8uG1}YWzZu;B9bvG!t>giV3PhYwT z@@=}h3Fbg|m-~)#?4i5{>D%${Sj9eGPrWTw7uQF5_fYKaidpQs>bbY#-lXS2iUD-q z@(q0Z31Tn3?XTZG^{c<~Jkqt-Q#W@@9J`x-^;Nw7y7y7MTMZI8_Y#cT6$@C!iql2k zdI+Nqic4&uf(_-kJ%>kics(udt%}=S@yNH>a)TC)^n8-8fKjpgnd0=dJU#Xv??HOk z-5v2YvB8zvAr&-DmZ^?vuZPjNzhVv4xBl)p*G|uUEk0%KW~GIal-E3LhV4EW};Si*D&$5Q!Y)hyFd{72>Sj)E#-KVXw=uG zl{1v6p0P$r`z=9I8h9Y!G>R#=?m1n%N6KX zC)EW!Njs@!ar-D;UBNz1Z{allLi0ZE0C%lo!NvY9ka=Irov&7NxpGTOqCipjV1UY< zF@6}RRQ>f#4CDwo!7=c|{zCP#PzU`4yGIS|tClU3D0RME2Un|s?!t=};%hocpgJbu z2I@;s)i>NRK&IQ_Y+7+&D*<(n9IP5Elc?r3f$Ft>aX-(B0`(c5)V+i zzRHbyApStbK|&M=U+&<+7fRymV1IOLTCJqCrtZSmw*hc(uRP&tzVtC(z97!OMYXh5 zWzSWLkK76EhSC&^3;SA|r9C5!kiER?80UL*HJFqV3GXS7bWXy&L%)$D9vbqyyW;mz zo4;Jt_dP)$VE{dgMP1&|mf&&vmVRo3v^_5ssbeoW8>{8Rzu!DXVWPM5IMGw?JBLH6 z#SgN?_k2jC-o`(LD#;*~;3U;5GJxLH_o1O*@pKJekFeHGnTSpKkfF3hq&y|3T=a)s z^rnyg`ub_3o&f3~lR6nqJ^u9S`QF9LOwZ}QeS1##wF9+!SPu#uz6Y$pnbv))bO7G( zEw5J9YM%N-Lm*wg*FRa$$g@0WhSuQAMH+g+K}yXt8bO~}kLYGpKodAYt-gBi%I{=Z z^I8MAQ$Kywz9=a<`FC&#yyf%Rn~cr3#2|_H*CL(d|0RN$lo-|PoZ6$0>Fy4-+RFb1 zqu$~?u+38qDi&FrpoGPi)@vu!-E*)(=gfe~@ZW&i653_;tMpJPx1c#}D~23)~KTf^Y_~?B?**hKqNe|VfDnL9|- zgO_Q;UOHAEEnFem_;JJ?>Q#~Y#jz&)1Mvo$NzllG#2zSle6eCOLJ7RcyZq>;@i!V@ zcvXz6Q9ABdSgT2EWJB-9?_PS7mJCAH5TANrH1B6fkd4_mwU?9y zF^mDR?Qzi%@9n0HCXv`EJ^@Usxz zH2S*8_CZJ-Nt zKVAa(y4YWN(472e$8$M#;d?P;QB7UUOCtJbQc>$(7lFILm$pqx_`uH$9VU50Z_XR$ zNYFV+4>#PV_n|Ext9J*gJoMni&41~sRDSHtObZgpL*u>I8v>8l#J9+Dubvmuj?ytI zrxae>^J5X(He1r4TNo1L7_JU6UyB|&%WHP^|hPfM~R9} zvtZUSNoSsshu!th%Q#{lE4p|o0Y>0LZKEFreFORHN1tJ?t|kO@`J@({$IMIbb_ThJ zJIi2omsG5;xf-AzDa?^>fhu0d^Zi6;K}DCO4N&4xd&xSYZ4jHb3y*sa?ytM&>cG+a z<4r$Kg+Be&!Ul;Jehd|K|8Pg*LB~Lyqir@X$&I88^_njkwB9%8)Uw$v>Lw#O0yMY| zehM`VBx=pqsMl_*w*zp8JMMBv)xawM&DXZq^69mbV;Sb#yu=$I?!U^Yk#A>JVNfDQ zEn&v{$QoKeb^Pe5Xvvg?wqLb}ZL;6=VXh?4)kpm&(iCePa*TNIWOs(7K#RSU(`yK! zwmdK9%g($2RPu9AAy%?P@JIEs`?iy(fN$w76q9!DxxVJtb0sl7m3kVj86l$Sc?ngO zjoKe& zAO#On8=|iKEC4+l(w0>bzI!?8F{W)1%WG{_%jCzvVCm;GX!me=vfZH<`C6m>RPWJ_ zlqCAP6pRSJt{jE?NngDd8t*5*Z_mkktJ%`>B??rjUaCel>N-CLMjQ1l8#zuNb;^IK zKl&y;P6PD~jK+KoSEot+YNO|q^qX}zI^}Ch7)Ek**3IaY zhvG50NwD^Z38MmJQ-0J6Z=g@qS3i*Kr+J6AhIU_1E%Q72o|JQbyxTxr6ncu#Pcc8` zB|=T<9afFPiu56(cR&4u$C0dlg#yU{--p<&G3E22MWO+FJrpg2bt76>VNS>KtDJWU zvLBlh$Ipy0f(~%&n8Y7o5*!_nInu*wNFi1rpaw0vn^IGow;8SW&)+qn`Sy>N1?PsD zd}`$w)nCy0_Ft2sEmFduM+U0al%zFRb@JR(6UUmd{j5PRNw!YPFUi|A)J0hmB!jOM^e}&prhz;{MtGS)u6}0Ck6Obl z9QcDbe+Ot)>QYOGPRQ;8-?qIR4DH-gx>+34>+?WhKH((609Kf{LuW*v2++U=%6KYz zYWp0)mld#Xg2B2kaFBKRv{l!SYC<|f`hs7(CkNl(d&!j43qsxJ<@@2%dEor;g}lL^ zeG|U6d@Xv4LPvfV#&dksuct8-sNSZjPp@g85a)8daI4za=i%1m^P1~qRa;^QK@Ivl zGYgcTo}XF`JBN^2^a-Ax`4uO|-XX_gyg?^EO`lOem%>GS) z!QP8JS6AiyJhj6-Mm}bc_tdse1-=0)eQ922LbBwgaZT+i-#S@QqUF#Qz4q8cwT5gw zMq2l=s;d^RnK;Ie4Uq$T>w~^2PM3=|^sHWThV~B*)prl=55wwvi1PX#ln;Hrr_>?U zIM-{SNu9&T+g}fV+_|04cVBzgn7l-TGYJyKnb?cU;lq&VdKm z2cJu7TOIp#X_s4jWb0pYdGY04`VJ_*yhs1uJ$-t^_yAX@Q@4J7Pj263V3)eDPJ~2} z1|6rI!o9W|*TA`_^{%)${$1O-OB*_O;DP>kuZ(j$3tb$)*o`~ZxgR$T@%3Brvh6~A ziLqMFjlbNv#*KaYsafY5$;G_?UF=HgIJfG@&b4Xdzc+8>T+d$Nx7b!L!-n7M#JMyZJv+{#mDOoVJR)soix6mW~v=$;wk^aNJ~FGjBW8t$ebVTmMRlTQlM3 zZsCKcC|+&1b>Zu7$NHtZ7P_rVC%To7-Qc#&eMRve)c0C$>4=VQ*OoPI_wL!n$C{bK zt(IH)M0Yp&2hJ@V+Quy`IYamMx}W2g{{9DUHvq-qjb#s+&%?o$x-q`I>dDp)_!tL6+UUWN9`G|Ip-6*>J zMd@mbcBkn&L*F;5Y?JkVg7SRK$&{^Is&ehxy2Wi34R>u>ul$v-=q|IfZtI$zi>+* zzR1o0*)i@5cu4P->-X&24|ngqaJ%UHhT!gYJKmcuTvKkh@{pM!rQPFnEZI%I%(=y) z`EJEoTymCMJY4)V_#8c-kJbS$GD{?wsu6qBY+w}Gm zg8Q)OGGF%+x7>K{I^}nj(u(JPcC=eO_&hiN=f}A%)1Py*2OjQb-ds=LuW>RHue`pc z@=?zz(P@jDE7)>j;bc-<^;JuGj8r=Dh-e~H>u#s`bAxzACRjy>UGAUtcUh7u;eQkr2$jrvw~RXMF#g|C zzu+N7=l3WJyuSJmZS+kq4m)hgjPEKz!Ba;CXR70uXNC+Je)WstH}|gN#^-;o9NH?C zZgu+DW=+N_j4zK)D`=HYJu>#iCS(1ZW@Ebz*XQx}>&3CX3kH`Ambc)s<-jN}Zx(eM zHu#}IQIqm=|E5WKr)&#;@_lU7B3)9_D$|?z`d425a@0ICI8zpl_wmci$46&;`~0Yh zzUqIIXz?G?CD#|+or7Oq-lTp;?y(c`m%Mpcxqf>1+v^M;(sV?BgRggrUcS7b;H#(^ z@a=cBx@||pM{ktf*397ZvbRLz$xx}v$CeKoQg93LAwZL{FOL1k(C5jG7b zm``8zf)(?qm$JRU2LuR%hFw2L*~Y(LDQMMnM5pp5DH&X_LHUl4dJR=-#UIvO z6?D|)nN~xWN8`trf3~8x2zzv=Zmqj@>$dEy;U%euu76Y+mtS>_$df7=Qg9m;`qRwJ zf`#Q{n??QG-mUn)wxZ}rv2d%QZzzK%(W{n0s}avdFP4{25v7+w`891}D9-}T#@;YQx zKrc3l77lHdIjadZ@n$daoeydvYSO9Hkk>`J@#Vc~@U4b+DsT3u==h;CGfzER{$kV{ z25JKS@ay99(w4z5B9-`s&Y2;pAqA}?G2CfGTlt#n-L{(nhk=_lx$3D5G%U#+OeJ?5 z+B7v}*ze1myc}Ix&?=(}7W`~iHNYH!HVFqxP*^U3(7vFl9L>M2cy`Bd3DERw zs?f&gl??lk`szQTWRK#Dq2S+=l85SC+pxT4!9zQqj>?;Dg3U9{mH)GyTMfMkB7atZ z1d3kRdV;}kTJVlYds}Asj%QVyiZ2m*zTh>hX#3IGwB(M%h9QBXvsQq9L1yT4V_zu$ zbV%l`qoT;-w;HMjR9?Pj?Lk7TN%>ouf*CN-xu=diL}A0Q57a!5+PFf^+T$~L=wW(< z;QW2|sdT}U#Mf^N=ZOo)N6WJ-LHb=4YVgpDh$#UTiS7&1gT>dv2Z=Rye3S8uDiByN zo=qJtzFuvzRl#)6VB(nZ#H3rUw zYU5NLk@n*e#w8k$FxF!n!T5x63F8ySBAwZ_1G>e@J!all&|gRR$iXO+gIjCIh%{dh!U z6C0Q8vhl}kjRzUKFiw$cSkv7Z3p38ysd1>xBATAg7-i?iWHrhFN(3SvJ06 ztigC^+w#d8KQwT&8JqS!Q1^p{M?D)~Fg9fz{g%#@ez9|_o2WbEG{$Waz3SSSh_Mgj7{;lLmtrmCHaO!`kA2W+CGL0!bYuorPj2Rg-FMsqh8-H!nn11QQ9c}!`*m9}HWQ^;kYm7bf z=DKdxs2kn3Ra4!jw;pp_=fCQ9iB7XMkHOe!=cZK}hiTlc@!ckk^%*bD|M>~x16}u> zpz-u^8Xxv_TbEC?aohBsS+`ANC*~lQYs@^ePXn9lU=Cr|miKH7Df3~%?JAoGVcvxK z1RIb2tk}k^Q*Tt6Rc^*@j2W5J*tTZ6jd6EvTB9-Cd>i*Nj-D+3Vywa?8n-hm%TE-*wH^mmkm71KXqGGeDMcG%}*^lwR!Yh z{q$u;&5MePDvNGkeS1;msroT-!|s2y)2mYzqv(x#@;Is=EsHv=wx`OXt*He!o)Z-b zSW)xniyyuoRT|u)dw#6Mdc2@`^@=Ucqn0nfqA#!1yCbUX*^zMWz0s*fojZ2i`r3w7 z-#xjga(vzI6`k6mcvDo=Wx_oR7u@slT_;CHyY#p^RsX5ZQS{7>&qOCiJ$gsc<4bBu zS)W&@OHt8tOKQ|Fo!R>w{%x0fv&UKIWDrlRPEv!rcYvwHE0 z*3k*kW6Kw(ikA(KqCTtY{yd7BUUihpT2vHWa92A0aEGFzj)PORikBS|MSJQTa99)# zEAGJ8qN3(qS1u5M6QhHd-BVn5T@-z9$+8Ec=+jzl^brD|Qh(mgzQUks{oTdO`$f^& z8le0+dfwElcTse6y*^QN zUENygowL(@5AIh_;((wXZf`#HGA=F{a5=mCKQxf zYQQO4x&9Jr&7I3Frw{xOJ)ox?sH<34&{NtZbQ8VI1?JObuHIxX`U>(68OuDX+?bl& zMZUg$wU^7tSDCSQGSw^jTwpSF_D>Jd??NZ@KsGmt9G2@ACpYd+CS6UI&KdMA$(UA+~t`*A(MVK=P0+A z?jP!7<&w@Ve&}pB@j_?ve68gDxb#-#bEZS^Vx~=MeuXb;B);#Q-KS^Iqxos<^*!-zXayyyeax#G| zx*s7r)pfIOZRq5(PyJtk@~-8!z59-niB{7yZ+{K5|o3ms7Nku)4g5 zbiGqeUz&MKfs+|8!C2_z4#0glsm#f^nfkziPVO<>2Y(&mK9pXzxTLM{kv{Uq@2rnS z*W9^biD-73=>4i_eW#PjHr2&f!grFJC;dcj-<(`SSpQ0YyZP-g!neNav90(nC75Tr zC7RooyD{lq=jz^8I?l1yx6{|pP~U#}<(L0oy^62B%5goaw();Eum4W?yHqBwiMbY^ ze@Klx_Srh=XOA5>E;DZ2v3ET;y6qEf#}t&0eRAx%Pd)kcGu=u@Mp4;g#nB_t<2Oao zs7}9qSn~CEzyD4YX_Ov4`jZEL`Kw=-JT&-cLxv6;-r_eS8XcpK=x2BT{GNM{{l$IJ zzuo^p@0)wx(&yH`{cihd{{fc_9CZ7QUAlJb-lLhirV~0{9Q8Wp%B!NQuetUj!MpDI z8-DcTpLDwDJKw+fl5@UuY3CpO@Ur7CzvAq3zH{z*t=mNEWawZzo^(O8n=Zkh7=gx=~l;iuG6PmRd8d9;DY+HShKR z*l$dLQHEgh%bxii-tFTVQ(%@R@jVA8yxZHIbi5Dg!#%{^*YEIN_mB^F@UXkgdg8+6 zYp-C=F3#(pPG229`Eyl&|8>8G17){#F&^rV&Fn8OLU0Ypm2_zw+z^}j);N8Reu9A+ zMNAl0Pa9x_d-xviXpnNF-nGe}?u9VZI33q}O3#JK%$c{=Q~ICh)01{@m*B0B;l2OL1Lmdx)2QoSx;LNJ z@=T-;zhAju=F110NlS3X{3ZEg7EH)r`{xR=~dn1b{w`n1LxCc|R_8qgZn4p!}TJ`HzeoTJzZ8xr?%rtG@+-*o}t|k;` z>!R1ZDbB#hDhDnHcC4LcBnW2tJw1ePY(3bU4VGzI^43S(!kB4N;HV6^QX>Qx0hqB3 z<+Ts`Lpt7BxpZGydS#{bJ8$luw8Bpja_Qo%Eji^NY+pUYn=Os`7dP9q30(3Lpi9U% zCb#`I{DlD~j|sRwBAwJS!0sEFrYY`HEyg7aEMq<`ay*owP-^^R;m>F1H zMiGqP;(R^Mc|OSJ@9UYiOxd|M{B5|U4<`0Qd5xa_PXd3>3(}d*rNGL^uJviH?r~@U z#pC)5w7|SRWmnzd`qE5MtL~9~xcWnW687jjsfn8m!9>2{Wu}_tD&yU0l40KLGHwnD zn6vh{3k2~Jm$ePrsZ5FU9#?1dIScMR(dxu72S=PTD0}Z-!NagNW3aw7Hy73qNPggk znEnFSPz0lYY)V_>DY+4PUv6f0+P7eCA5+`lh|9A1cOP3hS#BvXvrGs)V5XSu&A$KO zrVCTG@Gx#7!P!h!>jC)t3fh}v@^7Zx#>|TOXN6<|X-E$aDv9yujGpyWU&olqeBcH7 zf}A4Ysz`IvGAS>YA*2_5tqtpWliYSJ{&ferhrgh5>2GxZO?!XIz0Xp)Qds!QR_a5% ziwn)&LvYbAHs@{W%j7z-y}2D*VrfX%-dxSm@4)}K$b^4!^GfhI2!9nHw?@3?7ek4~FH_KhgUUV`u!>qm!S%~>(T<>`L;r7J4yubzV zRN1JUAs)-Yh;;|komGC#tH@1NkPRjhF|{rJ@n*aAESm8g zbV#cQ!AGX!Mkl~GStvN$*UeMhn(pL1O}rk8OST=-EeO{BQ~>BXORmVQy(mB2D{Oja ztl>uc4X!EPm8);f`>1R)t=*Zd7My>4`l0ewn^XIwty*8Fwg#&lA>ZZ7eqdvx3og2lMeF|k-nfkDk-nYcZcyuT za@F)_{|)&ey5Sxhxw>R97?TlTqE}(M5ALd$D z^`2}8=Hjkb9o2!xBbGjvrK$s5ILy5H06%6)w&7l${_8c4BY>B+O{HJH(LZdTp=+{vXeOxl(hkmFwij(5Lr&W%+|cwaeOv-r@4u`Y_zYAy2qy2h?+{(}nR! z&{?(K7}r6<4Gj3lIuLb^eiiEM-O)E%d~^-k+uS>kjLYVAHSlFjgdV(lY)_AC%>PyK zrq<%Jpl2%G4!LN^jim!GPzLd{xiOWjgIKs53vEs7okqt{XVw?MKPt1i#UZ}vA6%De z-aK|6o;CdG12oTUxZqlZ@QK>3=|FO|PJZ-lTwt6JiF!cpDjs8znYZTo0a<13AeKeA zuOKjX39fo@LrY(YyvFsm;ZOU8wPR;N%KP+Uj4PXm85_4e30iK z8&_Lhq${=W-Y5Y6gl)LO5x&!NZY;fSFzdF1Dz!Ef^H3aDI*i2yfAl2dAM_;gdEkHH z507cBtnwcpud_TD#}UYjF%~lHZPAl^@-lbQ)@Hr@x4u;Ujq$hgL>A@cnxFgld^2*i zj>$AiY$ONxb0EjefZ3geKI_+}ov_@AP~D-58(DkK0Y4KW!iS zZe8-aI$xYb(U)i|4wvzti+r?Ln}NYz|WyGJoiCr@eubpLc!# z)d!7c%tPn#_wFMXsqe7-H0 z=&|D4xZlE68#;l_C#VdjUx<&tRGTKgPfyytUHUHlk8khE?KpK$f6JVkFN5z}a&%Lk z)CaoIw5}Sv#I6i;^p^}m)@rYh$=X1teceC6WxDtUy3_u-&;eM_0{?#|Ap6mW=|30z zLOQJa00MNPA3^Sfwt~w$%8Kr9awpI~&L5s)PLTC1=6|?<&a>9X_`Pk}-z$;h@QRI- zLi-PQ$sz46vUH!l@6(eO7|F}vzrV=hZ}uJf={d-eS<-V?KiNxq zcZsddqSM)2MG{{<2mYyn2ksbC7suX9XO~WsyJDIJd~$J> zhn0!ElrB7T55Gwh%1t`E;?8k>ckX+PTY&Tb^1b?g zpnv`T@1;Q1b34VB`F(7Vtrn6zy*GZV!vFi=_v-1AZLs>c@H@x*`+`YZP}>eN(bDm5 zU-AFh_c5$|`6YXigYiYa^eLuK#xllmPR7wQ^9Lb~_4&f1dc53s;wE7U2i6gpOZ;4G zG}_B*Yb&9D{$$~wwf=XC$!K8C)LPP~%O={~1M|q%*V2FM(jHcW!I)orZYy_aZN>NX z^zVr{S`TL2hTLZj=kMRoud#zDsi~Ul*9y(LPt{dOP+Rjkzzz zl+3GWKd`x+Hl0{Hjp>8Z$4t+Q^+D|&_Iio>Jim^F4v8KY>woCejDyhQL9G~V>=|MI|(>Q^BBDnKKAGA3_bX(I+NfVyG(i+_9l{&L#IuHfRk0XTxut}r% zxT7Y2+-jq5hOvN$5028$S@(kfwD!RKD&uT}Nq+X^|5%!s1{?nTP~~Ld%Gxi%#tc4M zmA|B6U6?&)mfq-;gsO4sw#_!b44!_ULkpv)!BsifgR^7pOoJQpVSSLfRMyTdp6HG1 z@m-tOYHm%}N8H!geb#MD`>Tub^os8b5J0UO0pZQzW!>7ytZgI(H)#xsM+$7qB_k2Wd=q#p>r@z!U z<)99OthDh%u3QCL%UBuX|5fF7&0#WMXJf2bPBKC6C|TflzZwEE4BCD>Nd=K=^O<~b918Rwp{uz-@Qz&V|lTj z5Q5GcSeY1m;kG^m)Sd{PgBnKHD@2fX3Z5s6XuOqjlMCwu4G>iGE?Uz?46faYGe8(6pT)c3FqCV^O$pW zP60lH7R*(^2kX2wU$v_C0?o$8b~O9%k%9_ZIgWxvh`#AbjV}5=*k?T@xNrqBK0o@Q-5r3 zUi)s4&B^@H4=4-#VR3~odw-_)XrT3@Lv6n;Yc;INhw&x&($^(<860S1Iwx)Gf7Au@ zuGWVMFlAzH{_Shh+6$_E4>4WXOX~Z8!InRN*jodgf(*2=GHsi2b+5XWE13^g-BMPY z?-eZIZTyelR_YA2=p3&f4Qyid0!;Ww_LM6BezDqx*0(}n{sFlR?jg_z*m{K8Qy8yP z9`-EJ`#=cE*H0dsc?A2>_WQAmd3vfWtldzTi?pvT&@z`lI-$t|_8R+k zMLh&O!G-x+C9Jf4t(uQxPZ@CFKlGq4wtZ+9a)G@{pD91qCTz`%@(BNh_kSlhhfZ4b zM590bfN;=xduw+TEZMGn+eWw1oanE-4wTbZX#XUr7SN5@i~5Py)IFYBlTsOo3(i&+ zt@ogNS=m(wzFk!qq^dd-d4DCHSNn5&sjpDoE>Ir?9AM{kw(uOw;W^?bo#QfiVSb)6 zSb6lYc<|YtZ=t<-5Lv?-4Y;!>+vX!hTcf?8yr(@T#GzdQTW8#ST;}7I#?nbwW9^f< zIb`6Rfroqi!gq8r;kQ$LB4wfPru<3V3?O;-w4k}^Z0u%u72m{ zu+*+X8jByNqyN+Scq?D1H|maZC*g$gB=6`ebpBp@YT`@JVJ;h8iMYA3E$fWL4e`UB zw4_VUWs1K-K1ton;O(VsN&HN%23?7^O8ofBJy&}lzUS_ISDl6W%e@zzYSRJ#?LVuY z+by>I+a&Fa#2C?^AVV30!5h#Q`Wc_-oqf}H_FIH!;@bSC_<%7fx*~hzxa$n2wPl^d zG(BJEFzwEMRh`GwGr!xaA3D}#4tk~SkBM88&UPAa>pZW$pS4-)#O-sud}3ntv`mxx;=Zim_PSp{AHCor>f}c!zJI?Yo@cV(gQ|ljrWfl zOSUy#8u_L3s@6u~d7U%XzW8_SOb0X}jn2nPzaD98;PlVXi#^XeBWvg|w{7d&T1(fN zTwCvC?5K0O>i4GDS~R*c{guw;YK`OwwTZf-bwkt5(N}af*W?`Id~^l+HDry>{hA&d z_U8i^x}mE=FVIeFp%#aLWY{R-b>%{a4$Z^I*yz^I~jVF1Bo)BbBkae_A8#7B5>#V(f z5AS%-McYPx>->EzxBlyL3OUnQ7iU+4eSjyDRtu`z2QZr~{`|lC0JCExzSFsEZ<7UE zG3qbOj)2DcW`{+5ZMFea20Op4{>JRKs9*B-T4YB+V{NCs%TD8XUFXUUOLIG~uKmDn z$q=0bA99}4o`0QhuWfc(w7*OC0-EV}#z}{FD;~Mb?5$`VYc`BNo`aX(ov8wHch zHogNCA1la(Xx|BW43hI)~F-nw!=mG8+NdPg?Y=Gj(SF5!n)IWHxbhF3ryV zWBXNmSY+EE5?#8PJtv*dbUKIW7TkA+oxzu$;OzlySY$Q;Bs1)szxD~q2Eta^N2oMg z0@|PGG>4%JekgD|KU^U=zts7D*&7nR+S_V2475(@cFMMZ<|br2p^wh^pXW5Ta@q^w zw5Q!{0Bm17OLf*zHV`(*X2b&VM@sQeFq;zChL8^JbVkN(>Tdjx-^tFyqh@aan?95D zeepx*IPJxj-J!q97S9t-V*}YES}*$65kI`=wu^4lx~09nDcPmc+=c8q946dTvQ@F( zX>YO99D~_WSXp+B)7V+|6r9u8OK{e?rLy<1{0~16uMILA4(cPE#=mCo=?Cy zHWs`Mhq-qg;dE}sX*_JUr?)Qpo70{Qr~T6^;{l@KCl31;AJ2PD@Bc&ZeWxwHzD2H>?UAKLh}Hk*+R9AhO*ru`?|7k zA-V3f7usxb&FXiE@))M{L&T>;oyI&W-}iO@jouA*TC3OlVd9m8WY;6(`u<|GOJ&5x zdupZ9-1h7_puleFRJy@ohaP%pvrg2jSkY!!wx$nec!f4XDzyVd(-1y-|^Yb z&pt1gMV2pj-|yg8dcl(blbY`}FwL7pysb?dj2bXRcdw?%C_let$!Y(_4M8 z@xz;DtcX@dzh1et{b$ecSW*~&{+kLi3C|l=sdhbs?qI{Oe91zUmecIzJXI9ApZ>bAQW z>pY!*(DsLsZ1Y@s)wf$*eNA-jnKF|Qofe%Po!a37L-fLqr**pMwC{Ilc^EbmB8(=S zcJ?{vo^xL7sMWbqo3_Ut-(2xpoY3_66D|I?PdYh@WMaY0C>&nYwD7=h9e%`-M-(5` z@aSg89D7jhRJvY$nN-mI!1{!P4n9P+e{d?Ds+Eytg>*VqJDX9cld4s>?g6R)vV8@0 zPhT6V_G85s_eR;AuO8@k8N0B*J9&jM0R3_Dee#)c5IR=!U3d=txar=~E5n!zJ)DcV zWZ5pUew{H|cpvV>r*Eg9Pp0LWi!qwPmX6AN2WKzXOU9kE@M_aur&qZ+7oqm8HHjh8 ze~0?J<~7hen9ox?*E^-vng#P@>|s<}xBSrw!#pB-P6(1G(y1B>kCe@&g!joix?|8! zlka)v90qf3=)36t%w^bo0%ySEv+$B_w*L`*+<(_M%^gVA*_|~OJ9n9wi$D)$UV$|U zbW_P*mHjuCeHeTA`4!DEONV3qLArteZh!NC)Q3u!Y#FDmI)};{gZdSNkN!zuZALQQ z^mU0tdnZ}1IlPDMnfXuo8qj%-ziI90b*n4(MoZq?oDcX&=G(dwYi*1*nA=l7Z0VU} zqfF{+>^FI+&(S=e-?NDhAI5TwWf(tj59>p+BX2MnBYB-v^w)SHtm`pf&;EMO1gp<8 z9UR^XbL)&#nD4c*zK!es+^_mW!-qdMr>yxO+6H5CXr#W^<1780^H7XCIXgpOts2h+m(S?qq5B zBOS`>n({GDU>`q$u`u`to?@(RDf&JbD>E$*Cg|3X>p^tQ3;|J-wHg`un>@e86g7_Kv8`cVJp8STa?Exen zV^H{meSZXOU%+$Bh10&cGgc%>|F$zMJWCI^@@V{H>81bk+V(y}3!}Bl2|qIbNO=hw z4`{qQ$;P=F4``g-$>bCBrtqA`1r|?Z1H(h(kC=bG3<~W49w9J?Nj)KB_ZFCkWWADf zHlMEf6rNd=B^_%dv;p8)9LZLAkbsJV9wT+0Y?xFfqc`^g!y5Ljc^_|E2?7r;^In&(tA;i(8vm%@;r=B?<%$ybHh&ZPNf6=Z?e~_GG-i^M5E6CpDciLh2 zUgd6Ze1DG2f!4IApc~}%2-XMvJS+3Av8tBQ z|6l(`4{M|AK3!oxL4G0gq1t?caPoGFH7CG40XAsy-D7@yG^WBcOWDzGCo)gO411@nEYM-#qw|!B-6aV6a<@{~3JG;KK)hGv@n8wvh3GgC8IK z@ZcW^A2z|q4gPd?@7n6{RfBIId;#Gr2!BH>pSaO%Eo1W*ySexT!oQC9mvf5w^uZSn z_HgkZgufqb@nZWq_#e_dqWLMpMld#t@g0Oeo!!C_A3E67#m^3YbMSM6PoOO`Cz!vU zEel^UpF8-{!PgJ|fAAlK?;iXS;S&gdK3i8#^gfH^?*|`6_$FEdz2sL2Uq2hCK4Er& z@fC#cp_Nbca=UcjB40Wy@k1mZK;D1S8DP z`-A_ctt)4GA3`N<%)b%#o;SY!nE5E0DVmypquUybKZ-@~`@}1!*m#|}Can*-nYyOJ zYqI+*Ibime)%MKh_Eg=m>x^CK`S+bH7>Aob9(?rRQwKZU_}jszHsfe~EnCuC7yrd%zZkPUjq(=UNVL%1$=+w($?NLw1<4?Y-)WuHMg(=EJaUJzu_j zm^;B%H1ixwho5gYuy^nHSUh^O=u%7YO2oJ4n{Cali~p+mF7d2vSZ|v8wE678kI}YO z(`_yS8`#)J{hth_r78XqN`2r-&+$wDCH}_i#EUpeSw8SlQ1l`HE`H)u)t8pMv~*N< z^ytwVA&<_C9zCio#v!er$)FgedZ(McCvJ8W*p#Ll-1=@kAKsnSpgawPbh`CN@w@Qp z)*q$Ip04ul)*p>j`qQ&#M-ygL5GEB?T(_n;Q*?heR7?ynD`Wt=L#C`WHL~uWii$}8LYPpoD_gf`RhXA$%TlR(#&l1< zZ4~vIr*frJ4^|W=5U7|?(IQiJX~l&6yTXdEGAiml*@n?X<*T5-6+-m#OquZdDk7@A z=XGK9WvM(HO~}71jM}zNm!?n8-T{st08fQc!))u+s0EP~)dS#d&$8OrMvdaAD%qsy z_;$i{eP;Pf$|{$U3UgVetSob-W+n#lj9GseuNqC!;F z+H+Jux^-&kNf(ZJ@=pN)piGF?Wf!JPOGj6VRN$>r`rA93 z)7dK`tG`JT2@@tvcxmFgZ00n8DvrH8X5z*yy!OO`Xrfh~U|RJ~iWZH|d}07)sXG^5 z$(ENSk&2=n*|O4Fsq8M{KPhVa*$c;hX#n80QD?jZDJoiif5k3^6}v|4`XrNC9Ti4Y z<@fE?t}8NPl(O%PG(sjj^%Iekf+V(C} zIx?Lu%U)pVw=@_zCEHLHtrEV|Vf2%Gl>dZ^9{1maTPQ+VY3uB@z@HSoeE$@ClNJM( zWxq=Uisp0>JD2q|wu1$sb)#tfnAS9MFI37#e?kEZqwm1^_LB580KuJ`DJ{#s2ZahN z-W=2Vt7wMOUrQBxS(cWpIK8bQQ1~2;)MQ!dW7z|FR~X$PwiPVl4*^GBrmQAJr^>cG z8R@S=>oJFdz=UXl1eM2XWLc&^t)j5v<n!S+kirp#um>`pWs;rnqm{_P5_=(B~0>>Hx6C@>!gnF~a z(zgXcD#M6bd-I7T&xOKh?HG|zG&`@-BXDnBS>U()FCcxzy`qSk$QBi#QPj8glhkTX z{-`qE7_$)k%d+E)#|xwG&mdD#3$*;j5Ap`X|AQeMCr-@dBhUJ#>fCjbyu)JD!UpIRa7*V{2fXD&+%(gbn9qE z$gHyb`;HkoT@R37e^d~f0*Qf;IZdDR<^vj+{7)SqPE)C0ra#R5#F(jXL1u--T8?}w zVN2XJC@7DQ8j-%@RB^msWI7y4qX1lKYSd4B{^jzE7faw6i?*sBlB*exzT9f8=jrs| zsZpDbr$A9N&{6)AB%1q{XPbGdG@jF#Fu!rHQpHwk@xbWk)Z-Ucyfjx`Wh$ixpdS;W zHLBoJ@+bY6>_)w;sF;61hs_-<+^Ot+`Q?M|E0uCm)Uf;@5X$%laOIfih5ssj5&g57 zej-U>)VM=-6pGBK%qU4qQM>i1QR&v%H^I1~lX_Z((Jiga(}jQOC`2&KnYqx2H}Q^4 zYqCwjtm)S2%$Q9Ll>QE4Rh*q&ul&ohr-~dCqoc%}>AKemR$7;my+*qS zqe9aA@*i$hJ>ib4uNk3|h~?WqsTDaIz=Y`9F=^4>LYfY|P@2TZOb6s}F1;EA$zE$; z0rB*&Qld!e5-;za-qON?mZxp1^`!_hF}g2nQjGNXSpA(rL>jvxuRpuWr2>6VoIvZz zg?hEWpr93BW_Ew2TVchShJPxv`BO`7Ae5poIwJeL7fS*#QSwV_ghbdU=QmR#<@5R9 zK&`N18~l?l9kpqTZ|l}t0rgR)to6qih$^|fQP{3H{}XGG(kh5l82vf>JoHbW-V=Bs z?xc#gYFHBG>X*YirD#;K@xx8+En}lrVKiqm?MIxEDT>nrRy03nj94kVP8jCXM~&1# zr2*xXiDV~GVJHR_o;Ci`Ll%6~!T z`RpQNHKlJ9Et#gyQ|Tqr-<)_%p;z=KMH46c7B_Or+Y=j2oM5^hf8LpRsk+Q*)1#Nr zktP&QnxziU%3qd!C14pvul`wL7=9mFc5nWzJ$016G^*?0j7*Vwa8;cNq;&e3aXuV= z_;am6-dbzvbMafd9X{^x3rCI=fYZnSsj%>+qL)Wg_2i?-qtwaA!3IzUwsbVF)c5B? zX?kR;EIsDQr|LajitcLZm3(9=BRh1wGM~9mUsm>*e{ITMS!=I?l$ND6j!VNiWbX?R z|L||@wJ(}V7{3Fg{@X7>wMYMnIC^hCc=FG2N*^U;q+j;gBKZ_Pv;VQb`3b>)J^gQ_ zK-GETVo!qeYf^$a>wjJUduhO$G8a6MTYHJuGOF-}-i5e!ulAcg;o(Zc%RT=Se3nZ? z9(%b0t|6Y~TMd4)EIAm}%9$%4xNAMf??3TpxAyx?{Ay(eAHyle+2GrrmTw4iUvWcT z;IDP5{o?jDZ~|RI*#m7@PqTG4t>LkD%vzqU`LgcI#rycqGx4jOJFiwx(8ktuLz)2R zpSXjE;iC0B=n6b=$h{9ZhI<0GrAx+TEfJiPbpuS%mi5fJI+w@#IW`~Je{MGOekr?o z;fmk!o&D9=<>8#9?H6EAf1>=@&EsNk$AbG$QT)?t!V7Tsau4}iUczbaAldd3j_jFc z{gm@uobxdp!#x3Kp`HVb5O1O2%#+Mh4Yeg?~@j z!*AuQ9|qen)4l|qSD$-Fv0uNA`M~zNu|}@5ADqiIxEL=}02au2nESdb4@I!^W4g1a zfwl=e^X3FXSzCqw^}I`aunaEvTAcuM|M1Pe7iZjr!$-6KWcC!^ z9^kATbPe)g`>Nmv#h)7_ouy4cuKq~=xi+JZoW%hiav0lxun_iWJ&(uClmNo_ToLpm zoU7y${Lux`G|+ z`8vnX{u_fG^QmRNuRn3#lm~mx;PA=Zmn)~~>>)gWJfyyIeptTB*O!$~@%I(Nckm_} z=WA#6YiQ-?ydy8M=No7#PTv&ON`PO%H&?c=!I`?VbN$E(@YZ>%xw0+5UY-@$OcA`C zEFp#=W7+KW4{<3EGIN2>BcmHoN3;X>{lE{<_w&uG47Tx$J?#}-{L<6h@&}d2WfrKH!Z)#6UuS@vj=yP&R_wL^WDjF%D(LTtAEib=27;J(%(-*M^ybI zqfLhq9d^i;EWyY1#mqsr2mZvDZlNxT8P2M+Z;?IBVXtSfq0U~lyxjL`EeC@egS8iV zxF%cREzx1A&P38r&6a%v_VtpC`jl%NJ;MVe=E-gV@z3#Jc$2W=k3Y2f;S3o3Z*p2R zfG4)S>-St5-E**j%_Tgz$M^s%lt0|{!uG_npADMA|0{LQk6`_E+)hG#%LlqpH+JWl z{eZfJFPGnc{iWFjV4vGG`hZ#Et$b#aTzQ%t0ACf}@XWyku7~}Vd^Z4lb-6!-ZTz1r zzid?qHnxKZ#$VjID0BR*TP`H^k6gQ^J`~K33p%;v0A~;Ro{!_7txe{j?f+q|&S%=$ zYjjoi3Ja$7f#8?8_6O;MzmymplhMe(xDC)IHcuI4$@d_u`A7TH8}=739ddr6@9$58i-H#j>PY!H~ACGh}dhaUKX+4T2O+Os`Mx@q!yTsoiL zOYh^nC*PKejyC?1UcE#%V!_K~AczQ-r>E?LtFPSn=3|zYy}iINSUS54oR#tkVDec! z?{#%A3(>WC@iL9`3m)hWro9bx4uY8+WzVv;bPYfU8%MJv375QKj zX7^{G`nYOCR(8QBuuq=7)6gE-mOQJ$@8tCkeM&5=uwCQr`y}ch=Di(jWb;Ds&=oAb z?qMG&zv+{$%}e%&aoRNX1>msuId8XNPq6iD?N$A+#vHQ;7J9jczNEP9BM!2ly3Ry> z&%5(T8#Cj_i1V$~m)F-s1KOwPwD#Hl;uD>d5v>?2=FYlsj$gl#q4>+Oy{G6D@T%lA z`G2VM#t=T}w${F4er5mvJ3mo6^+6s^IAb9DQp8A}uQ#agHoGiG z+CEy-?>Q?VU3}*U%cw@*PK1kZ3-sBl+Y#PJ5cwmo3C1592L(Iv>;L`VY_B!tv$Dlw z5_=YI#-Fh+4gdK#sw>HsWx=-ubtIVNjUGn$cx}hs^o(IxUICu& zGbxYc6?U%;9()8m{X+(T`y%;*LjE8(v0-5JQX3#ZpWs7|J_{H&W))4DZwPzwOPfA7@VMg8*O9QAIk@a&G&?M6W4bRe*D|! zLsWk7&|cekTtQv={vN$X1v0(U^hV{)_>uXId3SzGXN`g%0$;abti{ldDz_(AW3FIlF5~9uQpe zOY)oT*=N2+=k&d;3Dvw{<#KB@*R z=m3r5i!s1V`HjWSWUdXUk^--;i*0(-R)IxY+5_iXs7uOZbqn9{8(&+Rx8qFAd*ko$ zG*Y`K&hA)FW0MUVfI81DI!Fd$uLb_nJ$Lcmc+S^bt{kcd^g--}5JKMz?(nPmBGdcT zPxrRAN*lLvf1qV3fBc(vFhf3_c5YZ`@WL1%l>dX*hUa9J;eZTK{_BIi$E3_u{*)8l z2RoCibnXP2_`V7m1&uVWQ`>?5cE(PykSWMR`fu*x+3Z}yBLP?L)SKGjv@Vg(_Ppik z8|nb)$SvXE{f*$CR5AvgXJ6&lx2ZplY>o&5gz-!$|K_P#_{wRP zKlPr!kU`jb*|Bzx!AAa&x8ZKG=qs~3GFNuV;FZwcL-|b>T79biMWfAcKVc}@_)Bnt ztO|2*{QrF7V|;w;y~2TrvL#}Z# zj-tJp{h&nokqz*`%F=5*-d1L)>+>Nk0edR6ub>YEI^^#vkKl7|nLysYE`^_d@6;Hm zIuCNL%K8k=&sf=FdWSZ%UOEu+55Fteow0Jq{Yn)Y1X@(NdpTh|t^Aj3+(KWH<3G{B zbT^{~a~QSEHjvdr%)9oi{+qkWTFsyP^%~KEdgO}bAZr59F`WH{Za%%2BcE?xQ;B_9 z`iU8mJETRPa^85?$M5;Fnaru`2Z{3Q?2GlQ(8%~d)~)n&uYr8S73Mitm-meI$*O&s z(EvR|xG($NMOF{SPYD=-hmk2cIe`2OWe>7M^yRFsl{?hG$!ct#Zne5b?|^3326cy? zpKn@WFUF`Yi`NvKkk>Tew8NFvwe%{l6yzqF)cT~{&3v>ecte2uI)mHpbyBt zpy42V^YdrwlYnLZo&8x$8^?%_x$+~=)&7|u^!gi@UKv*;Gs!n8PXdsNBozrEy-@be+h`&N!vewlAe8-L|_FSOwt9q7Xq z=cAd=8K_Y2#se`id@MNhr^f)Ip@dmNjRQ%%v=0JcDjU;rQa<)b~6T2(7ClLeGoVx4?kV} zx_vjDNu|p1tZc0!Z>SS&VG#HRY_A7!_w~+S`uPz2TfK`PSi7>ZS}1Fx{8sw^v z6nv=@=!-&o;5YMQGj;ZuaTn=wbX0oEf}OF?wN6Ccc=~x+0vc=OFDCS%#(VIa>LmEt zg-?_$Uw+vHvGUWe$c`r=@K&zwRY%ywV~z#?>$&T5|NKS#{&U$%vvMN)sB7UKWU$@i z@}mz>etaVB$*tp47T=b!XTCr-GHoocHlHg$@C2Ll={wC|uW+T^!#klp%iakx(sYP8 zf5zB>|A}v9jQQXE04hFhW|iiheS1bmdR+8S`GxcXY?tV4uIUNP7goRKYRziy9Ywyp zlszu1;U3D3Zm)}Xvdg8iNao6JH6gT1s~`PFXQf`IiB_TfftK*<_Eqvpt$4@}59u8$|5nLA;*$?$%FXYH|7}boxw2C04t%ru8E8sBsDM4yxp!!;P&5J- z{Di#VvS+=s-?|%)sw4WYfVb)NtmzVui*M+JqC56a$ur2oa9{J3#)K-r%?*lPd02i- zO+QKS@tIHX@+|mIusmbBlPBZ&kdO5K_{JtMQHXdH&$*Exe4d;Lyupy%RWp9xyN90?hStqp6(;`LB= zU;n-j#Ba2(f3E`@{|NW3%O>W>(C``UMLH$6Ml60TZ;ft>zj|C><9&351@YXHC?K3X z{|6pes(Cc<&FSXQHf~>D&ct=E_x4-)(heE>uonqCMA%|}PyUvDxx8;p zmGVQ0z5t!(-hOy&^F%;gsF`wGv zop-K}40q!0mS0Y2;QJcUTJNwS4~-1A=HhLAUGJ%XiyODAwc~EHwF;Z(r`(h^(Jn1L z?}Q)vH{+=gXj8UtL3w{P`^7jv@xRJHOY>P~{~o-MWpTTNziI#M{RsJ3p79uH)f3&U z9QiSjFAwYR*xEE%B|KP*#GZ?w$Fd?Wzw(0@u+56>0)N(eI_`J0KiL%B0&S&pq2Z;Rqn)WR1^}rUpZ<~y%y&T&- z)t4U|rd7+Y_OEuuyp5$vi~+39lpcHeGjBb#a{gV%RpOJDKv{FTGHqM$ye<8PULJvu z+Q7Dz!56OQ`%oVrzWGPro`pMno0I!`HkqEhE6%KbtbwnL%LRWbPtn2lNvJQGPJGdc zeiVN=fhTP)Tew;MtDTtbl9x6NOzk!X$zxwIxfNwDkOb1X} zY@DHE1D88|8+>hqxix4CZlBA(40dNeS@^2?&fcoIdwghfw`c7k;*Q;5Z0OFAt*7ny z6;|4yn_J&2eBMRosBBy-ORw-Wq8zljSdL-4$NInEFB=+I`;tBQmdZC`m&Ce}aDF>LOZ@wwW{hgy#%Sbw5803z-`6WEW+oWf@5@m8Z4=f!b&ItnWO10I3ch?zt^zwQyYT_IQ3kuhGk4mpZ@Z*p9UOaPYhSz* z;@LOu9w#_dHl}0??bGEG?K$u--%bAOjy-1UCs|vK`JX-qUPW$Vv(5II3WDKdxB|{9 zjKB8CA1i|=OpJrxiDt}KqGJdBjCqi?v0b?!_W)zTlP-p-H*ThrUQ9@r~4GCb@7YW~Q8mwHB#n75@1as=t5%&KGRq9yfXC5NV zMOc1HXK@r4yL0pJZf5O~xzteqUQWRSz71?grv-LA_P2VrH}N0J!9VVlojl_H<$dWP zHcnz4NAyImK_0R9$l_U@Cd_pMNZK0v>t1?8(QW zT`B@P+_WCr2Ozvm&q~OD&jZo{g)3tkg0FvH#w2bbFO#dPOX!R2CqDj8yxtSbf6A}6 zAUo3r!sGYN%WpJ}X}jSsLku=L2zJp4p^hk@@uBWM58Vf z>@Cxt^0=CO)g!R01Wyy+w(@hnc^!-~i;x2ZoiU&z>N13&nmyCDqV>hSZK5htfB z$XAHb*4n9T`)hASu5SZg@}gcWFVzivELlqb;n%UfP7?S(r(3J8DE|k48(|3K>%S^~ zmQP&oK~LPhYrEE@We3i5zPRq`SLs(ISJB(d?jxm*^&~Pe8dz@o_(K`QR|NK`8GN-Z zWH|HH1e>pgPJyloI-r{qwl026IxBejIaJy}vi#Nu#BCM-TPCNpwqvpcKA@e&7j2yj znMZ)OD@S_Wqsllg?pG`?jREk}XK;~c0fxb>3P0o%?%u!4m)ie6*=Wfcgz33mQl>kB zgY6YG8LYO2zM~7iH$7SJ7ycji-ZLzUFH2i zU0qdOQ&R)>ij=trG!C!zzg_?E_yJyjjC~2W{ZBubr+}DTKiP&?_AJZmYl#oy!1o3I zJ3lxkEG(no10Mh4K8Jlp-otG#(){9DfUjZ7rF?%4wt2vN2?|Xvt<7clmgWh@$w#1L z!_Ny_KrG+pNFB-<{xDvF57=G~+fkP7X%soK)D~s^|Ec}PE6S7@!En29tYEm! z<@1m2|ComRp}+#p@V7xIG6lu~+|LpY%7LE)92*!n1o#1s0aIFQ1}FR z?nKcMSK3`}|Ht!U*_D1__`w0s({j6%^#+d-&@KhX0MGE5GG@51r8<9Jmn-9kVFhIt z+gZlzclejfEuUA~S!#DVpK^xtmd3t(UTGJGdHGl>N4fr+IzQE2E(@QRj^%ciub0a& z;&TaSOK|*H7EZ5}T~32Gm-8t&g<*vA;J%j9Kh;?(zuYe6{2$8y*ajuN)aKu|yA03Y zoLAa`;eqeN?feMGQoC@uKOaAqrKJC+{h!A7`~F{PIa%Va0=!3^}2g-IaQ{M~pMbCKB`yko}<&LslbJ*G)^sk0?Mg!mstxrd+@`i&P*BwwAk11& zYWHs0zw3fQ4ejCr5a_Yk!zoG+AD4Rw`I{cN9XvhV6eT2le0;>=rac^O#BIvaD;uITx$2|4IYoR}eb`Bn&;EMr?to z*b&t1;3*pzHWT?B0tuj`U5s9}G-PT(C^X=MI1G$TYXCX?d=mjtQ7_+I3n6F_;OjY5 zwDfcgwA9Sf;3hjY&E|EIw5ms}IkucWCq>5@@$lLHtwLN!U!RngaX(+JMlT#`Fy1|3 z;~~raDEorQfha>;^*0Hga_ei1zMv+bUwm8Z)ib3bdh_w67q{Nk^-e#@d0*c*V{GRg z9G#f^q2cSSyrzl0Pe@EsUTI@LjvYj02GG(_V4|m^m8F2NS#li>fZ+5N4qB;m5u7jt zua8!bOADRsc0V7fX250RAu}ON2LnJaBKxKW0FkiXR^3R>6ZK^Ug#QeI=ywpvEf6y` zrB8MU3B5kF5vL%P8&mvF_BwA2M^1)7gFEWk%&CI3vcfc5d2ggva_?GSuhMB>Lo#HB z3uq=o+y!T%x~@deJS7eUUBkTbYjf+l9o|aptZ}wG5qNZkm?UUK`beNOHI*S~En z-rrF0p?)y9IVa4!)1S`d(6_wlqHCmU3)#b>4N|G))BUx19z0%F_5!i!8lwVzy^5h? zgW>L}z&GWi;EQRmpEV#!ayTh0eo(Z&qF};5&9R*JWqyV?w+-t>sj+H8(l>t-=eDuA z_l$Ic4chu5l0232kFf!@#2}vWT*S4@MW@5|L^0?TtL3H_7}IM#PwewzO&)$w5#W@P z@ou^oe|B)}g?u@#z9YVtlr*y@UQc}Rx|f%kp9Dc+)2U4zbPa`_gk+{r>{@tbx-MvxfFIW*5n!XWxnJ7V;J{raSAmnCG~OKVE;nRdue=t z8%MlIhQ4-^A=Ir1FqF94qe+FS{$d|DJc&;m>o6M{y|^~emWrZ?%0D7?A@%By|uSyPBng+-Z4q-(ZY8{KjTEaMo`U|zE@o5S8R3i zlkJCZ;1mwNbgtx^!}vu?UcVi2?W4xG{fDepx{oA7PvaL_${FMKPo|m?<(&BEE#&A_Hxe4=(D1o z5#L%b^T{y%mR&6Q?@R6{7Wf3l`_$Nz0?ay1$4@_<+O}c3kYi*+y{&n0|N6VTf<~rY zeXvtLq$o19TZcyH%oa1cY-r*D8Itow4UnOdt9H!RAFQuky^^_31v4azPtwgxGPh`I zyJiuLzkSDx49PnwnG>R=7i5uL^+n?MYjzAA9JEBu>+#4drt3UYR<3lhnZ^~4P@@xD zG5fFRt?vsoNZ>iE*y{f@jbZPdz$0$XWa!+?{er5w18nKdoW!CNJv{FQjIJqSZVr;6 z$1Uch$W~I!7~b*C(K{RFa_B7F!{RPus&5jJb6?&vyyCql#}G~hM`!Bp0Ct@-S%0dRDGhIAo26FBi?ep$T7^rCn)84pVTZ(lbTluvBFn@IzoCg^~y#By^ z`VL#{=GksCr@bWar_WD;?EgyPi@FMHh-3pN_ z3XON#_^pll+Vxi5*g}GiR@AN{3#rTOTkCdCKgvF#$t?m>Veh9)x$v7ThvJ8VPM-KOtI2>pQmjB!vY$3nR#|u%&X%O-E1T7U<`u|* zw1;va9@a0Lz$caC6hj_%(9u>dKFHJlmt{6r4QI|KI zJI72ol0V|@=8?)H^JE~owa)R0ck{Z}O&pjg#aWiSlqMzy+Pv|g*Ln3$*7KSvi1h{lC4>2J zyq5?esAJE&vM0y`!Y1Nu;~4$wAfG7m(Ub@M2s3+I^I>56#_XxY3e4wX zEV-IuOj*$Qs<5wS!yc9qevYiO(Z!jwBR-S2=2^frFFVEt5X-5eBoA&^l3+V(+yu`&enAfkG#9Y#|u&UI=+&+Vqub!nVd^EDj>6_%nps1~6NL#cW zfK@#$K=6RCB>1&Wh*mS$+3vhSz~(>1TenwZSMCZICXk_oZ^+rNnxx3%N|9D+6J)6M zl7A6$BB|xMW$1H`kz3Qs@%HFu`R0g)cZIdhc!{q#SED>n^mHISsqG`+QM#p(df|Ci z=yF^bXZck!G*+Az7~-QzhBQB8Mk>OILeZnwN#PGbyLSZe+P;(8os>>4QaY(G$&Nil zuiMfl*F}0htN*m1RC=Is_I^hp4`~w))6F$fA9x{=RGsyBePCGDbKay5v1yF7Z)TqK zfGeqG;zi`No7$-__e}~hGT6a;R{aVQgE&!aIm_Hmbl)oi!bjtR?DU4~80`okjiap^ z(7F6+_|M5~8u8DW-Szl`J3j~Bs$%hZvd~ZTsXJ~L>8--%<~ECzFMMCyi!-+SGW$nI zk1MOd_)Grg>KCJ5Waq@+Zm!@D8432h5I^f5sCJX^ej;xgWng1ia z_ozpf?k(;?sh0N9RFrxmlzXfz6(HnUXt6~WN*=tY^`(^LS-Iw?v^qt(Uir=_VzCwz zB^T_{;WDC&@Gwz!?eGmspVTvyGFp&?ogKL24E}>XHuxcyavt8fyOJYC$p>F4TFH^6 z;-2iS~pOOczS**Q0(V8e=xC9ncTaW=6`ndrcDWx#eVqrK3zN778 zF9=Tm)8nW1a(6aVHwE80TShL7{GW65JnT_F<dm38X|CGmSg97z{Rae_X%TVv& zNi^CSo-Kyu?4JrVI-uSCRh=E}mode*IC!n)Y*3Tl7G-DcOr(Qd$U?1B1`aG0C{A^jzn`6SvC5Y%7t z*HG-Rf6At;ylrqt5HmOs$Z<+~ah1Uq#6=QbC09p8)wv z&<0>(`#byQZ=2k2n;bAj{?~ZVh|Gz`j5dzSeFMz^O?BQbPK=S=%_FJOxjpfYn4< zbgU37D(GmdENUXFw_DFm4dvjdAAPr|rhze4QcsePIn(7@E^aQpDCr3{~V2KoyQrIPE=MFr#e9-Prz_m(F z6g22=Yo~bhfW}gD;7VEa2R9}kX>qi>y@ceRJ$oc}OG!vciGdPg9)7N#*1lq{9$P_g z6fhi3SE~IqTt`#NXhBCzf|RZfpge5c9Vy;qf^gT?z{cgNEb8UuXsakEEiWU#$NHq0 z^lp@mn53kwwU~lD>ZF*RjDn4vt&P02f}E`=li*U9DB#)j_npFbmb(=cRDv%RDYg#- zfN_+Hq>{v+vp}PZ#VD?NrUx8>;}`6@rm{y?ZmIO2@BCcF?0Xd{spSqRRerbwP#^)1 z`3D^Q58%b^hbjDNF2D_d6=?}D8UH(gEIWVw{VcgH-~M5$R`L|J96dbI?tUst5`WG6 z-vQs#(e6Lc7kuXjpy5kJVCMwBg4VE?Burp`$%Dy-l4*Jr<$-qgq7;;ul2|VLWA;i} zv%fFyUk z5tG_uxa=3WbGL%x?%hf-*Zi^Ma%DZVt)rdauT=h_175=YM7t?n;KFSps?|p!kp8SQ`R9j8W>hMv04ef*Klm`yXS_d6n(cpb=$OXJ6 zeN=P5psCq0LHbJI=*b9tO@T|NwT*|Ho`DAJjR#(5U z_xxW)01AjuqVNhDy7Fgjy`XWd>`*^y+`LKT;=Se3xO$9rba=HTmL3#t6wqH!| zqLf)o?}O8}F0R0plM05}&DO;hPQL@`%idnFizoF#kPh~CMEQVp9Y_l~d$~A*H0%w` z>4LHbZl^S`@1Kw-%EketcY`#uyWvqakOpt&GBDf!kUsfC+7tL%g0j%}SGu>^Y!?Ji zDHH^?P(IEmPfxMK;Nc2*FIXrKV}@%%>tNgp0wl{x?vaxdlM;tLYL|ci zcyqbXDrb0Z7mG|Cq0AYAyW)G^_sZY%(2syeGVr{nzVSWppJ8CFsX(Lzk%;Uy=;1Mx#!p>4nuT?&$i_Cot0bw~%& zhYTSz=s08p9%H&d?vM|3208}?L!ra&}--eR1V(VuZLQp zE~pP0g2tg)hyY#_r$?|LI1oGt0faDOCqfFLfKWlGBMu^tBFqpc5cUXHgcsrr;sPQR z5sA2qc!)?rJVWFoUL!sssu9hIZo~j$5`m|predbzq~fCzp^~6dpi-sMr811wf;5K+|-+?#i$ji)u{EUk5SuEyHf{I zU!{(weng!~T|iw*T}$0XJxo1MLr23wBS<4gvzJDT#)!s-<}^(Z%{7|4G|4o%H1B9? zX}W2~X-Kpzw7j%CX%%U;X-#SEX?(}b)7I1W(azG*(Q(s>(#g|l(V5Ym zq6?tAMt7esi|!R&HC+$g3_U$P5B*MhWqN&jYkE)mVEQ}s>GW9o8u~u^IfgY10t`|N z8Vtu6Tp7+YL^Gr^lrYpV^fM3`*D?w-Dl+Ob+A{hvhBH29EMTl+>|-P_u``J>DKi-| zonku2bek!Y={-{$)6|+ZYqqS}v*ySe$2Diy++Oo^&Bry}Yv!5PG4EhjW42)SVh&?Y zVt&Qk%sj=y!Xm=5kL4JPCrcPh3d>uT4wgAq4pwniZB|=W3~MZFE^7_zC>tZ2Fq|ex1lV z^>w!EF0M;h_jX+m2Q|l54mA!Njtd-*Io@;hanf;$a%yv);tb`?;H=~v=UT@l!)46n z%N5I2%+Qzew_bHUYWZY!TgJwB`JktS#+ZnYJoywcC1g>zl3PLIOg1LT7~1gj$3dg%yMy zgkywDg>fRHA|@gsB6%X;L^p_Pi~5VEiMDNH*@oP9dfTIIb=&E-D{Ob#et-Mt9aKAH zcR1{b-BGoZYNy;z$DQ|gR_~(SwP%;>u1C8X#hArZ#k|GR#Ja_~#Se;~7ta$Pl@O9R zCJ`Y~DnZ&UyW4s9m+p~&r23aPD<^NvXP3Fs*`4s){w?Xzm%Sk*(rmPiIZuP z<&f2r4Uv5#OO%tBbC=7M8;}>0KOuilzEOcw;jqFrg^zn^_w3(;*;BMSN*8i7(i-^)`DNeMeYX1&_w}o8 zS3RYgt~$DZ_kQ>NIs0*HifVysuMSWj&^&PUK&ARRbtCn=>Kz(eH0(9fG{!Y$H3KwX zY0+xwYDH)@YV&E^Xs2k8>&WW_>b%os)-}+*tNZ2Pj)U$83-qY;bo8S1+71aHay^u% z59w>`N9ng87Cn6WaN!ZUBZrT~9{GAy^5~hPr3M@ZRtBjCvxfT(!wp-Fwi$UDy)kAp zwlGdH#+e*2i8Sdll`su7EjQa}=4kfv82vHhV~NLR%+<|rnfF=9S_E4(Sc+QuT7Ejd z@woHxVk<1i>4$%(7j%to~9Vbp{or*t&bJBN8aw0pMIA^&qxSVjwcU|Y|1ZyX|xfcI!H=c>31qad%z!L=S|ArN>K8PM}Oxcy05#i+?U; z>gDG>p9`v$s!M8+HLq(A)PAVbt}CxURA1d-)X>yu(b&~w+w`s3xp|_+yM@qtwvD#! zYWv#u=nmeFN1fX{v%2KEin|YVS9~$}(%NIwGt}$RyU=&>E6dmDeu4g!Z&Kfi1~djf z51J454Y>^whJ#1gNA8Vo8+|dhZ>(b6bi8lEeS$oBZHi|qXm2vo{9m%2r;c$3sE7cLF5@~7$PbIqt@;g zlNEqFKGZ>MEWlyCMvKSV1Ab@xAHfHf{P{Nx{2!-yEV=r>Mg!^g^HyiJB+fTao(j#7 zKiC?>Qy**NY?l{r*PmN7VdGwRyTGBA=iK`*Q?i5rcWZ~q`Rji~JgMc64G!)7D)#Pq3Bl3Rc#syd3)YbM za;%OFg%>f9p$pO-;W!cq&t;39sZDPLF@>aC^l_CvARZKQTGWyZeOAQKgfD!s5>|U0(Wp-+rXD&WTEq?NysAyiv;l!JupZg#v5&(4F!WgL4NJ5TW zA_=n1-x9D2`V*8{m{)g3L<#+;L13qNJVzGhvtm3=1{o5F`Gh30&9V{L=ARrVlEJI$ z#R6l*=cG@Vp`_z~8rX5<_B4 z_L+T6hSrdwKeo%`3I9AeA27Iqg>^kdl9e#B6!g-%COnCVk6&eQ85m4#(&Si6CnCTq z(*a*7S}+x;-Aqu)EL>w*{CvZ;%HBsEd+h~Z6h7xL5MPgWDKmX`LEz^5x*F*>$4%nA zm=DPDB;ioFEDxN|iOwxwZJ@6KYtE&;(Pt4lf5fzDySH#eeZzjLWV#(VNl|vvc*p0F zjz)BV(!Jsye#_#s0}}%8Y~!RgkG|%lMcLZYLVL|obNqdCG6R6?Vp6$Lwm$yW{RlK_|M^ty+_G8Sa35rejq;qw1fV`ArJ^{)M z22+b13ZH+1oUrmW`4Ba)D%fn(`-x<>+kRcG|E87Y`(1`6iI2%QrdP#5&VU$5ATd|@ zBRdK9#7Gc}3r75p^H7BB2G|56#l(C%r1!FuKu-*>P1RowC7L7-FuIAN5*q)fs^R%s@mMIxxZ#nEA2X^#pIR~#C%0IZ5_Z6W{|c23}ojt zYtrk@XxuzZC7;RA2_iCUp)6`OJQjk^JV}g`m=Y^t1v2!UU`qqMKO&%me zAvnT`FeGwHCw$d~2g|2;8W7?Sth{~5Qj9Z*wP-cJDn_#?%Wh%TN?5`PrcCF}L{~k0 z(m?5Ih*=rm;!Ie3ka7wh6J1tYc|e>pE^{SmA_hcTe2O6$1+KCXmL?EWWk0DO{{S$N zVSaslPipuoG))=Y{Vd|-yeN>xvv0@{y3{aEWi`=exroaWY$r0(8##*^<^T)gaUk1j zC;_yR^jczm7_g#gux3fG1-`H;8%(dJB8-vF)y@eAV9tQ`3X>Re2rRsBNx#A|^}FKX zsY41(2{=pqffe|cw3_q{fQ7bDw{6h%g>rM0~G4hWY; zhO9A1$WTxc{?}9)SlSEC<6#DkF~?M;{Mz!_*EEKV#NY*-DQl-~m9D_hYUf0PV#|B) zlOYggt4WRwbsqht^~O%o2_GPPu(NDr=u`ypmui7k+CQm3C;{kk(3f9o5H(_YPZzg`jV-6Q4nD7HmDWEg6*$crJ6fM7BEZz@Q{)5 z@$=!iTvas~_3wQWQuV6A+}^O&jTg(wns0!R{xBxL-w0g=A(pO@~6pcI-bF2w;olYtwH z2U|RWpw%~J7#i%R^4@q-NGm-)(04Eavr3)EVLl{|zzM3S4Jw_ZY!RkrLi@J$WK_&l zx<*FoQ$29BIiCN%-YDUa>@AKD&N{)oYXhxuoj9GA4UdYNa?N9C>MINqWc7T7U#Y5| zQ=d#p$vV)8^{8?c7m3u>>-WjqPrFuoP3o6>$EiLfiDdMvs#a5vBIooP&$yJyXMH2# zhDNI+L_TVZ(EE3}w_D%hJgq77a!#^-`W9h~{pP2-n_97>0z6cO!Q$)icKjxUsmZ?D z_iXZ$F7*#S%j&vpseA%xK>OXUw0`2_;0xyz26uc#=4TR}BlXJKn$ezKe3ES%pKp{{ zr3M?>f#A&!JABW@rEp+Nww{Te%Zo@V_376`AIjs3G*At%=yTZe(M9RLk&#S&#NjVK znM?^gUr6P1B_>AnCMIS^`yO!VRo~$fZEA6!n@{(JuD!>0@ z|L(mHP?EL%gRPsGH1+kmQr_3K_tkML@en={d*|a@gWR+GST!SSOM~le3mt8w*oBI# zOZOYHNIFEO>K%BohtE#GoeYf<%K@t|^Ep#nx!tSl5BKU;hF@kDn_x;wM3dEc=b}3H0Vsc@XPvDy0l3OsWfS>yVEM9Nx3lMDU`|b^lzE2(HUQ zbmnKBH5)rhJEc4PuN$~ra*CfghEcX(pwAE}uz&GF@noACrb->t|C+?!5Z@`}k91#f z9t*4gR@@YY;Th8Fxz+k6)A!-IsSTbHPI8q!TH6Pmwk9RD5l&@BZRHHow7r^QRJ`w8 zZ715Vq%q!aXLNo^1%huTwGd)hvn}=D1!IS(oexAWADf@4Eb;Giiqrl|I??C!=wP%D z^Hsea)pwN+IbJO~7`CrVPpN<=@LB=m3~bW)X#xBfGce?u|C$vs?+QsZe*LB=L0jv5 zSigMG)htob{JOb46er{LLiRQIOwXqGM^RwM&?tdswu)WrLcEc@sp@zN}H<%QQ1%ozUWO@~$)_7QDh&P;QIy)iX z`ch`J@aLf{l+=6fw9hn!M40)m=3unC7j%|iqCu{Z?svg>S+pt>Q^sGIeVmJ}E6(%+Zy$}YD)knZ>? z2C38g=Q7@_hkd&1n0(48A%%UTpQ)nIwF#XRP7h#YDe4{x>$86`71xxThg<9WF-^$* z0)L{;;FbG`x^$W7x^0XQmxx|(xSO9zo9>$8s+%~vm)Ymhj7_CN2UKoM*KSEO(4|hj ztLMPD`RopCrNEb=g$Hx$O}7`srILiP{;?!!k=IENLUx*4nMF0vwOy&~-X^JV`P7c* zW-O&IoOXV5biC%AnCl>f|A62pwidtQ?;xZ-?Xh*l*TU>f@ib+gY`hlZ-pu$j}pa zOnu*w$HD7fDm(csqf#5TpRO~Koe}0Nyy~xYEYW6TsFT}eu&2!!yYuWotuE(wJ=fQo zEqe5pYogVIw+mw~8Ai<_j;HP=2>`-!L)MCX%K@8O%@$tFPyTlXGfZ`7a0Jg5Dgq_s}Yv-6hZb1bs zK6$MBC}su(cwX<|u7F*5({{Y$SC@|!2_w=^hAhC!<(LLrvC?$)xnEg9z!=m(I!1=3 z=H)dTNr3_m=HHw#J@xojSXTe@LWqu?@5hLh6ITH8?pmnlN_#e$M;8svU1~Cp0Qchs0R8RPAIq);S<#pr~F&|JE_d;gR-t+_?*% zcIxj;-G(=RXnYdl+I@D1)+aMIvg0MS>VhxDw6BtOQBkHc>k5W(KTypyr5vVesccw0NcLnU|X6Oqffm?KlGz0cz zLIGf*AH0d2HMa+`)A6_kPX2NrH}oZlk(NMi#1$_}iPGA9d3^$F!Z4uHn%R~&q+b9x zYc3Go_f)US-4#RR419<#ttH~~egRm}@7p0VBzBGr$wqm!#$rBf873i<7Tz?%7N$SR zH2@zieKrtPwE%3}7|cvk7KTzAmJxAeNEcW*R6gT3VVcwCmc^YSwcidQWh@3c$@Hu}{#$ry!oohCy(QJ!v? z{_5F4Y%5Bo1HQ0pBwf$i^J!zt3+RRtU1VX*uM(=Q$4>81*# zqGk&a$&DmyYxJsoWDK6$tN;BDPo(OLGu49U1R|RPn1W*3`OlQ1v~K_ zzP~U#%cK#9?2&SH!%VF6zI1(Tjx-pD{&iA@2MovxJc<%<2t*13qk;LrFVqGGrWLNM zupUn#E3oA!$fDNJ^2X!xKl7OoH8f6}h3 zdpo&}FQB-)Og~Sm{`S2%*2HWjIiH<*QgjE8+eL>8Yklq;pJ8t?jWOL?r@8G=OvfTDH&^aS_d0OOby!ib)0C)PeZlNhuGdL&#@GF*_O5$ zm2zyrZG$cES~k`GGURPOj`3CpmyxN?!dT%P@5*m&7aY5XCJNEY`5n$fHan*nHHEo5 z9(*+3mlgiu&=+G>u3GIA6%XPj>2upUN4@*~o=>{A#q7Pd*0(Za*O8;U2QOXF`IvZZ za2soS9TSzR#9qR=vX1tV+1M;OE7{^}wZ2;Aypaw2)4M%Cq`yhMc1m4x|0#8?MPUoj zo~T#pLR1WYK@ZdkVQbPHAsYF!2FLSfRTc1|CAL}Z6h8krFn(Ga-J>zClR08j62+sF`pTOpEo zR$#0_4jAcItGPHsc?*?#RYZkBmCp-+cQ|= zz)@0#dby@{FEmR60s3OdS{30bZ zeQp1gKSD%S3piMf2VvW-;D=bNX&XQ(gQYM15ZG776Q%-No_|6_8+b{OoNLg_` zasHvtNeM)kv|r`TjTC))4ku5#D*hQ6|Ho`zLnmxM{%38vkusqIhkDw&FkiNguubr` zI$4F|I$`_quaHqLvVU@M^8l##89fn?9l`#B7TGT_RZBXSt(bs2)O*S7QeRXu;Yfo1 zpsCsmp&0!IF7SAo%^&F*ANVS3MguUPBe~#nGa2DUU~k+D%!k$6z=yBkz4Ygpra$ zwRg>@944?Yb|^?wJ6-NI^$np8wQ9dFzk~J;BXo@@1Vf|?{2=1`H|F6mv?mD*`m|7NUqIx$VdGz zcW`ty?nf{M2j%Q-Gxu_f3qE_Jlh8nl0PgYdmj-v~6o3lp-H{wTqyRY%GxbIf4b8vWY z_gNyXevH*YtLyEXb5-Q0O^iK*tImpS%FOlNguWZ4tEOvq`%`UA!dD#KQ6=ZoN3>(A zz6#yVPWgOH`>TydKpe|dxU|(&xD2|jD*xlg$=N||y`7JpPVP@Wsb$2;q;xjPGP&>2 z@$9}s7R`P>Xg#@H?@t>tc6cV!-o8pzamsW)>Ue1Xr>d_d}QP;xxt8{<)0$737aWW*_UGqA$|JF57F$AJ$?Kz`gd2Fzg?>z0ZhK)Mkwx?~suE(V#8D?6e zE>eNO76rD?rV&Fu+q^9s+TQ0MJ2;^ea#ed1mzT^GGlTLW^W*)fqLJK9C9MiE==>|F z>!oy>h+82a&$As%#JmYp*`2t?&ue|TGFFdMW^c_Me8^Lq(78Y<@vm>*-e`;6xqExc zz~;=%^vV;R+x1Nhc4c3#U9T;WaJc?+JRk$)TtiX$$ot{@6Y&|jqJJE^oum^z`S{@F zw72ikTN{`IC-!W8lix=QdU5CzeT@a8S0iP!KkZa{>hK?>Z>>~vLU5lCJw^XNdl7(K zoAR&UH4q@}oK~^#?f)3};M*CVl(2+s`8au&i1Y-1&h+;#SFOdZeEYZ4={RglOnEi3 z%ge?2^jB(DT{o=vnUES>uQu$KGANoC+Zf;dg~0`Wr*~0&_>`%j7!S zXR=jxk5t^u$}KQp;~wbbeA-|lrmT+|+o39_7=~ScM4NV7YD?i3Q{lvvVC@4}?%!dg z6&nLj>fF(2GUVm{VP=$NrdUFLyV4qWGN1fqW+%I8@UU7W==_!#n=HrDvPCk|? zk=P6$eq$xqck`Pshn{FuKG;;IxG|7;*}o#|Sfg=$j!d*o*Z?fx^VQdrtq<=$&vh`>G6bAkC%N}Zku98 z`)YH?*nRzKpt#*tjKY^6oUjc$|KxrGFTHuK(&@5DwOx)vuGcrc>r!&p7*tDpIQ=n` zznF$5i{`b9jlHMTSsSyC6$v`_PHBsXwV!2X9LamiyP*7GHZMj#KWReVbZtvJ zM{-^`#AJ5oY~7@W&7IpuURwLQ_Vv;iFGLXcj{9%mE8f~|C@du zMM{@9i@`~ep<8+PkOLO+Gk*SUHUVeljstJpw>Bz%TW_2;+BZQWgrBJgc91sUrI{W- z(cP$u#PxG+x9fg+~B9Y^dI)c2Nvlfi+Um)cz zRPZ+|VY0^3Fz!gar+F4pA`>~nUzKT397hg(8^KOLxj@Ql_u7AaiY?vfFW~&QGafWf zT9KhVy9MAll4F9J!VIo16 zW$eOsQPL;f1Y{Or_su-;EQ!dAu9~H8YsB#Y@fh`o)pP=epp!GWg#gs+y zVBwXBE=icFZr@>i%rV1}{bt1Q-io4xa^9Ykt!SBHxx5C?6Wk8vt20)AS*zWxS=fm>Y z8$0F4r|(!uYtr%|1Dw-kZsw++!`VAp+VrU%Tq% z-At(Hc7HiI=sTFQbDNYQhrX@>i@H+kVA+T6kQrQ6##>ix3)JG`O~$rdlJ| z@LVEG1lFgjh;?AZc#ONcs7h!d)NMLiT!c=BUrDyB{7@gwuF#gZhp+dXQ=Xbdy5c09 zVmw@2laSehmTyDLN5URG>bFpINUW1LxsFJZJ^-J$rgUuJJ zPE2tcZD=c5FMB2J?uG4F%6iha24`LnzMh#B$jS6f7o06Z^W2s1zuFW$A(ygmhgQzHTbEJFWx}xV0VpE!4ya!RhVY(9)|-`C$M)_tY_?zXL55l!0& z+7G(pls$vYj&68zSM7lMuAo;td}pRC=JO`yw@$w8lQ@lgVlnk8w7oSul_geB$;+^m z@9AzverlWQjZCS-;p2l@qaAYpA8+p+*F?K@4Mzb%1yKZ1X-W}kB3)`ksUp3DfCz|E zrGySqQBZoXLXh5(8hWJnCY?Z{RB4ISkc7lobtWr_x>9)%uKF*?OA*6 zwbx`i^yVaVI<90YQ<$<7Gk^vQr}B0W{84p(HjnwtWBL~=X|;ohA_-Fv{m1kU<35q> zr!OJh2#EBsR?yn8^{N$9+OTQZ-E+lfeTbadb^3bI7vdScoeVO=DjI|fasL>XdBTpg zRr~O=VoNStN9*;DmIZFdLBnY+^>(}BaR>RwC1w3f*BpwQ6O|6)t>I>NeEat)b#zBt zSfNJdy9L4s*v?f4<2V7+qaK(;!yMFw^m!%9DsPl9Xqj&F}`_!Bp`b5QN>Mmo)$*IxCO&< zD;6o#+csARVtS?*9XH*4AMiK3XtNmWNB1sOF^=m_FKvEb_4DiJpObkLm7P?clr0&h zwruDL;s1PIwROYH!raOXX*oMsHK%#CQ6{Y<=VgC?KnEWs$8EnQp`qHY#G$T?c_Fq5 zt=^6Hi1OSLKA=ceWX~zgobc@V-*sjGzO2UT=fr=GmFGOj7vJx^+7M-H*(#j5I&p1lbG{|7j-XN0#9J@r`K7JSZ`>9mznyaX9=}6gHnP64H2sV27kp8d z1;p|0_1D7OL8cb^dZ;A|Uk{tsRcAnzva(Po?~R+*ZM$4(R#Sf7FbY)EcZWj$X*sER zcK5Ka$rbq~O|W!(Id$lCc6VOXDfMnp-<{1>8>B^gqkm2{k)jS>Yi@*T?OB-JUiy$Q zWGJU=Y+ogDg*rRip>M;1v)e|`T3h{s*Li(qR>yT6d0c#=w0lQ_wBwVN$Vx_2lewNV zWA_|Jv&7#TpU8FST^pKx&mHHth!AtdHIEOnjEK10(p=dd=%0Kt2dTV%!f8G7**m$! zyPy+V!6Q%3y(9d?3F=JA!>>cza%6glb7_Vpv)lHEZm}1S#qQuAG97(DU9F>y65v&q zA$%;!D$kEHnRhRLNmQ-hKJ>3c2i9V4pY|fASn}yiMYD$KPK*Yt=qY}rz9TR2skI3y zT#6Go9pOE-n_F3*XQVbGdb^Ob`11uF6;T<2VZa^y*-*UpPJBVr^!b?ax}!P9i))pm z28M=RA*ef5Gl46?QFV<;aoH`mkuVO!hrE2m?A!Aw@{u|Q%xmdRFT2OfhYDUaU63hZ z8Y}07C|@(n5a716P!7689clqvW_PSvbJ3oW&K!+oHrg2cSoNcuA(M%rZ zzoTaqawZ_d_AQ{H(;@XY05Dis#^pnFpoAd&8RWj%;wosEN`p$-Cn9U~lCK;OhoC!g z!Ce&_q>J?J9=?ilc3)3Q7;5e^NTU)QjHBr-Ium;odAQz&EnM&j|7+5maU@@aj101` z9z%yE?WM`EodlVtcq|o}1l`Sd2a^N=WLH8rWk(uBtT?aH6O4IDmX>f9)Vx#60x)OS z>c0WzVlwiPVx|9QNL%2)fwY61q!$l)*AL(~dN$;b$p`bdYGQtZ-d;kIGF#$ZiQNFy zMw702jkK1L0ARL0=7QL71&aR~M}-C$?lS;ba{vw2mn3=u0y25pe^8;)_^-dhKMd9X zA855T7~B_3UdY(-2l_2%x5}YKfSg7?)-mV=t`pttN>aeOLD%Y`lZAv$^e5>4S_3`V zXM|)CpDpqebOvCxtMtC3k4?;655YT;xUbZ(gO(wv8;sy92egYGNCEd!Vwo-6s!fvp zMds%LvidoR9rA5qB94ye^F8^g^GIcIM@4=fBNK`J!AjGQNLz=$@$@tfbA5kVuj^ z)!?ajU@A3!+EQ;VsP4zDlC-8!j9;cIXqncO}yKMQmlhUeQ zw?^5;@!5E(s!+0U1iWpjYC@J{oP@VCS!Qf)JUb(Y%91B-!@88{CDVZBs5UOtj z-I)|M$PP87_8;U6{t5C2J96Dt#kdSPs~aZCe&w4lsN)+}B|7no@9bwe~uxF}IMctxpAEvXK0~@Z(Dh`_;OTy7D}} zDi(7`-K9$X6eV3viHi@Ir_>jrDCT*NgKnH^ij<>&^u?!juKBgsCIe{HPfTT<=Lz(9 zE^QPOd|!9kvL$TUb%Pnsa${DGoqhWSLf4%p$LGsvq65R}_u^fJ)~_jIGhZUs@^)Xt zJ_cQ~C*Ur`sYzjb`x|6Bm{cz=z#+gS- zbFwuN^f6l|UMyDUGfgmm)l{6QG1I1!cHo=M#Ejqgn!kk~lkcDc9Pwkvy7-bw7M$=S z5h9D15!moh^FFP+@~J_zgePNJTyaU?+*R73h53Y(`7(pTKH<61-Y`@Z?FC%SvM|u@ zu4QerbG=kH)fH5Q<~IClbgQ1SuKro$K6s{BrI&n~_V9#wr~EX&U52P=YxWt5#b(jpNbzsY`l^_A zZL4zJvR55)Iq3v4-cx#=NUz}VjD7(v51MYPMrm33%yM5E(KC&pJ&Tksep$>YM-!%I z`0_;|n>!M#*o&SNcFSLIwmw_ydivn>-IMPV-qt^2(6}@%R!O1%&}aETD`+>l)b+oT zd45j*M?i0Vnj(16C191s*?G&^(gs}bUcbdoe?jrAf$@sR6^GtuA}Mn>8L;ve5a)Z! zSI?QwoH#g)eu1c&+Y3;8gG#xkjKaf*%(+_OYf999KHt6LL-+FKyOnk&(eWHBB13n9k2jcb0HXYaTVbnX`R$ z?q9GT$p$sMuNg{}6{$>@MPBI`#;DQkkLRFuW!8BAIly-Y$cWP&c=?;f044Vh8OkLW zD^530vZwqB@{rT1aes2SX8rAJVOnj`_a`2b{EcaGmqD>}tzM6(Cx>AO`fD_+Dy zjkR$n)$$E(Xp75FaH4*Ili^JmGjv^$HInBC?yNw{T4dqXXqHfYmA2lmFMQamH3Db1 z@WFNqUPA3cUQ$WB_cgiD(Fz!<3Up@rndZcty^TX@>8_feb6bfFPxItU>uA@=3gDNLbw*|})JAFwyC%M8`KLws?K5q~{AQ1ZgJr#sXzWhY5>7}UJV?mj7 z^6wh2|FJFqfOkMG5j;PSB)e5h4*@tR?c*eRnm}xWBCL*!K12fwLTT_u2P75hA&fWo z?I0)i{R9~&mv!R+QZvXBTPek2r65F7{gGDDBWI45@B)CSLJ!%I_t*zAYL3O7+9N*) zAA26`_T%<>rqBKv_CwmBU0C{`Ajd~g@=C{6bSh%Se3c&m4Mr{ltFpELA}3Nb+5b9# zGsCARSxHc&d3Y?z6@N^`lQ!!uo&$e4BP4~Nt%@d7XaW2rIvA+{s4RiDe}Qr%0O$xy zbK02Q;{Ywdy z6N&HPhIv@c+mkc3C7@p-fiX$`>B3NK{7;b9Pf!wK{RQGM(rqfWl@L9B$M3&!`Tzd^ zC<8j6-{d(YH>*>I27bVTmU&7_kO`@Dq%Vn+!b%b8PFfuPPQlk7I26})+47I`-p$)6 zRzT&KfnCz#cr2X)_X7(FhybW-w9BEI)tR#(<7lc>IOW8`k@ zy27eP{j8-;c3vqpz^ORz_JU4rgCoFPU=AY$7>v@c?1Va*X; z*D&axn9;6z@rW)0hRD=hRY!bk^^!E zk!wO$#v6j=mi&q1Wr|zznwpgFjFg$gX=Tm^z9*dfqcLKly4!Hn!7;#*mZ3hK8sOsh{%x#3hJBPv7PT}bxYk;!dczAi(`AP7H4xmD=sb!1L;te zVZ$Q2-aqB8l;Fl6OzWI{S$s36?Ceia2Cm;!K{;S@K3(H%tY3NS(VhxPAAT*Y&i6aN zl%~8Y+Dq$&&d7bGnfcQ6#1Qs8VafU*I#My={0D28_-)*Q@Z4q~YWHONFphVk#h`?S zk+JUn{QX;z`Z*v8L9!=xxfy81adokoU5lBY`}+3B4W8FUPc&%K z4R}hDB12<0D_VQ@de^WnbwX3V2bfP;@P=NYC8d)|kF%&m%Tw=-pVhN_U1jrCeZ>1o4rB9g?Ppi8$ucdeCl-A&WP zoZ^6-gOc<7OW-CJ8k#viRyi@YZ{t+-DP9%cahMkmrMM+5H58&dea*i+Nm3x z_MA)Kh!4?adef_U_WTn7IcVzf|H9DVUl=;@f5p)MxGVa%DEqJ9fc~8Nk6_-$1|k;R z)rlQRHLwVWb+*OLz*t2*NujY!H7Q#ml7YC`LKw@`(MF?8e_^pr+SPuCts$O=A_Ip9 zK57TgC*YmvR_c1{gq9lCCVLT!@qmPO8o^Sb#V>n_c6Yc_viWCxmSfy{`w)!?t|)sP z4R%`h6w>QiXYTpjE6Woxugf|?+%Zi3eXRDO=F@$gDsdWu;jFp>YP+(<+-}{aW-4CS z4H?hIX;YwiK!W!QdES5CEa-eol`^JkbqBkuyc^`248BeDuHzHcj+ynuM`OSyZ;Kp? zFUw$`ir1I2X$j@#eoW(M8!)Jqu4au5Ro``|@W8^^+uZ31^F;H3bkxzy)CE(izDKvc9YVTprcj>sp_0(dW1TS)Pl#FHglsXTff>SEt% z5Dm$Nuzw!u5xiaJ2>r*8@hlT;d+)*=QvH^E#_Ze;3@X2p&F1l%%JPXw{ed1?4ymuCzvYk zYrEjf{xN>hBJ_xn~Z`)ORe1rV?qF;QO7ar^E!jwLgy?>yCfzksAz zxwX(Ex?R533E)wwNlgjL_RQ$2Iy=q}$}akRLIImXF59y**YaLHeq|Ht(y!8HRPTXJm+&^x&~x1&%vsR?y?y4YW7F%m1|*P-?vTILFTBd5K7B0|b(3+CM>T@&Q#O(rHLL`A_gD+P=_X{G|sw>_IA58WEW@k#Y$9jaJeNY>qU)&4bSUfmTL2%Bq`#y0dqtPQ+ zi}vp+$H8Pv*g3L3)=!}qJDi%m#kLuZOkjBsEv4Tqd-mjy?Jc_?W4`;5jOO}YUw2AB z83Co~uJ{cj(V2!5_j>0GFzEW@$U61v-DJr}Gux434{Rb-b9?)Xhap^76O~zgZhog4 zM9sL~cl?B`UP0pMg^l{_O5+h#;#y_10qyxf>@8BFeal|Yr zaO1hq%`KoLp>%CQAL+UxY^@F|vT%fUe=sl{#0J|=Cf7lxkHx5Whu6q%!AyAYo+vTr z6^Z`X)}I9ZHEI%x1AgN(Lb$DPa_s^3AovhUPLTy8g-K4Eh$CP{R?-0*9J^p_!g_z}*I?Xh zDHQhjCLCQQOqiZVOkD)VVhN9dveF50Pz}rs-G&N|QXem!5=4{9#-2gY4iYys8kj3* z!FrP!&9Sr0B*#swO={o((rqtjofTnrjGAnZ9-#hOpm@xLEuY^|c#}1|@V>fGQXJ{( z*4EmjDs)TKsp8pI#5u)e4j2mmNLhP+QMC4atc2Vvr8nWXjTjJ>t$6?H!OX2)m7Z3Q zmWAPQ4=+8F56XGd?JixD^@+@@I-jbsa2-S2b5UB|8o?cS{ zY`uq|hFJPX;pYF>a6|tX{3B@mnaMp>O*zNV0vj?I35*3?XvIOlDYuehp;N6bEZ}EoyE#9V~zitg+%MLY;N}!>3IBj4g#6g-F{12j={%@l@XK%tn zd76!GTGqbEFWE_d3)4J1FiorM#r?L8b+7GawPC+NwIN2?Jcd+r5P&K+qc?owA2HC=Rao+r3`kmS#iZ}cMQ+UN8bFB)G< zG}o4WRh}9G=8+4M=JttdTAyGHw$xpVa$1(%%os_KYH$)>4R8035Hb_(Curq4IT+sA zqsSh9wu~`dGnWlSq*l+~M<4w6cWbjTL zHT6Hg${swBAkoCGV`H%PQD5Mg>miVIInmmGxa|C0YW*$v{wKALI|f*F?m_WIf#bjc z)C{g3j1BtpCgG??|7G)A{E?BrLGi#DsmVdcp6=j%o0(q@JAZq!DIn$lcD6Dpa4J?C zf=~?Dz?SE1$k$#P*=)&(nHhC%fuB+pNeaJm$-Goj3wkX)c4S$!!4FnHu;OszhQs?a zsp4e`{89OVD14~jYK47+7<84b4FeB8BnUhK!f z#W{9~&`;3c=AaFi z|K$nWYz5?V*a+bP<`o%nJn>qZ;_uVYpBATrV;RV@K*sxE)fFfVh{=T;g~&(}7kcm4 zn9lEs){*p>^tXxDZty3FJ9_0~awJ#uQgcd3B(EWiey*iUQ)rcjGO8L&NO|fb=Y6-= z;L-f~z?5w?aF&3&>DZ;UB0Hgnnsm)s`lE8(XYjH2_txotf)++*TiSFv;<+M(pjAme zeHBIO%Fmb2DOec521bswYF$|96Hpv<{uBa@{NEQVdZqNd5})3X%U8c3YB&%zC%X|A zem2sq>w>w6o56DJ;(9d^f%* zLgoyAhKO3HunC}!;8-}#Ro5`z(lEdLd_pXcr-N^Dm4UCYQH|okd&uS!3H8fVjZ+-% z+ZkQeV)($Krcb8M)eUu|KotRL_}&bm)X4rqT&@R`<~db1b4CeIh|ZmA?sui~YloAK z+fAzr&zqc?E9bZ2H0;t`k9#))+So&~q5wr2<%Oy9F6PeU5h$e2CCS|!b6(mwvdzed zU5O(}@v9;w1C6{0@%B3XpXh59jzue+0x?28+#B^Vso^r<~sS9V`maeNmE@3~r#Od%2 zsjJ&b*HXV4x;*T=5@pBmJZx^8Hso#5*(vEl>WklG*OwOV-|wi%he$kRs+l1Yk4f@B-j3yXM|QEPQwDU?TV$)6Ua+9keaW>YCo_g4 zGhP}00Ra%Nj6z%Y;>Nnj!t5l{%F|X<%pkDqma31lZ%LiPQyC_~kp~Is{?s9&N=_7m zCNGxIMnNk9qu$Yu*u&Epjrnb3OmR)SqRe|Pim9siGfN}yxetaoT1IZsmCQQ|VOU-y z5fj6zCEWM!J#^8Yz4C?@|KenZu6=XICet6}B>W!WG`Dm7#HIddrJ1Z^Uqoph-wLPx zG1ORgqv&}f`iX&|&Cc$ChHg|rQTOCixB*^zBr4lP;HJ&Tq|a~R0ty7yW9d*>skF?n z4$^CY9wHv&Vt*nphfiYk_03dsk={63hRJo+1>QKVu0E;eX~+e0(wybo2BmrXgC4`j zd_{wC0=L+uB9^H(W?H=hVsnmCcU0?%?WWhSWK`5~XU!&5E6OYR(%~EUuOpF?Atpsn z^9#k}?5lM8^f_fx__&fR@11u;&Xudx<1WshGhMlS;=;lsdZv)fUanK>nGtuLFO;0G zEjiCKobHE(=r zaqn`Kgdx)`?OTY_BxG{3)1eNBv`VpttoSt|-7m?zcSK!#wuv(iArNV1qL*dk=34Jn zy=+}r7EIB41s5eVQHbOIzV8uHXHf^S1S2 zux@+kyWPzk52g4nLD4ha8wR8|Iy*h*S{>0+LDJI!I(Y5*7G_=A_u+FaRr!H1UG7?l zmH#I=?QM)ute)fM%}Q)ciDqp=en^!;bPsOqVc1aiT0`r|-bBz65i%e*xfs%t21*7! zFRTyWUoFnRXfFJ&D2yxr4y1boA}xZahWUmjHH+4{X_lYa`1o4usBk2Cw4lR&$bvqMG-khx9ts zD}R)4M}F+pt35Z8mzS0u<(0lIY6!75aj?clTKTaT^*azz;=4!iNr~Zl$lO zUwX6D#D>r-7>b$grI_b{)CtI@P;4FYZr*ZWO6WPuX8?I3Ay}30Zv2|G1Dm*j!Vfu& zFAGw0bp!Pzz3e-|Xh#NBK?OYeAm@g_1I1u@|YMZ7M_4t(kof~1)==`*_xrd40x@Dg&lel zJw`6I3=L*+kKG#O=f6=@UbOkJeZsa8Q|GS((A;B7Tn`4>1UXG}i2%|yceCvnnJCIX22M3Bd#J)+Y#HlsEO>eS zpy777&5EoAG3q3~RWGtR%R0L64F+aV=AcQyJg=-{Ns-&wl&gcBn5$)Fx)wiwvCHQ< z{@DN?!7H?lfvvaF-2_D!OYG@c%IG|&9y??B4z*!c$Y}Ql`&82r%u0HDJ)=!AO2;NC zth6!1FueQp_#%IN*uf2dM4$Qy3hhBJTpV5TEI^IUK+or0oJ{qpFA@C=_ozI@PGq*a zXA;9o%ZtB%{>VgWd*0`KK-*@NQ)xu+#{J-X=V-XzaZOkF!womBD~>eLq$FyfXj-gY z1LM9!ypL7?^A z-2tcfs2?+6SnV~vw9UMdwukNNZZSi@*m=n~^Hr+9AF{)-Gn{!4oH_4f*1LOq%DG{7 z(#Gf5`3ikuRk^aiKF)C!>+S0h^lV0Is17wO3y0g*i%|pH;!X6qD~v^^MsH#qF6+I# zNa3G~d$j^}^bKKuggW%cV{&WNJMLcR`-oBk9mRFur(iJvDbq+4UAqV)oaS9jK0ZRn zz&1%0=75L95)tQGguC$*^jQp}O_DI@ATCUkst(wpZSlYzk;KeGh(6p zEW6AAeR8wK6>!e__KU6gr-uOyURGQXU!b?Eg_Es<7{S2i)8dSsi^O zV4ix0QdI8oMNXBuT9wY1HF=`y*R&L-i65(pCDmxGKhN8tma>+Wb&Nz3V8NaYSeT?HE)bc6^k)h+T zwGsRh$AOnZYXFL9H+@~^Pn~Bly1#>rV%d@U31a9L+kxV}ms{EpzS;yg)HgsX!wo%* zrPt?OAd+DmoX`=CpP1@35iZLhYi|-l?uVK4J zSCUefQb+tAp8a6@r1FVbn#VCRQ^n6!?i5hGihQ(&gDs=fRs+$A-a?@sNrd6MQDcIq zBzX+m^!B)3Seq3N`9$mkx`1ENfmrK4enZ$JYXG&O#yRj35lC%M&_|~N0Tw>L1fb6S z0nI|4WiZG85|c~h+pfKq;5=bqA9P|n0Iq|U7!Qa@je5v90O32e_>*a2K-{gTp};6- z#CA?O;yX7i%xZ5b=w6UQO^fS3P~K`D3IFQ@0bA4m_j=y~4sH67&tzCM_8U;9{{6MK z04Ac^aDcTOa^=8Eq7TQW|2U-)!0TPvJr6C@nC7qodK4ThBqI3)%pJO3JOj{$7{qom z;HmighJ|okQc&8qN|HZ4{S$Pp&WQPi^FrlJ0)8&SlEpJ$LTp0)<$~!@fl=b-`|`e} z4h@S}NovO)h;13m!3PogYf-wb%L+~?{C$sxFh}BPC6^rsk;*S+hEv58n0y0{WK*HO z`7!(9=IZJ_Ewrnkk#VJ?QoL5})zoKmrb#WW4^>C9g4eu4^hgdbL=MR?8pP=*qhzS|lHX5;R!Fn-EU4k=ng09g# zl2(mj;P$-wEaEhs**GPGvN`>co^}@?ZxI(;<~{leiiaSG(>N@F-k0L<(!vDWKVAZ0 z)fMiYgEB6-9TD8U*8m5R-|{8)NHh=ugq@=gKzq~yVHX7~C6M^^foXA;-HYMo$I0cl zz^qWjUKG%D_!mp}N3Ko8l7kiQ1wmI>%I^S1T0iCi)|o;9kcf{P0-dIo1t?M&$acZJ zNTBoZ6dsLBcO^dCMDH_bcL@N-Hxc;LB-g_hSAW15$_u*qZ=N%GEl1%V^i%$3G>{p0 zNKrws?UsLewWGh(Hpc)OgIc5`;)tsx385|Bcn_kSIbaed`qv#iQB!o9#?f+`I>BY8iRllU`z4BL6!Vk>B##hNWpa( zPNbzah62H!rxgVIhUGzgy$bl=q(z33-GQ}5@|xg*{iF(q?hm_KVK1Q%Cx2x$?NI36 zDp>tbUPwY7#fHRZ`Kv%1&L8H86KHb|bZ#(FQa!A`7m-+a zLhZHb2cy~|3(i!olIB^K&8LS(Q=P7XuNr>%4?M%1F)V9$k%!0R_kY_>hz?{DH=KwT zWIEq+^mvL3=9Aa3?H@G|=uwNA5=FY)ZZPEnMm=wf^Y=efJe3t3qe#tFnv8@C(4qR# zZgW_!`cH}Xxnn=sLO4GrzEz~Oz3`fbi@KPa?2j10x*jI$K2@-Sl%qq!>->_hCN8AZ zkI~&hTUe`&b;R`97RlXAlwNpCk+xF0r?PgD?%}OOs^>~q0&&W?u)QSds%hlV>P~vH zl0M4r9k`z}k&tlXAP zPmJb<&Lr=HMk=1Rt2V@q=tndESb$7;6u`dFV1fTJz1X@lYy>?&grSdK(1;x}e&*>g zSd-3irh&hR@=ax?U#FM*xwz%nHufV4jMtd!C;!M1bD_MTq-n3F_X5X!vTw3# zICWg>z2za)229_h7OA#RzVY@nInWWna%b#2g~+V-Z#sZ}pVmxBaJz(P1%anjz4hyz z{f~kl#i0&34sG9L9R2(Qw10HXk%>>~>ZIk>tDfTze2)^#+#cxP0hB6*9yCN^V+$mGx(>UIf`29O*T%Iozb+7*Hl(=3yFEDcwJL$ zdR(~F59bWwc;sYRHk)A2QPa5h{IR4ZG6#66W)a_~CRb*SupXrYiTFuFZBBi?^87ee zFR-3=>p55R79e{!VfMqA(yVdz^1m?+cOnMdlVvf{ zyE$PL_U@y>nYd@5gH1#FBV9z_5&RTxL;HP`Uol^yq`bf(+FJc|R*~w0X|Lnq;b2GV zx1yxh*KmrLj}kQv0faVKE3fPk{1Kv8(slMSq3N~U%tjPrp=>Bc~3H_F2C3N|b7@$a0@8Ityw zme7Sn|BdkSAAPB?GD459*WtEt$?Jqsr@(McO$GN>#>6+Z2SH|YKG*W!T0SqxU_9$! zcY4oW#z`*u(tsrCg-z5?(2I~^PCiA!&UJg91i=T4HBp+3=T2pL-dM@hLp3yNqJ)x8 za3>jwF7(nlerrFKcbDQ)Y&ezD$x`hkZAH~ed&SJ(C_ZB+x}AE$K%f(pI!BuXz?Bs?iQ?y+@AzS*4k?!B+MMV^lhVz*!^k{ajiW^gW63tW?M z6ta)@rCy`0UT)E~v8l$`BE!d*Z9N?;vCPegW@rD^d4g*huewg!xZdr@mrwGSX$sb2 z^c34R*W-7vjddfv<#C(r+jErp^i^J7^qy_Xg8O1q*2Pr@7c^r(uPC&aw=@EaJ8z=w6HQm>N7>`d6Sc&YVFRg5hyTsXO(!Bx!cnqUF#jL^+i-MJ)$JMLl zma`4vuDt8QLOF?wdB$cTUp`+t@%EXrCcW7q9(Dp z_CV1KrI%kKTq$M)pAVg<&so6z1i1(oY#2;q!%EeJ zA73DK(~v>TK~ZT(vtfNsTF*H2Y<{sR=LKWqwoQ#-%ncj@mW+ftOUI`yrQG=bqV@D_uTxeLSl@)v5CcFun}^-+&9^r6J5 zVq5B$@`0R1MIUqX3v%-#l(p3<#rJpkWS)dDUQoU)2)V6vmzsASkL&31ibbhPcyY(? zNy~fjU9eGBVpImve|oX0idb9Q4Y{?2hbT|T`c<7NOW)~mt($qi9!dLSS@x`#&?#@f zsrxY>OG}tMX9$O647Jn!Y0tZ=>6P`R zXe-PcHq3v&@O^XWqcP&l9^xQ4v#jCC7qe}olDNYQ$M-M2xkLEdxPDA(o-h)n7n@`z z4&M5^;lOe@{=%_EFhb#}uGg)lu8u#1h$4rV?(sLudEI+m5M(=7!93+DVK7P9Ptx=8d?8t%I8j2vFYfa(3YjckJFIb6 zqd&u%K`h?A=K-$QL|7_uIM3!VjJ~}#awGR8(_@EAacVUEjn~<;2BGa?LARjp#OQLe z!FKb5j#n63hb_y`r8#+FS52BO+S@G2x;OFYIG`LN%GYOg#4oJB)UHFYsbhPR#SUDT;4Bs-nW49Zpg5lT}kC^#k^UStjrsXqw zJ>FJ}PU+M4g~?hN>ex}sZH7SO;D%g`zt*R!x%}W^!2U~kA$?#RvE2VMkpBox`9ttG{Q;P2_&ACBmk#o78Qa~13joIO8isE6 zA$}Aietfn1CleV1|BZX@;4Ngw@1f3+77pkJH55ii03mYu((hV0t-*y@(P^%qrorUx&{Py_##Zg7$ zb^*W-4>^7a5HQu@fO!yYa=jFm54bbgc`$xCn*8PZhAj~R9ba13#&19gmBLoa*bzB& z6!j=<)5G|O3)L1TnE6%8q(UN&)vjr`-KYf2X8oRuaB3Mt2xaX^aH$q zgvXNo05>D$F%V7~Libk_q`wwt`&Y>iF9B+*;UmP+Pmo(+GRYU=>81MB9M;o%gR}%) z$N2--DBnRYQ=PUX1p}2HxEH$D4c*wvxBvzC1yUSLg$!Q1&gTNWeim@=DLC>^BQJsX zcYRC92>_4u+^9v#DgZ|CKCT4_D}V{Aag3@N!c7Dzr$Nq0u1D{GoCj|+ScYT*B*1v*vM|BI1gIJxS_Fzfm#jwVd$^(9tvP6F zzilZi1=lq&V4Ng^R|KYmEP)F^i%hc5XrVSLJLmE)x zefUO2;0Wm++n=Jy)%5r!bQ|SEUm;&Ti;lX}Yl8rXTcJ5xap?VHpl-u2R|B`_xmnBs zi6(fY^Bx~qKqqmV=n(*!{y>@j07^PBn^NdBVu$vXR6PRlQg5Uuf!Tt|zQW*bHUb(2 zBY#*05Q4lB;vi6FOdI{!Z^iqs3so(3%*(qRa*(qf_2sBCt|*yz8ov-3vz-B_GrV`9 z2B(4?{Q4rb(9JC{A(39aNrV_DZ68_8K*QT3(8Cdz?d3#8{Na9M!b{5v$1uko?rmOa zFAbXVjFa+7tztG%ID4y%XV$`?E@hM!NzC-f2VTRp7lYyUm8`3i(s`|X-4mjIZ^@8n z$FOGOOld?LM6GkXq{*w5#oyVYuNp^3bwit?@sqD+OG=ONmpnTr7g=Fd2|5dTO~D8T zAMV%gSJXk#BWq}Br}EWL&9yCXFVn?Xiw9}v4JLG=*z8*tN{#E_k{(&l>{Rq<3a`JV zQ-xXGVjG8VdL^ySn;UbF)u}qIxf6q0vae@5%uC+OVKW#Ltq#7DnOYO0245x;Kr=XT z)S(5;H%k7(!d%yFOL2zoa;j$ncPG9o4A(=Ookwb1h~?v48~*00*1T^Yy7X#_Jy@@} zIL=(H75;~~;J1xR05+#^*l9N?RnWCDZN|}uFxJK(U#^UY?m1IV0YcVS1#`=a<#~6L zq!f;{u~hTSs10JIuj4g;U#XIABi1vis8H!|%kFnBOxw$s)TIp|weHo{N968oUwZXw zk>)MGNR)yN^*}K|GrtvEE+UVA>t_FQ2GM|ZN^GY;QLu9$#CPJrVOKDz{@Ph~`e~Fo zsZ+3=#T!TOc19slsLbX@!?_WGn*~?TN5;0N<|(^Du{pjcw*%fkRwpFYH^iKIT%7x0 z_JC@dI?~PTszW?BSN<`FIgnx6JzHXfCu?pJRjDWV)mBfi%xU68dt_n}Eo5i~9W{ zNp^f+?KV8Ud&RnD`-dEST8vva`{dvV7nPym74A3?n|=2Z)UnnXhs@aTW6NmP=4v_* z%nO-@UwjF=A|Ei^s_N~viswSL6rubZR;619P}0ub&!48r1i$OjxS5Ac_u^YD$WveU z8eYiH>uD!TJPk?I@O!U7Y}v-$G;?R>aYx@Y9qqDlDC{(fyk0W6Wb~Z76m#NRai)cv zccygyT83{^igZ3ETVo*U1s#87n4sG8u-pgl)RyvFPG)w?Q~lnd@TfS_j_y*ERPEN> z*`@Y=@-+b7J6L9UmYV5q>_TJ3Q$ypgy8L__mHXp53f^9lMyfxw1(_0bb$c}@@3}W& zlQCD&f$d1VhD&`}QrG1Pl{kg+#}(IUbK^f!>L$7w#Il0;C)dFXQn9CodZ*{dpj5YT z$uf?G9C=}dFJFVM&i8*y7#oX;d&XDFF~SkagkVrGI>f2Vvsp-@+Aw_X#FN>1?(}R> zON`-_vOFG1RURt2+4#ur$q0z1+3PstF$0iGF75H5G3*JB3mNoiRsXj0=g#X5z1)x5 zPpX;RJn=B2nu_C0e|CQ2CQZxya;h{13`e%Qz*Na_RCjdkGEa%hrQDxwH?-?z+=z!Cg6*E%|M3}%hP(B^t36_4WWhv-7a0L|Wq$SLPNfP6A9;5aAF==8&~6O++q(Sex%kgN z_&N4(3r)&eq2Hv@%v!UX3WIpN29fis@mtLiEzVPulb#9glRZ_E84d$YRViUd#VbYm zIrHXUF5EYytW+Ahae|fM&81aiwCnt-`G)kThnQh6f@YnF+l#DErxqcnZg2hohn_Hs z3^DGG)n@gS#Xx&Etp|{B`Gt0cV)A`T+Iw-=-WINCceZl3B~E;6zC4oT8oFW}_&Dfx zfX{a8;k@R9=Q5l2%kKM(5{5fAh)4{dp&QZMMc;5p+u*%bJ&w&zh>@fAn&@Cwq!ibA z{KW*U@7f1x|Aeg*gz(MWsG6rVxwzgo_X`Z=%P(}aP1T1#3_b5_E@|D9SZAloXzF|L z(&FWo^5kpGgduhKc^Q1ROUoDx&64BpsyQ7GlW6n~f{HXs-FTX3{oq3#6dYSP@Kh9X zTANjTQ$9$gTpe%%JLQpk!W!FbZZvCOwe9aR0$0v)t${~fPRd=6;-(4Lk5|^=cMl*e zPOa2iV2F|AP3VoL%_5ZP)t3%&Dz{dHPnN1@eO?cqBgaC1ZN9&(=@f~hPj%_a(b|t- z+g}}ESOBg}5yLjos+_uh(<5O_e=9F!Jjq}W`nYF(8UCZSna;Kb} zbMCYEwfDYeehj{9;3!)!FHA1R7)8sd>6{363xT(M&fS(esK+K1>22UdKfcH&0J5Lt zf5?6;mo3Fw83Wk|@5;FUq7hCP;-?>R zS|yYkHvLH3Gfh4fr-i0~H;J`Wmw3S@m4UMH-0qd1qk4%dtFrooCy7$Julbr1%A-Rm zEDHzn5I^9LY z*sN!h9P=Rr;0YcNT2fCKE7h*QrDpeaHj^IMt*4uG%kswz(6o(+rtZ>#5(5Q;#37|T z4~jycRB3c-h4d6qTww#ap&tHk3Q!ImF)0mxS5CuID4*ANb+xCaPEvlw)AB4jUFq?GmA@|r9X|eo)y5I(;pvuE?sJT1%eh(?fFtZ;WM0nhkn54 ze7pq2uXY0Zk&Bxekm|oct2Fjfuyen4*it4C8Ndm6J))(MoVzu#;8E!I(h)EA2{rCV z^`$savGRxfYzR;T^cW}Q^wO|Po88#janrwHV)UPwSok+4{=d_H5j)%d4@~?Q=s$qs zg#abMQl13hG+Gq>)gkch*xo(i2QNXl4-NrfC56>Xtu0t?-HbgYx;!MhTte5PBfuW; z+U$o%s{cUO^(|M}s8ZbSK{rPK?EUZOL2h0hDge)O-(w)RlN2i{=?C}>i-52Ap8E^% zcjH&!f9`qzf}!B+kVHc0azg-6sx)0TPR2fgtO|Nc;fJ6H*w2KAHK zvA0s&V~6xt2f3FWY_BbF-TMkBI_c>)=N1r8*Q_ zY;bZHdkEQHI-JEmd5HT_BRVO(q<*>ickTE;@4)U>-4B8N&fFjPlzk~%x!6lF!=I!J zyqBIPtB{Fs;LS8D_)_@+z#A~3LAO0DfDg>)aokUTAZ6Nz1PBkKdsy(kSIwly(K^m; z>GX&`iT<={*A#n1>w*U0s|WPb84(0X1^{`5=w^)~7&wG&A0Gksgcjhb#R4kM5PyND z82>dy{~WWK6UYE5Zecy}GWUE~A{!=^6()uampsRwbpvC+3aA9eSaY$3rN(NQD#&Ek zoYZE;F0K}81kFWbJBFpct~QJI>_}}x86*pry0@f`uRKXF)_{rG=(P*+g#c)J>FUG) zkmwxm%J71Nc9Z|_4Qd_5w?*_O}f(@sUd@P1A6c~?|&OFXFUD^OAn2jA+mVC^t8)V-$my;@*A;)j8tmYI#} z#~a^rnv3^%c7t~vK6Qx^xm()o!`&<#l)rYkr5l`b$;9*`_q25ky6cN}UM&ZwB&P>5 zrro-u+6{K_1Aj-hIbe*lcv+`=2dOwC8U#M8kz2E5SyZhfYV+XFFv$KLtC;y4CbI7Y zwY4;Q6Qe)^v%|G6)~?ir&emSfOtf>kS{ojTqg1S7*mdl~Oq%^e?JIBJ>myKNRep81 ztRm76L_~+dG$8Bj;j+^W@Fugy<_HSON-|FT1XV&B1&pfE%LT8SUJjG43-8D?HdYk$ zde>`Mo?D~p z%lS3sVaX<*1$ja_K0~pu`5MSTY(Kp++ahL@+6>cta`tN{f9em5-2VECa=gA+1us5O z=7{^t?VQ*)Q}fo17|Wk+o>RiHejelu6)Bvw-x|h!m=cg%6$)Q=cko;G8x7s?Lg1}G z3&LpwdsdxW&l#g$5eRx$Jhv&gh~cqkiV^iDCu{?`K>Uf@vQagTPfSH8ibVl)!ShIOXbcblm{5gUqXu}lvLr7ZAgy40j4wDu=8U-8H&zpew4?FpO& zkH!Q(u7pt*lCabd1MpT&>Hb^vvv(QP-d3%x$riyv+#|i56=mh`9tVQ(SQ20G`}R^6 zr1)3_-8C`F_+DI&Oi-jC%16KDtviVa%Ff_xcRcVD~6Aqg+tSWRfmuv?n^bDhcgM)UDCcO zv9p@Z#9{yNhdgs*rLK!Cd>LevhA`Rm3c?$9MchRZRYkGk)sMj^;Wbu&oN~vT#<3D@ zxEE)<2JX$@W8NVo5<8#54H62dh_llAGL5jDjZV0y}^``@yg{r z=9XKFklvvbha1r|M;0(obi-g#wkX1!y-B?hsC-kJ-yjTnUi(r-ZM|~QWdod;Vvl#3 zIUE|3XE)vnRK8{NY2Fqw+?D?w|7GQoYJ%)8-mQ+DhKnX|HJ6$=@IdQNk<`Q7NYyZS zTD!f9sBlVw?Ph$R5a&Yn&%_JKbFog;Y{x0O4egiIulx<=l_4vxzh*w7(5JvMb#buU z2v?c4u6OYB9Bb)iu4@Wqik2gMtyV!q1-ed@YOo5_GbMStmw$py4_6(`6W)ZIPRmVa zr|T+#Fky2Y5#7EEu_=RE3I-q9n{nv!vV3V8Qm)ZlWv6`dCl1(wt}kMcr|6so6GXg2 ztE{6#QVR19qv^C3h(ePE)RDr}eJv=9Mhf%m@Ok&oW=H>q7J7B_mBr;iHUFE2$8(=V z(^z_$KqTVt*d9?u_-%(9o&2G)D2&{vUK>^Ig`^luEjVdwUZ3r8lz*BXsj6~8E41L! z_OZwDfamekjI6BIDhKsJjY->hmfHN_t(lhA+{Hy{RabD+hKgYf13dS`yQVJyDRE1d zP#!e6aMRqTl2QD{&YRPaY&aY!k9*!R^C3nqvPW#P;5%{LViY8Zr?=0;Ls;MkNr0Kl zIm>s;%PVbJ^|$SQg;k_jV#&U0L~@f=f6L~7{Uz34%-v>T)kRK2n(l+y$rIS;kReXnHVf<9PezV2bDB&_b)hv!#xyFuF=>>Or=rNib$ z{kla7;te`F$_9H1vXYmjXMCH>Ba%ytt`3Nh-BW#ANv?TvP*YCkV7N)&3VZ*29qsjB4M zRe0Ux@$p@HkY2&<%|LLX+ntNI!(tB(M#M7Xf43+Sn8=9eFK#SjxrS6`Z=y;IeI!9` zZzzO*iWbx9Yhf3j^}0!>(|CLDp{5!c#ryL4aK&I1BGWfHLEG7N2dl;HW5u4nkk&h` zb3b{im33JJ<8`dw^^Hp_8)ps*5mFEe$?6K}Qcq0{F}~uSXt?JJ-hZEQ0SF~B+Yh3?Oo8{qqIAYYNVzTliIyANf$Xib>vJ9h{Wf&k(Wuob44>KF-uhRyl*B@LV(gUCM zY{r(tPoGHFXCxqmrx1b4{(^!*k8EGoJ}w0i^WEq7XG(J}WoGdH*x7!J;7p;9>?Do+ zo3~ME88H>5c9v%}Vj=Zx!MUv5g2paQ5gT55mF0bc9?$bL3ri_0d8jpN4YeEq#k9?`JQXsg0cUm$*?1PM~{Eo`0SAt<~*SB?S=n7BE z&DXOM?SU;H!<@g|wPl zb53NIMR{T1AEtW3B~+r9^ES37wX~h(yPT3U+3Sj&R6DO(uB)T#ARdko8Z=U6*4D$N z)@N?)$FN3w1VeR4vT5iWtxpQWl*XM~B1&h8YaxS+>nP!8`?m8f>L==I&*JuMMXyWU zzsbdn7fM!vZBjzBT*CegIhlKiyI*~nh)G6tg&&x@toJ^rTk3Dd{3O>-cIi=~H@DyO z4{=djwe8~?)l6Ct<0Q;c)?|5qXXydq07)=Cc*zOw^3#*v#ol4qNKbeI5l5MOO^4?e zm1l(p=VWyMITmGcRlb=`3_l0C6h1p_iiYj94d^7CYMFGA+7D&4$ z@Y`63K3Saevu*wP{fEg{rh%BEO0N9dRX~Tf8)Xb3voCn zV-rEG$tYv=gC&Ylh>DaGeC7mqbO}M+ky+1i3IsVhXlH?5=qIIZXcHtBTglx}Q4Cb2 zYlWhSIF$#kHb=y(`B)5$C|=657MRgJFlQGMd=pw8*c;SSnFBaP7b35|qTT`~jo(2x zK;3&uwX{?9KQ?y}`VY-rfIQl>4M&s+Zg;bl2B^1816_cjo0kXZj1CAU7l2Vhi8ygl zAkPXD;sd~=T%4%yg%#$KY&R79PYm=gP$c>bx^fl{z!<`gV6>-#aVAt}U&|Um+l>5UDP&Th%O5%Q7W@fkaPLaa<~2 zuaBwFlTBwfaeVzftFixW?Yr;-c*}4A=ezs`deRLPP=R56R9M3UoBbQoKZ62! z)Bk<-8$kNC|0;ji0azG7`d4!Qbpd?){}jL{ovH^v)5P$=PS}qA4e68q8`1|*Yi|R< z6d-OpbeZU_77rdjN!T-$rk)2W45 z-sa|Tkqb|x!G`Aj#BWu}6wY7Ojx-}^s(N5wxTU3}_gImeZCP-n=eA$xAsC^CdiY@D zq4g)$>-<}5gw8Km&=)O$PuiiSU4WC0FDxG4rJ<&wy7zy5%e+yv+}H3+)ft0w@6Bs|VcyOl8*z>_Td>GCT_B#=z`wWJQJj)I4O47K+6K{7`zQZn&sa z(^G9JEYU1<8Mr3(YD>%#C$(X%8{^$_)q%zQZ5V+C9Aq&!cFAuHnbQKsm0l4UbQKeD z!z1Tv&N#NoIXnOQ)-HCTxuqLXR<&n0KmXhfuE{5t(=)6s_xQP-wlXc4;GH~tk}0?} zWDn|vnf4p*b}-BtZgcXiZ80$%B&gsq)A%tIO&vItpOkFQ2{;6WwgYB?zIeIs5U0q+ z9-B5LjQ(oIGhuZ|Kq{GY-WS=j@gl%r+IV8M(QQDF)4zuy zRqsm0Jp>pVC!EwOkEhc!z(cowj*~dRpuxa0gFygFO-TwPr_!E(2BFihD_d?_nvK$I#nlEG3M<^P)EvUR3z8>`=Z-0wKcPZJ_XdiU zq@4&fK#(x)7h7_P%v_`+nPf>uMxwJ*9?%j; zAtSHmX3_o0f?16bH!oDkUiIQhx1nGD$g?+@B$0`hG-^gh<$1|?6nyZ{Y+WR}; zv7kR!)$OJF{tZpicR1<3YKTdlaG!=-n5nYV_wr{~w$(x2$5)mr+JE2?dNwhq&oN_J z9<1oYHayvBIjl1O)D&Hcau+jwqKC0 zaoq}m%~-j%(S&LXQBaVO5Rj>AYijE23z9eP_mNvR3~fc8u-wY^A8&5SK&?<4dJn5I z6RP2{B-lK~V>_gEf4t&dZI-g(b&Ht8Tmb|U=p7H3oE_!eAOdS&9K1|}Mb@l~1{UT5EOMANo9WgEfk48vCfn=HK z!C0S34D`_W9N76;x*hiHQ!E||IeyuyBXvd%nus?F-na6^y-a@g;L%~))c(X8=b3w) zE=*OONzPa@t6I;gKsX+lmdQ9b9bZGBr&ML~5<{$RJvc0Kyw-<#OPZASf%0=OY;!RXhyd8HJQj|a3 z=aw@{!SuMbZJN3Xrv@7v>MRB%+~p+NCS&!r;7tDC#%Bo8ueb%b-kYVhA%~)EN|P&=XKQ3m+`(wwC|vZ zqXe=ek0qbO!@EBWcqa`Li-Nd9eR4k7-HuPveyjM&h^~cbr5Ew@084tZ@(mG$&cM2p z=IO3EDI#m*vmebHvv%aqhV6k(tlH%JL)mf`)F`ZL@kb zYTvCiGWex1cr^?jy!!F^NOJy{iN3KM|4h3|_-*arIK`*rRyES4(m1B4@Z*BHumKl(K1`N z4htUL&|zs3t=D~;x3!NoIORW7Md07Laki)&?pwDZk!ZVg3gZF12QWd)aauBVXUc0Y z%t#*DxqoIJuHi--%Amdi_L0XcL4fzbJBxTy%U&y)<(k$+g$-vBy<|ebXgk**n@aUL zB*Ap>^y1NeqK(;+2gIZMlByPa&oKpZP1P`>S5r>6&W(rufOzOKv}*1|aB5Qfdpvki zHlnOdR1dRiy%-rs#N=1ok*OE#K%I9v0Lmj~VNncZCyq!Y(vnV&l>^Bczs0m3wQZ`} zpVql?I7~W9DewugMww9}QdrY-n4(bL-O#7Lz-{R{X(Vi&^xK`mzU6}x5fbe5jcnL# zoVs*Mbyd~K-j3*G*0+h31H#QAT@+8MVPbw!=xQ>d{eE|xnrJc@0sac4@QryqqsF9v zv!AU#PJJC$!ITzAn-(Gyp-xjo{NQFu+t%>XcR5@a=6%;s_%~C}MtW^~5O=>`5G(mL z&WU~#2IUvL1{SrsQr%M?1KGMp`&4nBLm23fqCYjZ0`5X>Rt&z%!U zNP52n4}F=`QrF_Y>%!m6kv--n66LwjW94>{(aO$8Dee$p!C|Z|C^$8xw`avYJw2T) zB*gzpRh3YJ`_A`6Q6_fQkDy$CXzargRey-W;xS={ih}0U40z%ue=aL81EVxh|=zMRGPk57bMew+@7NhOfycEW~H8< zsTOkgbE1E9l0j3{G$xpb&q#+UMzS&YA!l2%>V|@!KKa!`B7$VCVL@k9xT#y5V@Dn`Xd?hhwhh%x|DpmKCD#>fMUGf(-Yc9XjnI!j7u<^+_z71RLQYzLObL zW$(g-c>|+o$7cg9v8NYTDF3l~jGvXrrExQ(#22biM>aKeJ-XTbU*iL^TB8h)TWLu# zd9E+u7hay5m93C|uba1@-Y=TCp-h_;vp)N*$vJ?L>|Rvn!iDitD}Ce=t)ElZ8F^Ak zX3c4IfF`YswocI|+f6&)E}_g2S6vPD9`8B-#NZh;zaLH1T$e4e%IbI!!|8FjZ7LH$EhPN&YXfG^V4j$?pc=bYtzCE>zQ z0e;^{IxqobxOCkJ!iQr$33r**o>b_53>$6sdl^Fr)6Agz3U`wfkm6kBOB|(Rqt5o$ zWR=F>PPXA;&B0g4D+5*sM@i1p z9^D>o&9IsZm@=VR@1{+HB4@80oq^(~y9ho4f$v6j7T>cfp@%hVmB*c(i!UGxl$J@x4CxXKkAu=7DVgXQODxRO3*@O>T#Ht@u|Q z14Kbb_|+y-%W}DtqfabKaR$gswefXZcxeaq>)bY4W#SoY;9SJbUVf10Z5edf=#kt+ z!5YFtK-|oQmh4_dToIk5!XxKi5M8;>x62sbLol%HytBF|S`a}Hql;snA;TW{u;lIl zUB?Y%73fz|$){}EylDajE5i7hMTB@*-d{22k>c)q{i7?#(s^|{6njZ)1TZ3`=6`nN zaHqwjLx$kr>5pqvFFU&&g}b1u!wWN44#2WLeg>EUwEbN!Brhrfl-_nKb)+w~+EWv} z1Zfq{JpyzTtEIImy3qV5t)_qM3BM08lQp++yxtIu0U#bYdqQvNKl&GlbLlB$4A{0$ zY%ia$qc3>(AV+hgSR<3}vMYStQv5M=GZF`QJpNtVH9+Rzv6uV1!|`7rD1NiUpY4sQ z{>SZ&-FVNHTL7Da4`k(=7JPT?$|5@aShxw+Z3Mo6Zm%8IV%aBg6E*EyX9zeh`!5hb zpn6$`BB_=N$NmAF=a$%|klHi3K;p8X2aI0&7?-oG+K~$MT62VMwgL=ZxoB$F6##an z#&VDPrFQnf{uf=R!!^<;|6WMAt1-naeed$zzHqLUKh#902OMJOy5=|O-7b34n`v|AjSCLf#NW(5&F5M! zSl17^c7y4Ij|Hu}@Vrx}a5GIga+dS=S~8MrH!w_!m+7p*_l|KMDwfYDBDy&Cf-VE$ z38}ePXbf;umk9%c{`Me<*bUq&@A+Nu0YK{zmSR(Yv(7kJK6hUe1Mov~K=cl4?mze< zV15jb;e7AR0rD1)-`CFKJed};0GcNSI4rZ@9M+*5r3SxcWl&pEJJz=sFYi4w+slU&QdJOhSlQwmOWCG{iP8~@6C0Q~pY{QG+a zUK5Km(OGr&9K%Ht194FsOTDBsxWA1NpaJ{O28nA4p!Vzm4)1gA6%MkpjKf?4@dY;| ze-nPelel4?-}D|p4--lOgr6UI|3!l}l&;VTFf4H>k zZ!9P9Gk>}!(Qz`4w)o>Cn)_lBUB!HL<=m;3%(oojL?X@JgN zdlsRr^`j^Bj}Ml8m#iHs4s@f_a};%Axx%uw$X+ppUGMeV7OpcY?K%{05-%R=ggMqZ zJ^S=LUVG++(1I%GkMU$7In9uW@$q2M&9hF#Si@0joB!BI$8F@3N%!%zx#YO)2jl(I zI(VV9l$P(3DH)FC!NXvgzt;vFl&%V)8EidH5mKZF#xe^F;n{HNjo8mE{hsy?cfKrU z#-yzrc?b%uR@`R|{I+JJkj(6?sTWUtn5UQH242CTyLbG8P&w!kMCI@S!hQk{uV`y) zj!KE4kfUNrHc}6wU<&`ZD*+BWgTYPYPM6-VqpX%#LOf%9=Hd(y@*p4m)b{6d%F;wc zbYT6lE6J9_S%AYCYzu0+x|IAO6(hCrfB_Q%`6Yk0giB|K>@|y_}p4Kbra7VDjif_{Am;Om730`UW@Lg`ER)>LwiqU?N#bu_?f6p27W| z7)15Ja+@3mIP6r&Noi3H8W*rM+~a7@R{PDddt~Y4M-SK6;Q0lM9GLEuVg`Y8L^jGZ zg-L+V;+Aj>gyD=c2YGgD0 zYwz${QS5E1r?a}esY9EGZEsHf*y2Vv1$?Lw-R}ibtIG$iTrcdi-!!#xmuNEUvrH#n z(1co|xsQg>-1Qv-eCDd1YfVi}bj*bUj?}XmHGglHIQ!oc4B^k#{NVzwEa1}q=&W-mcn*toqo>7-y zE|M;{{*Yibx!NPXB(7NKn(cU}pKU#2Sy;j+6rfV@ob`>T2y2AmVFq(l{~sStGkIP+t6odwxKQ zfsUq&vor_6>nqvZ`%O0@_)-}XO9bO5w;%_Yf@aeGnyjDMj5Cz0v2z{xx6`V;vTXw} zY?>^SI_nK>J{%m|Z!5n$-fntxlAL&Bc354YDHMs0FoKU7!o=L@kfYSt!BmgVq>cn- zB2Zc06???Bf(Xts;ye(MvDbQl;e6Yxw{Q_R%a(f%&2=AMaAgSC1kR%S1JJBMhlI1i|Tx-u;O_gE9h|qs{FfwY>RlHGb&ya0GlS3p3Jk5fVS1 zCchci89z+^wmugHL5d?Lzuy{z7q?u6H~ZkfmKRLfeZrKKK%gV`>eHhz7h!kK8=w$ouImxmwod4ZY&YHNO-LN954Hsj zck!%Q>6MY`4p6XSSV~Y8Vo_gb*p2cRSZ!aTXSEbcR??0hP*>8@kTs!DW)KgD(I|>1|>oS2X+j<2(!#Y*f02%Xs3_#YqRRGds73e=l7y-l1quA z!JW%NJv9;sgR8S$=<0t>X8rQ=3NB8D!opy?iuUq?lH%f$Pn%`sW!W?AK)mZr9|b5Zj#b+(DEg;5c7>+e)U2J=*#5?1eDy zDDotS#KQbRZ7NX(OHGD?l29RXnU>q&Uz?x6y=Tw!q)IY9y3|Qv8iW~DsiXcwT~$+hasR3yJg@uR>gAEk`sAa} z0dnSryH)Y!WiSnkUrUW-T5IhvMqPD%d@b>&NBTSaN#i}-CW=uj4MgLW==%ZZ;(=Fi zWZDKbe;ooDXX;b<(eJ)vKV< z=G0xYiluFGRniZ6#T~3q{ouRyD?D^_t``cZ1sXZM_wn-0(ZE!vG5M4J>(W)II&7$~x`-LjqN9(rI zve-Yy^}l8i|7j`s*Khna^*{2Tb$^5mm9D`3RnN7jGwFFFD6@gyE#*=Zxz?|QD2s;V zI$N4pDJHTzB67mqIwUD-8@1Gx6{D7zB*gZzm0<%j5p_& z1}4kC_(DOJt@*SR#!Mf#M_Ml)Cvpp(9b%mU$}FmjjLdpcOK0{dIU<3wU$D0XO3Z$7 z3>=GcmWC*OnU`a9tDmOpakQKbTz$xPc=d|HMBI{T_oPIqD>c>L!$4VE_fzVfx8Ft% zI0f&&TXABF!@twLofUYsjw%jAy4@6$9V$T1N8zRG-LkxmXDn+Vul;eF##K`UG}eh0 zE9JmA_%%zjQ`exBD|ocx?_YDIDQ9f_xRa4Y%gdxo`OX|3E;GF$!}GjV zUUri>FU3t|ngGx-ryCPa!rfNUN*)kJ2MYQHT*F+NMCmKO;Dnp?{1zO{F;G4|_U*Fm z^}&t9#|G`?{&q)NDu)saX*ncEx=)wfocNuxM%yHuYHNzpuR@JK=ciKiw;=U5;YTiXYq059)}+%EG`pQ=-{@xV4YJ&~*ea^GBW!>G+CKCYO8hHI`3lry zFPShEN4nD<*6RFTO@k?ZJmP73=PacUAnmIyt^0|E)~9`PB%L-2l*h8Ln!V(BN%vw* z&93C$+8i%X5sFQ6s2A&iTlHRgGvsW}F8QrnA4VCEo-10NZDN3DEA&0kLFD3uu- zQ!Ztfs?|D;jVV*4TdQi-#Mj(BzQb%gu4TM-kwdY-TUmexbQv2WgC8$W^fgWEeh+jU}<)C%IC zIo^uf3=AztWZ9igW}&dq%^HIZxMHHMd)3YjW9JsjA3F@8WUs$}m;{}~nJl|zw}`u1 zKRxW42DP`CMM*o5)w*lg5%-WqLVVymy{MkixLjp`*)( zS`$CkT=eZt9XyKfC{f21Woy_e&QlH0aacIhiI4~5(H+6iZD89r3>dk%{#JC@!o}Yz zdNu^cTIc@u(25~8e)pE-=BbyVm-x}*lHbjk(_4%*AH6G!zvyZn=@8JT?K*=2brGEj z3c6`;jZ0~{GN?d9PU$Yb{yUbk>Z%)1b~{OJBmzW-swp=V^3vG(PwUF>+e4|*!z+`0 zAZX;bd>3UTIdGAQ)9wa@bUBay0_{v5y9_TKn*Ib%^Y!$XtzBSsH16{%HneT!i_8DX zx-JR_nxWx_Yb}Aa?nZlh>^W`2{|iUi1fA1Bi{(J(LIJUq11{znEfSJ{HXI8T#){`? z1NKBJ$h`KfF&!ooG6~%JuPAG#1v) zaWd=J?QS|Mg-#p*^n92#Ie&7a6YCO z|3t|RO|zrfBdN<9pFkn{5~iR)%qqeCRP)tszQfsE@VAXzSD)bBw6;oD+nU9oyXNc` z23s-gFgvEHeTsM7DE+y#J%*WC-Rie;Ip2CMseDPg3+W_LL2Ix-JQn~`RXqV zDgvRK(C>?-=Zurd9cO-1CD#6qH(V)EmAj(ETO3|@t`KoApc{*dzJGy!VJvQ(@F^t^ z4XX;0yNEKuB($cc8gl#;kg88H4WrLG=*I3T5m>#i3@(B>QTU~;dx%in>wl!w%vn%% zvuo^(*ZdTgf)dW2QJWp9YTmz&x0#Zr9yXCT-jQq;BKSBWz|VLNk$JTC&<-85zK19u zadH3}B;^XHS7@qn*q*SsPf=!BY6IV@& z*{=tfH19We=p9$v7{enK7(v(L=c{@N4Kg?@Le&RQb{o;FSuIFd+8I3dzDpZeHkr6E zxZs+?jYyzVgCNDbcdQXdD{L@C?GPj(TVlMmjjaSA^O z(u1sq#uoK0Kc$@KmgS;;rL3x;AQO{Us%U3t=WC#sUs4*wUO;^Bo>l;e?p)K&O=!LM zOqc9Ijx2X?0>JgGP2nbI-yxAJO~KSQce&Z~UjPgd`7d@Uvt8xdbG6MO;XTzjjMS>^ z;($EdqTsXhrf{gZ<}8fR`W@Qfd1XOxO_*g&m=0WwQ&B)k{Xv6&m&SvY9zfFU3UTWH zG2{nreK@WG@WM$wcwCBxFJ+r`h}x{OY#CxE9obzM+@NT`F(UU3aBckFMs>;pWri8a zdlz<5ilmrH^2d202)2PQyT(B3^w$~MKgulA%@EeuNZ4BJC^9-})FP+0_L5WTxTk}~ zyWUpenW@v1Y_?xG=E^6A({87FmzBr0Py7>wG97<8K+XT|JJCxhf}} zEfX>?@oz+v437st^5^~WHso?h7H^jkw}*(uI-PO$U+&NXq9Z6!&t(|kgzWB&umNj6%6NNyc-W~4`8&`boPPi8*B_GcLM*`R|Izb&zk)%h)Td{I~cItK^`s2*z< z@9IFPXufMr+^(sAd4B(H=#pZcRp^jvNxIE!DBd+*|2FDNEb=?1>FW*fJi%q8{k26w zW;N|{JOl0NI~B{8l1216s(;UE^Z05lKy4u$hUoBP)d!N+_iCg>LPjh~eT~An_yD;W z^ie{7c~n)>Ns>_GHn&O*+U>Fa1 zHBre0uWmk_bg4^&`4N<}I6ki|ye>;oR`HoY%g5um?ELK^HN|1=Dv^p;GY@lVsvnX{ z7@>7f!t(QqSI(pLFX%VoLPDQ4r*xzv7oN|TS)|pXnTQA$apwvs)yIpjMB;92941p; z*~6TCR35zxKja^j1k2AEk-ik&1N8P+v+uuOWe;DC*(wX`?C9z0d?KfpHTYe#aaQfgnXY=BIQGP@Y zqf`vBeYR0Cchztr)D`M{9rhib%VMgeM7wzR$NjI44k!H8N}vMydF?z66IBwhD9q_M zg|eCS4CUMq(~=3qp5Ebcl1#REQBer#yWe%bxwfyIIva?ePF{ANy6=M#kH zrw!7UQ8z32g6HIK!cxcGo5IFc(;P@ns4hcmBX_metsynAl!1XdEV}|Rvu7_@7L=Rc z-k-%*!4n^eLM=CBNWel|YP!lD%>I@+Pk$(1r@Kj!sXb;Iu${96(ZE(WE;#WVJBa~( z>Ti<2CA`t}DuL35hyQavlP?XPiXL`TWb<+XB{2hkFIin`qv@C=blsjhjFN!%t+|yD zs7F2Fy;{IwNR|5^$HnRo&}9kwhd{wxcTi&P@Rjqp*0Y~mxADgvM8hN52zi{Wo!Ui5 z-YBaYl9fDxV%qBAOw(HR9i19$WhFl@_1@Ai86xNrh}M%Ff;|-Qf96w110Ex1c5jeSoT4=D(zh2Kj6|ry(14O#~bdy0I6#z2wn^H z$?!N*y@)(~$a+}1Hs<2hFHM(|8Q?^`lie1ZK;P`IGtyV3XPh3DlWk|W649P$Z&5Cz zFUQ4Wonl&<3!Xg9Okg@i!x#0|h15@UPJey(Q+WSLY=bq4MA|rlndaOkxDmfbz%TPV zncJrL(L(_N`jQ-1#z(*9Sb;ih3helwm#ecTijE&0kJ+M3Vv#wmD3V;k&nT6qML$0u z^9j-U5nr9*;K9jRrB7Amc`3wok)xWgkCSbx2pSs(sjpQ@-#vnG|2#_NLL;i%2rmI2 z0V7Kpm@sK8jw6rf{&u{pSdyj7R%CKp*iq6&tmTH%wIyvU*MvaIlDyJ({%=LxpUSC; znqo*z-_+#oAsZHGb!Uy68s3ux%dN8tJpI-_7Qn+T8pXPDzID(_*tn;s%O>U&;2#mwg>rdFqRlgmuiJG0$eZkcXeR zYmgIKW;Y0pU*W%shXoDn>iteY+3dgBXR7Ak4*$8GLsV*#wpX49c#cVF+KgedZ4qgV zg?4uAxuZ$>Wfd7#hw?UWwWl5Q*U#uS4`>iDWYgZx1I5MpU~Ri!EM7O7P@b0e2FP_^ z_AxuNL~&zQ4y6-#LJ+r}D*&L5yp@3u$(lpt^ev*w_@>l-`N%b{irManlc4N>zyAQL zjuhU(v-8v=;qnenC>x!ChR`=nB4X2N zhpkQQ!KPr4Cp_g+e{cd6VV=kL?puXCb3FW`jGb17$FZSYjNXKNjtFx+#cF~GK8(ec z-OAlrUtfTmt5ECiol(E-#Y7%(_=h-ecwA5tnD6} zEi|8hGPA&Q`~al&Wny4s@9cP;LEf z^>N#SY}7+w;hH3Wc=7!Hm%Mm===YHD^SI|N^oE!V>~5>EEuawj|EPQGs3^PkZ5X5! z1Pl;qq#Hp(azLcJTSU60n^9B{5Qa|aZUJFnC;^cYkd6U{?g7aGh8f@MzJKvOKKD~= zec$`X_m^wUVqbfE#op(6oX2?_!~nseZg1A|yvmH^5}nRPU-r zfM*dQ+ClXR;K`h$dSqb8>EVbA00w|}%b0*yj0eE`gklJ186+5h3|aw%Km~+kc5A+i zdJS--dPl&=6FH|Z_}`N^50<+B2Cn@tWd9co|NqJl84s0|Y*Y+PjC&ruOdw!N^19p0 zq;#!UjmK?(Yp>Ca=^zJ1tw{q_D`;=^&fR9BIbCx7Yp_c+{?F|+~AdDl# zSy#cob-hOpKY-@6p6ICiBKoSR(QWmMwO{Wyi?+jEXpDt$TKnAI%^|Rx%el~Dw?br8 z1%10%@?1TJROA_R3$5@Y>z&%;CoyV#bf4~$jDNzXLm z1}T^w__nig9ZReGQY|e|KcUFOo@0fQkj)WaA}Y*v{|u~l>f2#fvDK<@D12oqLK+wv z=&Y5a54(gEHD5}DjFuZ?EXiQ>A{S0Yp%%fL;51F6^=!*^pu4Q}B4d^nd791=_92pG z_8j? zrljH6Ty_b$5te71IdB5-9+jXG1PfRTy#Yz>NAF954jP>Dqc9R(DmPAYmt-B~G%<2- z5!I|)3FuT6TA@$+j>I4v{^ajW|H*KiGA0Ahq4g6`1y zk@o$o?&kK2-NA_DBV~e_ES}QDA_7X>$J#`x%3AhqcmKCW|L5*`0_yWx*}Nn<_fVQk zztZ;(+FVO{0&LGZA_|n;HcPuZ6s5hVji7_=3ho{|jt9{xF6%-~;Q(wIBLTDUUlUtg z&H05>&9MEAsK1sGBz7?$FcQ4DBOBswU5-;aM!2wsmA>_4S4c4c0? zLp_-;l+9JhIWMJhE8s)|Rgp~~V@s|Q0;WZ#Bu??gNg{*eEsqTbQOgq}e(Cx9lkYFE8= zI`ea*s#mOEYv&)9ShrOG7`gvzVwHc>zrvaS@X zob~km)>1{``_AO#q?tFJbd13t47ugG9=t9Ex^D7s-KVrNkPb$IGu*#%VmYs-kXdiu z=VIw=Q3jr8sb=G^ZQ7v^R?fOu!j8qrg zwa-TE@--|-8_cw+v;txl&S#q6=gkDf@I{1lM$Tv>DD^(1s5F-Lxl{QosE2#v}tEpw)LVrY{1i5eYv#lLKjO!^mAddO z*Jjs^qB(cmi4_pU=Q2=XVLH|wq6^#kl6`m-@yHm+FZQIy8{<#>rfR?AJf9;G2)2EP z>wwUAm6c3dt>!(}5_oo$BY0pAZNfYCH6p*wrn*BMWX{!RLZwy(CLw#{{zB&c?~2L) zSrG7R>fd4j3uf563x{ED73cl1P&qeV?~}}eHN`;kr6(2p%P9=?Gl^@5#?*u|bx~=0 zjb0uG>pTQcJvAt8Z{+(h@xhb8!8I?9(lK2P>pWcDu9N4B&vGXl`P`Z(T8y4OEaT3$ofFXz4^}HUs)TD>!$rGUrRV14u{mP+iR~q{= zd$GnwFQ5BP%w$DV{3LF2lEm|h51aLv811!)DJ{#5mbAFp5K0|fJEp+d554RH;$l`h;R|Gmjm&BSGHofj$PrS@B zZKdQu9>fTEo%=+oI|^I%W&K4V0?g{n9e5U-!5t+ZAN^cUNy}u`hTZ*Wn`PpA*%r3SzY$;^K#F zUMzX$K&gTZT65iknnedBRc_xlnJF92A*I6%=zP{XLZbm2biNh07SmRbag~=A~S_@NFJbhh)(z00D>VorEQh^uc z`}7?da{*Tylk-gjt^6x+lMmU5k>!e&hej)2#!j<`v*xxgd^_RQVXzG4LcIal?Sqy~ z-(~w2*E7lDg~zGx&F|PEdmIk?@)QG)Hf7)6@@!ruO zL6m#PLAm@B(~tNM4C$E4ZI0iniy_xLl9UF-F4TS|R(Z`)p+vgGLKa^1mdf1(>T!5OTTWF6fXKkbXtsvF($h2f*X8C~|B7 z3qWIjADAw4fB;Na?qUOTu$5}lqk*UemSf1voE+aWH7k@ z#Sb@ak?T_TL#Y&(`nuj3-vP9)lq_V{HJxWJ#;xl%$Sm7T8ZT0EW4VJzdns*2ZWdm< z&h#pf3ox9mzwie-9I`_sb>0`dJe%9k%As_#EJqF5;5x1hH1MbUwy#x|6qoh!Pt1p1 z&o6gKPl9u{(gHVW#|^3$mf#*X_278kTQLJS!y5{eCRN!7d@Ah)sn#e#OUYmL$|=eYf2R z+YEPexN10w@mh^azLihq%cCKiYe@D^zJP~?^QG)M#Z`U#+%e;+>Z+Uf24lGy82`sz zo=;D;oeLv)VRUysR8kw45!DomeFa0Bw4yGy3NDCmnc6Agq9!5%XcamMAAo}SzEgYi=aq83Iw1(UPNTN&zw&~d3SE`KH-AqPhT{=32_tnoTzR4Rn{LNe@tW0&Kb~ zPmPa=)u5zy)-R62VRtHVhl_+%^;C+eb!7v`;Rr3*rq*v~2sJ1ISkF-hw~U(iFC6(* z8V4psOE;rZQys-|kD4f>o%QKDs`y!Uaej7nhQwazbZ$jLvQ5mCQ!Rxd+ZVx2SSvsf z2t^!=i7GFd!{HBKJCLhL})m-`jp!8;?WI}7GoK9VWfO7=jnL;amUnnDu4CIs%y-%@hL z7yVIcY%N<(fP<@mlbcI{*QZwnoF2>ajGP@~T78(JL#W%DO`?nUy&FPiu*W$y=HZ2zqvcW-Kn(+R6UWNeoP31BEkNE-a+%TNVmvQo3Oy}Rp zjA%~KLyMo#W#^T}T11Jxc0Zem=QXX#EtQhKu6r~*(y{SKmkN36<4sLWJYXN2pU@ap zPLaT6ZR@`v&xMbN6hUTHuiE=T5wdl&T6dM0AC|);l6|Z;s8#R-8Invb=4UL-EKR(uRNXfZ9wd*Z7hm*-XbRCno#n}p`9a*UJ6q}dJf3Dhu>VkL&aIeA_LpI!Wnn;Vs*5A533H#X*ETp4C zvZ6RJ=M1;tV5uHLdkjroo%Tz$4c)p$voTLiq5n2H2-rq&UGMPlm;31!5S6C`0+ly| zpJ&#GK6Vv|&-9Hf_oM2)+MIKfyPjT@S_NIVCFEg~7#~~RVXsUjfhAIlI~GR~t8!E% zhM8Vaq6AU60bV)#7ZPXBTYOQJ_ljhxw8Q;d(x%%0;Sqj|1s)3?WyS-?K4iy{LXF7W zQ-H;6pHLUQ7vnWsuc+>>JngaK@$dgkB zp(*q@eR|)RPOlQ1otDc)f=&b4Q@ z>o*1>S{tos@5q{@Ku82}(>Rm$p2e3I=RQ7pbcV{bTXXYT-**fza&^Ov!@1#*Q6}_C znc#!VoCpokm=;-ks+pe8bH8uX@RmVmo2_Frd!J>&%xL!w!Q*Z{rG)Mc&gGh3!?6k3 zF?n9SF?}AOibezpW^Ju+g75FUxUJa0-p$f0GllCtxh~8CkUwV8YNY~It_OU!0IikC z5Fenm%Hiw3+|?R6*Wu_X6Xo#RV@zuCqv>yK$)OOnyC^HpOOsQXl@yBCWNzU~N__xI zf%@(x#OZ$d^rW^MYWpC2jYCalt$hi5vOaVSAKdP5h3D+>b+Q6lbpuZZ%7wS}qg;7| zBJG>lKdNkwEdIiY@9;f9i%HLR5ma5C-;Kk;_;)(Y#`ru^q11m7^$GVGUX=%CQ_I-? zFV%_v;D=w+|0>1avN=B;0}^U?zf(WOz4at}v{-=ESu16{PR4214}*ytk6Kd7Kj`by zeDIz6(ybR?uET4OEVG=DY44J{7-}?+?4R!)LY;`7-K$~J(`L{L=iI?%=}As_=-^;l zLQgEtle~X1o^i%tSX%=L@~lnZRORU5O=87c{zl(dT55Xp^yJ%aTSVg})XHVCadu|w zFi6kJHJD)(p4PV*z?|3Ry5}P!K(BV!K+8b4pOjCibeiSUwK+)pEjM)4{snAba(G=* zt;s_DsBh2d`+iS)+Dc)9ij2LqFT@${a^~9D?Fe5s-gC`UUNxXF0MwLmh5!zEz)hdB zkt@!@bxN77y=T?uIgLj;lh&}6Obn+lul7e<_1o^Qol0hrv**PbEbN*Q9B<~5MH)nI z_34T~_&(m4pu3t6_z256yLO~udfMMc@{^Ck@9JDS8LA*uD43vcOLxFG%l%jJL(hZv z_yrot1KQ_#r$_rNXu{tkc3HQlF<+NE*{q}vCa2#cxe+4)X^E+83 z$pw^Vj8+CJ@T|AhCo){V`(LvY>gl6XGFdc?G!l#F&Dsm7A!Wt4>)R;x_k}B6c5y=1 zFD?Q|=0YwV*v4D-c}`xEvDaS%RE+TP-+V2I+K%hp=q~HnNA+`Lg$&IyXGiMy4k5LUeH_pHPM32Cq<%&HsMOU|NcB7Og69Ae@t+dBacI{o|GX`Kzac@s~^=5+@bW{lm40 zKZ&syzi{{jl7z05E@CDY3d|DkFC-@RXLle8Qyj`lZFZ5{d@>*&lf=@5D420A+MYcr zpyX$6GW>oiY1$i-kfi_|bPUq{;a=m<)P?6dJ}!j$>OTo342*b>p4voGEPW39T=cYg z4=ni$N4u?W1{$z&qf!0a+)@_Pbvq^}I~VR=rdUI(xKhV&5nDra@q;vKbn*QZ<#kG| zE;Y1(ws{S0n4F?vTjyGc^dWCpVa2ukbg{6@>(8k*^iT6UjuGb_T0am%J1vZEFH&i1 zLzR{b_h?v)OL}!y%TW9LsxDeK+CRp%lan)w8=ZKE)W;Pee9xRT%~ae&>;Xf-i;Wsr zvy>XUN!VV(xygi@*?`Fs@VL02#*aFbN8Vov+z?o-Ng(123Ty3jB+G*OM}O_Xx%-Wh z9fy^R71+C|qkzlk^-$~l<8*Se;S1VV5NbL6*HQAb znS#!BXkD9ZmvfS$NyGrS{>B5{sTO6JL$pMhzeO+~T()NJ$@qtsII7Qoe{U z70H!sriJJHT;0YtgT@mNlH0rs3csDL+PUp|gw*D6Wb*>PFVD2t5thyX&lx4ti*@F> z^7l?bV>*EfXNJ}<9D3>Cy8mxnU+6Hd*hQ2WHfQ(mvg*BAa|G6-!U|ji7)cT}hPGcq zQ9#yNq5uO3IG3x~(Y?zJFai&o9SaA{Ym7lVm}z~sgNK(r&wfMQ{wM@PfkgK${P<9< zwY}p^I~fE)qiFA9fTq1Y=_8?a~J=R8FajDDSfBE+nN03BB#VwQ>r+&!O4tLOL~Tm}b6 z0y#Tyw+-2Lx7x5wK!2b$k2>-(QZ&Ori5N3KcPDsTJJn85o-!;sji>>7%o=FT0OYo zs|g>l*|mW*OOj=ame*AEOc>}3$zz|G#c)B1?92)=vwhFMcQ^Y0yR;?BG-DL&}dNml6gY5#aNt!DFz(N13scKG0 zMsxJWFj|-ZV5UBRaUsUI`}ZT(|8{ZpKeFzJW(iCHmqx&5&(<*RS2P=_RYV8aJ?A8# z1z67*_=iOhivQ1>=lYWFJVYGO&? zQNW#quy9T;uH=p6tS}~mnZ$k>G%d<1!x{48g4l80KD_|OmX@G(jvTq;q-9=!?ops% zI6AJ>ep+^?t&LI^2OJ6iiHBD{ijqDh{;kyd=V#iwd8DwTS+)QgtS|G*%&ZJVdDvBm zi8)1m|K?|l{NAox=GemFIW~^z2?-U=ulCT=xTcu#aVv&WAqhwP2C8NOYGxVSTutIS zyz=;|sJB7R_*o}nQ=0{cUau27kwqlszS;5(|eunJ*^uVXvUxs^Ha=*>Wv zcw2)x=iT(0IICFOsgbs3#^aJpvqka0yJa~b&pqIsYy6*g?%?FcsP*m`M&krfw(zO1 z1Ovkuk^lOUo^&>0`GV~hPKc;s?UE;UtG&0@bnIX`RyIp9>*1|< zR|gc*!%E(>hD7AkB7WiYgO&sW#-}E%17Vs8^QRAgbZayR8aDgJNYm&{G|0c56&hcI zT`JbZe1FvE@x(W3&PGYQ%WmzUjj=VjK!|F?eu1~)ovUaw1Dl;OGF)*Z2l?Ld-tO<<;PAW3m(!3&SI!3V>iY11nQN`ulmLWIJoDbOg z7kAIi)KB069a`uZmY7G{KQbLjMKW|gsN=u7Tk_R-;Ts9M76snuaJkYLrrD>fs=)Nc zXqJ|96Li_jR_QC>_z)-J@3IwM*|z4V&G=ie`bYWIkmL#$8tk6)8Uv4AnDDf%U(jeS zFZIZRPM`QV6^KEvwrW0h=}xMqtuNAsvO79t>(LCuwzG{5Erqq++q1vB%IqJto~;N+ zXCMpg>YJE?W$!#lT1vBdylOmi9#&wgA{6v!e`*uyF4!#|zqXzkq2oiK)ul}6@J%+E z&|g=9hLkKqD-UGpjx3oAVst!{>He;_PnzET@cz(H<0JjizIdCTvw_AtTTTgF07P&k zyRx%Gtz3%(3ASEntiV9LI%ZL3b`hQp$ptB*6gJ*$Cdx-lQMY@mKf7ioryN2}+*(|_ z2BGs$>lAn6`nt-$T!fLzZN;2L9L;Tqj$AZMfJ7_W;j}Q=O7sKvjX7=C54MV8^gu)?INWpO~kcHNYKd5TDrIG^JuFz4Tbp*92#x5Ev>>Kdf?G(fy2~T1a<79*mnyG!Wh5sEjd?tn@>wnsKSoKd zSrZgr>=Wz>2kbh#D6^bQgr`qTeW+p5Up8-7?Uc_`ZUJ?}tiNX9l!22kZz1IDM zKE1CeWd`Pg0Ha5DWlQF$IxO2I*7UQIn#OIQ7#o=&B9TV!FFr8e5i<0#x6X5FE*F8Y zP$Jg<;S?V>kwJrNnxKF~`IxA(cSGZ7B*m9>HO-HZd0=>9Bak?Yv#69nL8SNRi9kun;Y3PNq8KV)p3*+a9Xr+EQT!RvWCC+vYz&YK3_ZtNDNx= zL-yMkWnb#YM`kzf(2_ns`Tl63o()T=6TK6Jb- zDYe$N9n@gIQH}ZY;4v@^#jW(KD+Elgm(fT65QmU!MvLu*&9ea%!yq zuWn|P-9n8oJ;G)2Z1zLYyTWZ@m&X;~I+NaH+>*^Z+IX zeKWSmm{D7E~gGDB?Gq@Ad@zR6)nmLPE@R`r_WilWY!Dp@C*TS{__wU%EU_=#C%6`w6c7u0hcexaA7WVOuC>sr z@ScT#VTv#P;xvVnC7@ul()IjyZ&iMD5o-&k+HN-smOu`FD(+=-JIn2oLG4nxu6%dvz*Je%#)=|}e0GrO87tjz*m z$C`55PV7`Zd#IUnTmPd`*`o!ls%GdJIxQeXKO{(gMfN3^)Ke9DIe2%@Z;}gr8-)CJ1WqTMNW*x$Ix^tUrJF z_2XaRWxIBub4~Z20oU=Fg}kF)&(++DUY|A|p9u2?_4{*8c?jC@*l3=X`iGI-Yqibl z_r}T!3&R}3DnHrnXhTa>zJP#U=O)5w*YyK8UR!3f@XNsr{m_h^*y}qqZy%jQm>*u< z`n2Mhnd3FAL?s!H9+{3ey zSrbj&(8xYJ?6%Mo|55ctUiWjsQ);Pq>iO3Vozr z<-<($Q#j;T4)0MKD5bOhAY)y!uRK@~;3!mzKH@?6jP+20> zvV1fWFFo4~n6sxkqEZe5I%0k8j=sA&a+VhTmgSD1qtt7;zMR4RoofR}UH` z^t?!|6!2y5lPa?B`#_ZjxQnl;q-^>DG=VU{u1>^+PH|B&uco*poNZ`xz2C;VtVBr3OkME2eHit5nt;#kIH5UzhIQx4o-oL8&tyZ^A}EK=~lWv;Kni%=F{+Kaw=GP ziv|!ZS}!XvFWuE>kXF!8KN7Cjl75;bz&ftMlH&Km7-;82CoG{-7cw2J8XHlJfw7As z#N|*gz(X*-m;{&3$$vSFan9NDWfy!XEsof zJyRja;Ewj?<`#U@9<>%cIULircH}B`D0_g*TwGB2j%&MY<(anvzRo+mpSeLF*5Wr3 zRBW93zN^R-W+Yx@7d~E9E>JEoRm{ba#`(fnU!H;WvAl0{LH`pRy#6xjT1&`@o|p&H zK+!hC#do1F$$btV9Y{Pd*UWt;oLncT{O(>cEIY>p_Q3ot`qS~{5fu#0V=>G!ivk^T z0bLt+;+G#ix^-LEgI4nJ`u&@Ngg4PWp7LHbjX`yDU6wxZj&I9&I;>yGqjEXf(wBv} zYp$)U^x->j9MoYve<#c*wLJ2(2ax}s8!GP*ASrxfjbq@QkJ7ZNhhM%un^mF-G z`>;NJ)oEhz-bh1MP{~v%L8y?SMPq=j*LG;WzV?{yjp5wUEGtejZ&A`c#W7{F7=!1) zE}RDnHg*}B2UpLGWQV3c&gke}K_D^lNB~#}fm}^#o&Vh0_`iY60p>@&ryV$^b6O|0 zqNro^^h?;7JeC63Dr{%#z=x*3%0a)5LCuY{SOQ%o?x4*CEwplG^;66ec>C}eaP;NC7)R&h z?R)Of{`E}VdUTZrLe6$jVNapr60uk4d5FQVo2SoLcnD+~)XgvXq<5p6O%8b|>FWYC@@H-a|0 z6IU^*r?|GCy-JR?N=m|UJYy<9Nw@$Ia1}fNp}%U>0{B=)e+m@Mc;0IX3DEn6gX0>3 zrI_v5dksd`R08nY8(s7-oEvMFXQqg=p=ryLyZ27kz;%(0ykNYhqmBdc)-E7?N(#`P zx-!KuaRQiz(}QynKocli0eJbU0AlLdnRdoK4azLVX&6KDtc3#}DU(iU|`Gg+dY0gosrOYpK(GE&Knv(-97``?a8zT?*Ozq)^mf!mI}(oO#ZZunIt@>^U3~;x9tAG zMZy<%E?<+2g!J!;JEw?4(t4W`)qT+<(K+N>$x>R^I8qfm%3LKrZ%-C|o`Pv`jZX7n z4g><;q&1ShJz~|4-ksq)#h8ggXbd3Fa!M>reT+1VssaYzpdC zh~0sujO8W(v$zXh011%uGXS|l2u?GgK`3A|DIx7+Jl5RBj#me+l`Mj=Ia-py-BJUi z<)J$@AtRV4zcbYT(3o~_xrzcK;q+oaV;0B_avHgZnY6yRM6Z@CBCt6fK*eH+Rsb#k zrn(X{4SXttN4-~*EdX7u8OGR=&Nu|%^{+5Q@AfPGEOdQ+6fNt0QI_;=md(X;ah(ia z?)&qrwu*{38I}SV(i{6eJh8%U?P-V+eGaN?pXu30NOEv$v^In_RMz|FKM<@84DzOe z#8ykxZUx>)x9qcKPanNGRyR{!{L~yPaI^0PV_8LuvC3n;@Q;_VrQO&tn*}f`0`3* z)hwUUBKvh7lgA(xsK{06Q16C&A*}HIJ#L&hN)zUNTUpzuMqb52Cp9KiNJIzS>wT$6 z+eU;)^@$ww+^V`~TyA1+m~~= zqFB%HOs?=hrI&QpY6AdW5zjFr?P=YC!y$^Oer7>@#+NZg3zP3A+mOlKJpp$kl%5zQ zCrz3d+uZWJzVp1f5!wdL9^1!HnbPOp`XW^KDbJ7y|8^L;DeW&DG0=Jswr|Akhubq~ z(U8HF4>AyTamJ_MZIHZawNK-)S~1|$t|f!iZm5;NS*7zXqfU8uXNx66oZxBFqbaRv zaEn+w(ZVzHRC`2`&pkEX`JKeH_}jz{hMsJb-J zX^?YY)til1ThKlO))$#vHxt&N`(1hH*76&m_uahdbKIt?q9HHW*)yKpbZR|7?*!== zY=unDB4dlW+=D6ZW;lj<$vOy^zU#NuQGP{`6*-K6i8ZT-*268PmKR4Y#?PT26 z<#zgJgY$@HK!x~~PotJ72V{%yoN)Bk>0Kmy+&Z_p>t>-1Jpo8H51 zg7xf0=)#IZi%B^P(Sz^uCJH9h6s5=RC`c1Npki(g7h&dq2Sh z3Ht~X96L`)JfM5e;(FhVPl`32OGnrD`Fr7He|QY7L0nLgRV6kH?!Rz)n7B=hh0Es_ zOgv-gZ*~JM@?A`(1#P*pq4@V z`i7J9Rz;!Afx{jYz&28qr#9nijoiK3NRGYs=2tRqQUv~5Q9 zGj5(hUE%vs*KgfUwGfNb@BI|crcMF(nTbCV*Ip~BDJuDz139;h2GdDIHgY+oxFI2=6Xv|LOCMbMe%w)mlTzur^p_3vV(_NSiw*c0 zdk{S3FM z8(*{ExpnWxyn_dU1gG0_>Ko6nGqcAK@wOsZt$unXnbEnSVTAYx^p&l*=eT|p-8a$f zVm>EtE#k+goHBiluDgEg3j^?g89(tol!K4I*ApaNWSI%ATG>qz7NHEfirZgKyjomo zpJAZ$u98y-(y3YgEDzP!t0BDfK0Mb*sSVyKUMG6L1)SmC9#_PG)|cEl>K9Iahf^3h zk8xk-3e5nnu>>~db3L&UG%w(LxJ8NmEHechYO_F9Hh~eaU}RkH{mBWQ&kYU&V*Zip zxoMz1@~j3pAb^ASSqBgS{490{l;i*OF`SB+1GdXd5GFzX58e2Kb2rdybMP=zaNr+f zYvx)#PM|;cT@Mg{pSqzgf1pi(lqC z*3_|Mre>2}bBZ!Tp2$M^yZ*M1A?1BQ<9ch*;cPR`m$Zu%ZnL(~IPMi~82JJkB~GQA z}Is z$&>ZsdiRP(A{}OG;=*lR@AAT8Q3F%`!3WY_#|IJpH|$}1<`?$YjjN}(tFMpYCgVv7 z$co(a@2(K6!dE6ToeUar`&957icn>AA$pYqqQ<`TK^z9ZP0GC6JS$-xz(Og%Fx@fp#<>0NFP;^LxA|D`m+u)`zj8hy z0$FZ5L(YET#Nh%FL8^t>?k4z^3~?UEODm=xNz?ruAK= z@rupOjjEt-+x8@I{wVA$IV7_fknVH3T9wlPL`hMDFlv>*?ur z)d-*79az@6b@)$?|Wbc-Sm$2y6 zp>XP*hXw}t^^a({OQ~5CaPU}HYYJ1pKw=&StDa|#FR+g4eZUgA_M{;6#?&YEPea}` zlOGOkN=uu6e!K(ApctM~qvXmK+pzN5_Zb#Gd%8~j#(K3b3E1XKk zb8YYd;ZDZ~N%vLk;((e`OQ^iPsnmpiRa|lTn@V2|MG#LD?Lny%Q~3R3lZ)CWRPjd% zBke)M4AlaN7h--IzWg1#Jn!X-Y;vu!{veS+`5Kn0`-M|8SNjILk+yfMDk%xx1PAKV z=9|IRXd+%yO(V}mR!#@w+wS*L(k4h*#kuZ2VA1XSs?W8nsug0fo4)W{=j2Sow_MeE zC!BYzmT~th2v|d1TrtuOVlhjDC+klyH38;-dD;Xne$?1!rt#O?eXRobKy-o;+LOhv zKTiv@=YL+-R`5UlTw)2N9UpwDOk6C|rzPc=+1yy1T%@aB&7$3@R1g%~T5@mU{sZQU zLJ4e%W$bZ1hc8qcBY0MR&kFiWKn@#XM%VTb6A?pPQTxD4c!QY)w!p z+m#kYv=eb7C4FM2t^25SX3e_d^D9RQ^c9G6N$=y}%8fy>VGdo-fc-aP9dr^r77Ci$ zA>Tz3U0svv2a*rMUTi-Qs#awIVe1=tAPFs|ImUYR{;}U8F!-Zy0RdqVKr+Xx&w!lLAtRtKO>DewuAF*kTw4INmQksn*KoTBR# zSjtvX8riaw#2YspLszPLO8jZ+gF+`^@51;#*Y{DFa`v)ugzj~ahQRIk^UDg%aX{cx zn^1*T&M$AUf{b{*KYc0`Px@W=h7T9gV+x>!b7oq6&GoZ6``YLkAxoeNev3cyaIk5A7gJdtA=?OU+L^Yzcwn#O3_-@aU7*+Zsy7a?KS7; zrV-PX!$IhQc(JoLSDIFR{GEvWddPTB#4?MQVoM)j5S--vVjlEYK9P2E$ zXHchL#rcL~4YSY1Zd1GWcX)WXRgoWi%pW69-{j?^y%b`4m!W(L?D9rQ(h8bx?pnEb zhrsOzz)e(WbCFp!hL0^C%4BT41Hr$76lNpO3*Y)Xy&@*@oL6Ij5uv0vAr*6e)lb^8 z>*vHK%)-DwY(V8vxi3{T8((PJlYGIQ8f=*Znz-5!0B8)c2X$T=uauvIEXz<~e`{s_ z9e#i!JjObLuDnl=22dw#(6 z%Z7nqgB}3nuITAWMvNQL#C)vGE3oDE>3;)cAS{K6`&rs`)d@-j^9{Vcc5H;Gd7h3paM?QJ7yk~V!R@L zi+X-Ly@e3KAMVx409ApNL2oTAhQ4a=#(pYBQoy5QTOJHtB;iE(41vw&cmN*T>BWw{ z*xinC&tsngbz&v)71;8~w z%d;%6X*QWdpb~HyfWSJL0h~el4ug<=@XoE@vYtPe8gj6v13q_+%>;I@-Vt~X65vmU zZ(mwMg1yneyD^U1KwK2q0*ia;GnoVXg@X|fH3nvZg3nULWOJ@KKarn3J#)l%u!)kR zhdYsa9@ySl#QX?0=PBb!CGhu^A$Ku==-&322Ji;bx?cci1WU+iceUl8^eU~Mbs>ML zY)P@VebdXwj{`5Iidh7rD@%LV4g>zH1BK2fgf(tbd-})ZlZ0+z%{m3Bi}Mekt30g{6I4JJj^|DQ$e71Ic{cfE@mv>m^!d^X8`Ja(<4IJwA6U3JNH_eV8hE zt`XP85r1L|!zFBU&${{;8fb%c3=XR3I-^wJ#lXkBR?dd6DhtB`Jz$^GIh`^c+|$cG z_shwdd@Sjm&@N64Gcro5U`?ITl@#Y7ydUbD4|;@@yR zy+z`$E@!%Hb+>0l`RJaskJ0||ep36|Q2@jzS?iJOR|OAQqW7fgdfWK#4k>Oq7O6+F zztty}5CnZ6T(twOb99sK?2bo>TP#G(O~O%CrrC5xhup3}60&VK{5-dJYTYVca4;Kf zBIx6(II4a^S+7XvEOrgeHgY#8WZ$0qm^@bZi+QEdeO8gylJ3;cx2VGeZL0C!x#;HF z1H~y0g%O#>WA@%9qBc<^EA_R+uOdD3a@-`GtCl!SB1yZ?&$`sSmd_Z8fmcj#aQu$hPCUrc6X!S}k$=C_=~rP)pbg9(*x$de4uG z4L8r0FhR-k0jq6Xj-v4Hc5|&*3S!SS`EwASlZ|6ti+vFCBf}niRzm#d=Z2Y(&X}Ts z)g3F(_!i!YWaX}%N`XFnHJme{tL$1;fmaH3?}rxbzNr&kGjwE ze1P0=wuleY1m*Mlw}O8Lj!9me1m{J!Vyze|;HUj&b)k=~a_q*3Zl+ykI1Qnj66wp;2CIVfC~+U&!4<4ACDwan^I(Y&RF1r$~O&3*6of41Fi_xs=B zz%h<>IJ@@Qd+j;bnrp487lpCgv)ANr3**8chDDy`x!Tp?of?*+8aAnN+g-aG3Aw!s zDs|}g3~;V#1}r@68-i$jPA;+1B+$?f!aJ`Se&s7Gw1wIulOkIZqnhW0W*obwz;VYUr*3oU7|2%Q zC7m5z8B9BqQN2&BDZ6v@0&e#PzRDK0rOE5eh11|b+ zqmX6a8X$rMAOA+{0&r%`mLG0z1RN_V3lUhqlm1Kp6h&J7PZWur&eud8CS*a7(5^%r zV(s5Sk*A0dn0goMNRXhtD~p2J^Pzlqhmj&vB*IAGN_)B_zAH8{q z?-~Ju50^u*5#R{d6+)j-QJ=@&L&J6xfFv|IW7qI#YKZu3(dSadsd=?;4MS(kju3zwPL#&xetCiX!7mawuR)$YTih#GQE*%rW25$q@|TH zaeVPwngL_;EIEPg7AU==FINnN0WwMWG*_iAJY>viWeRPl`PSCSUdUb_Do&W_&2vEz z- z9IG_hA!;q>HVbcESR>T+=$ev^XZu31HXfGPnNZ$|sqA@Fc(W#ZQoPELf%m%x3Q;G> zxPgPB=iC+jg=>#$T+S-uosE!uHlMumk|JK7SwSw;T!;Z+{n?p`_`Yo(pDL$)0tK7> zL)4edi8s=+vWA8#8pGA0DzosDvs7*$(vtnI?zx9_N%; zLBRxiDX|ze9X_&4T$Lg5v|9b5{}cB9`&OI33YmZO|1-ZqjwenQb{#cm)*X~oY0pbR zwnh@t*MM{nPgK>aCC5bHP$$dVvJFqlOut$4L26mDL&Dfp_IsncbXu8v3rTHs1!Fii zuXa3-b)aVRskPCW(n7z>4&NViv#cHpfP5Y&aPb79!vtW>Klvm}4 zZPEspTOrVW_JBkA?Td_SLZ~-dGGX(P!w-`+!{(gTgC7pbmsA&B`1n>Y{LwiSgNFRo z?29kW@pf0Unm!PG>611T30Y{|aq!4IsvO6_Dby|b#Ts)JQ&y3jX95(e3s=~ecqpH- z-!IcBt)xN)H_B)W*wI7kdQu4NsSuO`J z^zeC7ehl$vLDqaZ&+kW*mB@+i4RgKt{L^~UjaS2&+z3sW=Z7B?S$>jM3C?kzzz#D0 z;*Q<4(51GLnGQ8n>`>}J1>q*E2)W$VB(u3J*`S~_y`z4Kvz&g&mqY`T(EFKiH^lhQW zN|u%VisFk?1`A3QQ9XjCif1f&uQ|zQX>nbpzsh=csqQ)Hp64XRpsQHjgf;+V8V;0~ zGEbKdzhYRGE5&&*6uV7W0w{AYJ6Mij}bSr=#FXQ?_eC7F!hKqHm5{n5C}Yx-3S z&&G>NiN*H`WX~Ts?hK*#=tqdFeyPIvA2BQ%XhE}|h_@J=-hkT{3aNFzl*a^_mITDn zti%|F+s=57g|rsB8)H^b6Ct?x>#G2Nu7+TwN>*cj;CYZ7y9wK3*?^-W2d%FhUDLQq z;r7dTCP2A6Tj+s$b!Qy3M?wR-2_NtXkeMSG@jG)ESjG&9ZESCS75oGu8W&~C5Ropny#X{2yw*I@?M&N(drTqUIGC|cV1(wSCFYaI)A77EIV1cH>JMqHlsh@mJr_A?-D(A^g3%33^W z$f2qdHXJ4e%Q%^1=Kk*GhVo3f0NOFz8%~(v5|sF${XwAc3j0W=-zGTs6hX;H#PVyz zL6F^WOx(qC^ag>wsm>m-|j;_kwq{J`7n8=tE8T80i3qp5M9h5fL}^4|uK z&|{acAHYJ$p~uJu7Np;sJ=Vk8w$6rZAt1AxKDAD91u)j#gvFyTCy9^|&SBucdfuw% z4*1KYJ?<-n0opBGfKjYm4Tk|paqKAq)LML;V7ymQ*>z~h{)JyHZ5?_CU=H{z2NaHG zvP$U~wfxx85R0f2I_=!DjM=q4=_>}b{GrfF?<5rW6>%5Zt&70O$0f=GX94hxv6e{h z9kCWd(cbH}Xw;f$Jhltmw+BMya-9Xot$mQGUR*pAYOe^y&0)v=!YDnVdGz0qJJfJ1 zp7_8ZaG?c<96X|GD~v&fROiDv0)o@cmpE3p+y|aRFXZN=OOdLp8@=q%$i4ghu=!~e zR(guk6TNnK{s8fEA{DOYNw`a6j@4{2p?kYCPuSU7quHG4|FV?#yRXZduYMs#=k{z4Dl(weA^X zsZH^%lq`JD6hD1tM=eb$3e`JajU%15Mdj;U-_|(MrAsE^mYfAOaIwkMSOey$=! z51i|p8A0chQ}c69-Y!$GJ?{HCDfsmC)NS=(IEt1ZGHf?`*;tzu2Dt?S*3c6IR`M#4Ba+LyW+)qT=rN%$ap38ID+vw`t)ruBJ& zzTR8z2)y^blJP)r?ym?q`ad6G0T7 zh`lc~&Xxu`vH)I|h;eX}v5 z-13Rg)`)S+quJKMp?w!?>H9mO^~LBy@GpB&C6ZaO~gL0*2+TYa6DGi;55BnaCSY`o6X~mT5n7|t}bGf^Qr(<#A_dsc&}Y7)j^wS%c%`-+d3d!27Dcaw*a5j{%sbvV>9?jO@; zifH@Z4dvthY`ZNmZEI;;Bc*X)|6O&awAQ{)t1c~_oakb0R`!w>x8KgUk!De^z6MGC z7}6?sq1ldAO^(;}g$XD)sE)U@(F{7Ken~wJ%;gWWy-3{ARmwIKe=i406CiR-g&FHT z7b;qXf`3WBSlO09P_Ctt@LWUt@r#=K*_wvmrNs0-Hbf5=^Tqam53m2TPYi?TM|;LR z1;h)=9ozjbs=!y_XnWvH%t}|@#b{dEC)GTGHk}aN1&i&$5VV~UqJjXXNaYSmn3T)J3~Q4qJzgc*FP?@K`$RLvLs?dV4d6)DRVkLzOD zb#)<>l<5WOK^D(*I|k+O3`ZVN$6;vSpepOW?|4mNv^aJ>_kAb&V~AgOwE0RA<<4EX zE0M{x5O5w(h|m@{xg)@5$Ti>Pk!RxWRrIkbLWmqrlN+0bYx&}rjx z0YKRi0UmxD-9z|!>>>&=f|^n~VbeumKSPd=(SLWN;nonO69Mv`a~=Zcx=1AgSN7o$ zy}6G@pHg{E02)k4a9*{z;;8|k_J)juJmRxJ#Apedp9WroxFJ}uB%K~q>A3o;ECK;7 zK-9nvRpC&~9q8`<=6=)x@RDD|jetDl8$cuU=NPdw&YI7t$qroY_HY@86@R)Zu%6gC z;P!~D29A`+02>rQa}lLLQlWH!II6Fu%nv~bfaDyl}hj6y>i8f2=2yvj{-kpxC(ryBISduaN4oL?L68er@z44UbELmot%C`gu` zwa-wypFtGv(JQfGFmIqY&!N3mX=Ms8Dh*e76`Cn&mZ<-P*s?!SD+rHH{~8eLLe{hq ztVgv)p4LzhnHiAQBiTlbOoXA|0JJ!{K5~MlKQ3c^L=XHy%e}jcC)**%)$~9q=vllP z>iG-VrQe)?aT+=}1jyds40!M>D7jzTPO0I(I#)n<+v%~Xj|bb);CE%Tw!wA>f8g!E zG^h(i8HkHqQQDh5w(myFc^zhq=vN(m1E~@JH)~!ATi=3NH=8T~zX%T7ZD+-%DvaFr z+Jbs*T_|ap`#?TF+SGdD%5xiHDONja zEl%1i<|-OSzEY&}YES=2Gq+0rcunTwEj0B`??ZcO7X4mmN8EZ3%Kfevbq$wF3?Jb) znVxvL^snq^c4$e!q2X#DXZ^f*K|gltxL=Sj`)SgU!3Re?yBv8^170TAJ#FZpD~f*C ze^Ph9h%kg3S9EOByEr=X_vz_6|CAf!*lNF zV+^JpxFk88ft%Cs2Ob1O$hDysKz{^Vhwi>}+s0`?I_ppevY^l|Vr>2g-g&@7Z$Nwh z<-X3yD*-xo!dH{6aJ19NVdLX`Mfh z&8tFU5yv-I0mG}ooDw5j+*g5rMT~0y2{GE-VdKZ?{K!jWqM zV#HjcP={bTID^2+i00uApi{N~88z|%)TkO-GGAHXei~O91;SuM%WiP)O!U{mnSXT9O(-pa8c3FeJ@4t-j^HR*k6np?bFr{ zk3f&}qd)Mj-gnjW``{&MJ2f?>KBJe%OFDhSe62>Rxq&0MJ+R|t&V${B9kl@-2y(c8 zpu4f+HW^a1LTxjH*FDDF^eoqqwe_oJ^_4Immz}KqH1pXfzNH)5&(tltYAbfP>AK%6 z!pozdR8Hh`agjf`d?(H0=BS!HN3}?4ft6IcpXNow%96}~5zhP@di+a_^!p2B$6DnA z5J@MLo-O!TNkUa9zAq>&C2 zb&4~c%!RL~fE?Im$5H+I@Rl(OS#qAQZ(Zo`2@L^7iT(DwCHQ$&j|$}A+kr(kXy19` z!y377bN2(a;zlVm($ZT}ivy}CdDQtF%lNo68bUHOl+|fYFd_Db16^LosSdn?VO>q{ z)IP4O*DSjp1xrej6NMwT=?X(O{oBuLHuN5ZgG%*3X@hRDSxgr}geO>sD~t zYxK9NLbZQ`0Q~g||8altPv}PO;4w%fj8zDloT=%MI2Bj*PQb*2ELQNoFg3X@cP)>K z7S-MNypiA5JJ{bR^ECB!8f-n0oI5vRw=p8pygmZ2>FzceG!VDZ1Szv+P4S^`bERtU zdPu9lF4JtCPePZ|#IcI)j&Q&ECSh&*m3Ph(w0K&UH90SpMnxJdA}!t&To?JpLlbi5 zWAk@A-a_S2*drjm`j7}Ku^A&!3{#rp?I;@#~M({{%K}LR1%A1O9NDiMa>_X52?ncYdqX<{q4BRI}7jP7wkMzTlu_4xK zq3aR3;6!|zj{&Hc#_-??>R8oeAc9AyNq_h>9T;$M9G5EsgnmXJjU#`E zSo24$X8?G7`ZVxgrkk(qz(1b^qJXn5&$N-qSh&KCxowNFSVip-FPwg+`nv~h9uqpd;=By3-(jJ%U?OOsy)APnklVC#niFLrfQ>G|76ZK*(I#Rm31CcP z1t1a@b%0JksP5g~*?mQSlH#g@Ly!J$aER0AfW#*K^$;wXtKk7^_kP`P?peu2d|BLS zMIkyn;JGUgs1N+g_(M$9%#uL@V{T@ff6?^M z)}%_B*2oxPdE`!wemh>fVtqVL8@gT{`7x-5CEnEHrJK*~{)Zng)N>q!UdnJX2jMpt zY{&#QSwDP6f5LDb{b8i}na(X5e+km;owNF~CL8$gJzl04dCsvaoBc#-bq#lHj~)xO zZhkJKrsodF==At91*R2)64@%U{Z{qy_#FaA9zO=*UquVaGn#`KLJPsij`gxU4j$**9#ygH6*A zRSMAzVf8kSma64WF$gqhL4Ct;PrgFhjiWhhX*haqnVx@rt54+ZB~iBQH0D%J9gOl= z(tZ)o#9(*pCf|9aoFg28#V_cGqCZWhLuocIrva!-dVo^z>KU?it{jASA?!@j~im2p6VfOJitdf&9Tw+`#B&w zAJY!qYu|vClYqE8bnhBM7A% zj*Zbu$n)4&r#Wr9sQ{82caKA1N1ymZA-X^CIx0`o+Bkb*dt=u0#k06Fu%I6Z0%f-7 zzyaV&AP$bj&+B)BWA09-fhtnI{Wv)NPLS7c#%F{hFX%VI&Bv7@_U?@vDlG$hkq3;y zPt)N3^DNXrrsc3KkPYo>rPW@{34i2Acs~l{3jE8P{%h(K(SX&&m4ff)=i3k8JNEp| zcVaUXLL?Y!d6XSyAR%<+i%&M!(_+>v6*A;yD@xp9wwkl|QIpuCGPw1VNcB?QKeBB_ zxz5ZyD#x~8pSuKmbG{7fplCY>dF^T#ho-YYnidyU@bE~n4mUo|yp+wSGvs&ezJZ9) zIZOmPW!}bd&cWc2f?dsaMQU$mCB;qZHthu@yl+LukY<0%nd#4Hme}NAFUG*JC z2Hn1E1VJ1Ad&TJvaWGx4ipQ4=!k?v$Dd5T zVck4;+HoxbI7qS}D^2=W>FuMHQ9o_xKVA3CClokWPyKC<8JOyc+k`$D0&ZiLZ{cjh zXFBtTjdiu;r*^1|z{2um>5iJ3mKt_Kj7aaq?xEF`%y?5??e`m1Ffeh8y{}zU;tn<} zkS~f671u!7t>F|2w#(`KIzvil?G$N*x>443dmef1dO@9E^PrvX@8M3$3cmB&HWB_ZB&}iZ1h1dz&16mV; z%3WL>XUc&dbU45E?*?c9*-G<@fS~VArbBjKj~fo0u=)LVbbzJ*5qbPH96DyY4q3L{ z4DSDdX9SGG)W0?fs8c%v4#tPw;~q$y5p5cdey{rn-tFHF@zh`)E8(`|fS+J=lQshf zpZRhs^O-pMfu|7Fhv9;(Y8ilY{6RQaXc3c;SM;X>qtkgmJOtK99@;uv`VpvwZ2%nk zS9Z?tgv~Iu8386;DFjS<^dWeU0{?o>hX)q5+PYXfl_KLyc5c|LcjfmtI`J6{W~U&> zsx+JtGj5s0B!IoT+>AJ9c5 zQ|4klqo;6hAWx&P7|-&dk6ZxRhlCY-&uFn-^gVl5IuSkS{u8`B-BH6BR9gyevS0uoI$J6uM?f!}Pi8?h_Z ziTn0_H3#AdLZ5F=fXA252B0Y}n|IuYD*A}AYh&ftf#38qSj|95>N8&Nv$nZm2KH|< z$+4zBbXd$Eu=KrUfUwen;3q)vA2xM7J;Gh}7j4V|`0M?;E*8ew4aKx7Ho$Sd`W86; zW2m8vCmImxjK=^l_5*!v-^OtVtm-^gl(P}{c>r|ez!<>YW{>GlS2O*xI|>_8r{Xw3 zS7$H@faZge3dAf@3Nz4TUv~NGutx zPDK1-U;g2Rp>YploZRGxUHhcv>IKD_rhTqU52^$RNi1iJg;^9(EHh2(?a9p}HaAx? z1%j_X^{}dB$WOw!W;ITIY@-a9EN8}4qw~kGxr@}nih+?#6Zy}5`6GwtB(BL47TqMg z=%~u`>Dj#vQ;8G>MMaE0+HBIZZC*w&ThTND7s&0ML3*&XOoUT{RD0@sO zlzAl@drlar-u?@Pb`@ZHPmB#j0S5dD?uPvxa{Uuf{uj_&ZB;Z;0xnR)0tB?-)TjEs z!xqDBh%p99p@N4rf$owa|K z01${eCfUc3pPtzN8u*_QhUKFp=koKcIP)p3Z2)>1?S2E`PmfhQE|H`|K%ECbCCsiqQ&X70|t)Xo(XaYehqW2C{C+Oqq?Z#Lg9l4WEG)aS~O zr;u1q+vCb6mS5~qM9gu$niABq3zg-|{e10As?4WzE#K7#R0{`_zA&yRD5R$n9PlsQ z`pNF-0)PD<&SHuQ^UhmEf_AYt;&EH+5>poza#q0`(bm@4!&S9&6hBzUPE)*& zlKk)@BP~D07yXUouGq1jH~$rP$6YbP&gvSxlK999_SYP-+pY&zhC%EjzDoih+== zjyjgPBZmAc-yf3^(wg{O6)__k_jP7-z0qW!t$}9b$S-okTr7Li`#%0sr2Dkn*-PeO z8d-Y%@gBp)qhHg{b3`G<@Thu7Bsu8;26mhXJIpKmF`V>?9G8#+aF!mz?uq zCW?*1K#)8KPn-@@Wa4U7F*a^<{XuTIS`%>OMmjhWf6D0M36*Z!Z{g^akGoWzL*1q$ z+-7V(C)J=O#oi$e`Z}GoD&U43;(kGQ|M)s8PB-G1 zZsjN=gi}uUqNFH`ZQ(BOae@fH7JWpw_@^Xa